KR102175862B1 - 플라즈마 처리 장치 - Google Patents

플라즈마 처리 장치 Download PDF

Info

Publication number
KR102175862B1
KR102175862B1 KR1020140105794A KR20140105794A KR102175862B1 KR 102175862 B1 KR102175862 B1 KR 102175862B1 KR 1020140105794 A KR1020140105794 A KR 1020140105794A KR 20140105794 A KR20140105794 A KR 20140105794A KR 102175862 B1 KR102175862 B1 KR 102175862B1
Authority
KR
South Korea
Prior art keywords
focus ring
mounting table
magnetic
heat transfer
plasma processing
Prior art date
Application number
KR1020140105794A
Other languages
English (en)
Other versions
KR20150021889A (ko
Inventor
노부유키 나가야마
나오유키 사토
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20150021889A publication Critical patent/KR20150021889A/ko
Application granted granted Critical
Publication of KR102175862B1 publication Critical patent/KR102175862B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32642Focus rings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32513Sealing means, e.g. sealing between different parts of the vessel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32522Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3266Magnetic control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3266Magnetic control means
    • H01J37/32669Particular magnets or magnet arrangements for controlling the discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma Technology (AREA)

Abstract

배치대와 포커스 링 사이의 열 전달 효율을 향상시켜, 포커스 링의 온도를 가변적으로 제어하는 것을 목적으로 한다.
기판을 배치하는 배치대와, 상기 배치대의 주연부의 둘레에 놓여진 포커스 링, 을 갖는 플라즈마 처리 장치로서, 상기 포커스 링과 상기 배치대가 대향하는 면에 마련된 복수의 자성 부재와, 상기 포커스 링과 상기 배치대가 대향하는 면 사이에 전열 가스를 도입하여, 상기 포커스 링을 온도 조정하는 온도 조절 수단, 을 갖는 것을 특징으로 하는 플라즈마 처리 장치가 제공된다.

Description

플라즈마 처리 장치{PLASMA PROCESSING APPARATUS}
본 발명은 플라즈마 처리 장치에 관한 것이다.
반도체 디바이스의 제조 프로세스에 있어서는, 피처리체인 반도체 웨이퍼(이하, 「웨이퍼」라고 칭함)에 대하여, 에칭, 성막 등의 플라즈마 처리가 실시된다.
플라즈마 처리를 실시하는 플라즈마 처리 장치에는, 웨이퍼를 배치하는 배치대 상으로서 웨이퍼의 주위를 둘러싸는 위치에 포커스 링이 배치된다. 포커스 링은, 웨이퍼의 상방에 생기는 플라즈마의 분포 영역을 웨이퍼 상뿐만 아니라 포커스 링 상에까지 확대시켜, 웨이퍼 전체면에 실시되는 플라즈마 처리의 균일성을 확보하는 역할을 갖는다.
플라즈마 처리 시, 웨이퍼 및 포커스 링은, 플라즈마에 직접 노출되어, 고온이 된다. 이 때문에, 배치대의 온도를 제어함으로써 웨이퍼의 온도를 제어하며, 포커스 링의 온도를 제어하여 포커스 링을 냉각하는 것이 바람직하다. 이때, 배치대와 포커스 링 사이의 열 전달 효율이 낮으면, 플라즈마로부터의 입열(入熱)을 포커스 링으로부터 배치대에 효율적으로 전달할 수 없어 포커스 링의 온도 조정이 어려워진다. 그래서, 포커스 링에 실리콘 고무 등의 전열 시트를 고정시켜, 배치대와 포커스 링의 열 전달 효율을 향상시키는 기술이 개시되어 있다(예컨대, 특허문헌 1을 참조).
특허문헌 1: 일본 특허 공개 제2008-171899호 공보
그러나, 전열 시트는 점착 타입이며, 포커스 링에의 부착 방법이나 전열 시트 자신의 개체 차이에 따라 전열 효과가 변화한다. 즉, 전열 시트의 점착력이 약하면 포커스 링과 배치대의 밀착성이 나빠져, 포커스 링으로부터 배치대에 효율적으로 열을 전달할 수 없다. 또한, 전열 시트로부터 아웃 가스가 발생할 우려가 있다. 또한, 전열 시트는, 포커스 링으로부터 간단히는 박리할 수 없다. 따라서, 포커스 링의 온도는 전열 시트의 사양에 따라 고정되며, 포커스 링의 온도를 가변적으로 제어하는 것은 어렵다.
상기 과제에 대하여, 배치대와 포커스 링 사이의 열 전달 효율을 향상시켜, 포커스 링의 온도를 가변적으로 제어하는 것을 목적으로 한다.
상기 과제를 해결하기 위해, 하나의 양태에 따르면,
기판을 배치하는 배치대와, 상기 배치대의 주연부의 둘레에 놓여진 포커스 링을 갖는 플라즈마 처리 장치로서,
상기 포커스 링과 상기 배치대가 대향하는 면에 마련된 복수의 자성 부재와,
상기 포커스 링과 상기 배치대가 대향하는 면 사이에 전열 가스를 도입하여, 상기 포커스 링을 온도 조정하는 온도 조절 수단,
을 갖는 것을 특징으로 하는 플라즈마 처리 장치가 제공된다.
하나의 양태에 따르면, 배치대와 포커스 링 사이의 열 전달 효율을 향상시켜, 포커스 링의 온도를 가변적으로 제어할 수 있다.
도 1은 일실시형태에 따른 플라즈마 처리 장치의 종단면도이다.
도 2는 일실시형태에 따른 포커스 링 둘레의 구조의 일례이다.
도 3은 일실시형태에 따른 포커스 링 둘레의 구조의 다른 예이다.
도 4는 일실시형태에 따른 포커스 링 둘레의 구조의 다른 예이다.
도 5는 일실시형태에 따른 포커스 링의 온도 조정을 설명하기 위한 도면이다.
도 6은 일실시형태에 따른 철판의 거리와 흡인력을 설명하기 위한 그래프이다.
도 7은 일실시형태에 따른 자속 밀도와 흡착력의 관계를 설명하기 위한 도면이다.
도 8은 일실시형태에 따른 포커스 링 둘레의 구조의 다른 예이다.
도 9는 일실시형태에 따른 자력에 의한 자동 위치 조정을 설명하기 위한 도면이다.
이하, 본 발명을 실시하기 위한 형태에 대해서 도면을 참조하여 설명한다. 또한, 본 명세서 및 도면에 있어서, 실질적으로 동일한 구성에 대해서는, 동일한 부호를 붙임으로써 중복되는 설명을 생략한다.
[플라즈마 처리 장치/기판 지지 장치]
우선, 일실시형태에 따른 플라즈마 처리 장치 및 기판 지지 장치에 대해서, 도 1을 참조하면서 설명한다. 도 1은 일실시형태에 따른 플라즈마 처리 장치의 종단면도를 나타낸다. 플라즈마 처리 장치(1)는, 예컨대 원통형의 챔버(C)를 가지고 있다. 챔버(C)는 접지되어 있다. 챔버(C)의 하벽(11)의 위에는, 기판 지지 장치(10)가 설치된다. 챔버(C)의 천장부에는, 상부 전극(2)이 기판 지지 장치(10)에 대향하여 배치된다. 상부 전극(2)에는, 가스 공급원(4)이 접속되어 있다. 가스 공급원(4)으로부터 공급된 가스는, 상부 전극(2)에 마련된 다수의 가스 구멍(2a)을 통하여 챔버(C) 내에 도입된다. 상부 전극(2)은, 전극으로서 기능하며, 가스를 공급하기 위한 샤워 헤드로서도 기능한다. 챔버(C) 내에서는, 가스로부터 생성된 플라즈마에 의해 웨이퍼에 플라즈마 처리가 실시된다.
기판 지지 장치(10)는, 상부 전극(2)과 대향하는 전극으로서 기능하며, 반도체 웨이퍼(이하, 웨이퍼(W)라고 칭호함)의 기판을 유지하는 유지 기구로서도 기능한다.
기판 지지 장치(10)는, 배치대(12), 정전 척(13), 절연 부재(14, 15, 16), 및 포커스 링(17)을 갖는다. 기판 지지 장치(10)는, 하벽(11)에 고정된 링 형상의 측벽(18)을 가지고 있다. 절연 부재(14)는 하벽(11)에 고정되어 있다. 배치대(12)는, 절연 부재(14)의 상면의 위에 배치된다. 배치대(12)는, 예컨대 알루미늄으로 형성되어 있다. 절연 부재(15)는, 링 형상을 가지며, 배치대(12) 및 절연 부재(14)의 양방의 주위에 배치되어 있다. 절연 부재(15)는, 측벽(18)의 내면에 접촉한 상태에 있다.
정전 척(13)은, 배치대(12)의 위에 고정되어 있다. 정전 척(13)은, 도전막으로 이루어지는 전극(13a)을 한쌍의 절연 시트(13b) 및 절연 시트(13c) 사이에 끼운 구조를 갖는다. 전극(13a)에는, DC 전력 공급원(20)이 접속되어 있다. DC 전력 공급원(20)으로부터 전압이 공급되면, 웨이퍼(W)는, 쿨롱력에 의해 정전 척(13) 상에 흡착 유지된다.
정전 척(13)의 주연부에는, 에칭의 면내 균일성을 높이기 위한 포커스 링(17)이 배치되어 있다. 포커스 링(17)은, 실리콘으로 형성되어 있다. 포커스 링(17)은, 예컨대 석영 등의 유전체 재료로 형성되어도 좋다. 정전 척(13) 상에는, 처리되어야 하는 웨이퍼(기판의 일례)가 탑재되어 있다. 포커스 링(17)은, 하나의 평면을 만들도록 링 형상을 한 절연 부재(16)의 내측의 공간에 배치되어 있다. 절연 부재(16)는, 절연 부재(15) 상에 마련되어 있다.
배치대(12)에는, 고주파 전원(21)으로부터 정합 회로(22)를 통하여 고주파 전류가 공급된다. 배치대(12)는, 절연 부재(14, 15)에 의해 하벽(11)을 포함하는 처리 챔버로부터 전기적으로 절연되어 있다.
배치대(12)의 안에는, 온도 제어용의 액체를 흐르게 하기 위한 통로(30)가 형성되어 있다. 통로(30)는, 배관(32, 33)을 통해 칠러 유닛(31)에 접속되어 있다. 칠러 유닛(31)으로부터 출력된 정해진 온도의 냉매는, 배관(32, 33) 및 통로(30)를 순환 공급된다. 이에 의해, 배치대(12)의 온도는 제어되어, 원하는 값 또는 범위로 유지된다.
전열 가스 공급원(40)은, He 가스 등의 전열 가스를 가스 공급 라인(41)에 통과시켜 정전 척(13)의 상면(표면)과 웨이퍼(W)의 하면(이면) 사이에 공급한다. 이에 의해, 전열 가스를 통해 정전 척(13)과 웨이퍼(W)의 전열 효율이 향상된다.
또한, 전열 가스 공급원(40)은, He 가스 등의 전열 가스를 가스 공급 라인(42, 43)에 통과시켜 배치대(12)의 상면(표면)과 포커스 링(17)의 하면(이면) 사이에 공급한다. 이에 의해, 전열 가스를 통해 배치대(12)와 포커스 링(17) 사이의 전열 효율이 향상된다.
제어부(50)는, DC 전력 공급원(20), 고주파 전원(21), 정합 회로(22), 칠러 유닛(31) 및 전열 가스 공급원(40)을 제어한다. 또한, 압력계(51)는, 가스 공급 라인(43)에 부착되어, 배치대(12)와 포커스 링(17) 사이에 공급되는 전열 가스의 압력을 계측한다. 압력계(51)는, 제어부(50)에 접속되어, 계측한 압력값을 제어부(50)에 전한다.
제어부(50)는, 압력값에 기초하여, 전열 가스 공급원(40)으로부터 출력되는 전열 가스의 유량을 제어하여, 배치대(12)와 포커스 링(17) 사이에 공급하는 전열 가스의 압력을 제어한다. 예컨대, 전열 가스의 유량을 늘리면 전열 가스의 압력이 높아지며, 이에 의해, 전열 효율이 올라 포커스 링(17)의 냉각이 촉진된다. 한편, 전열 가스의 유량을 줄이면 전열 가스의 압력이 낮아지며, 이에 의해, 전열 효율이 내려가 포커스 링(17)의 냉각이 억제된다. 제어부(50)는, 포커스 링(17)과 배치대(12)가 대향하는 면 사이에 전열 가스를 도입하여, 포커스 링(17)을 온도 조정하는 온도 조절 수단의 일례이다.
제어부(50)는, 도시하지 않는 CPU(Central Processing Unit), ROM(Read Only Memory), RAM(Random Access Memory)을 가지고, CPU는, RAM이나 ROM 등의 기억부에 기억되어 있는 각종 레시피에 따라 플라즈마 처리나 포커스 링(17)의 온도 조정을 실행한다. 레시피는, 기억 매체에 저장하여 제공되며, 도시하지 않는 드라이버를 통해 기억부에 읽어 들이는 것이어도 좋고, 또한, 도시하지 않는 네트워크로부터 다운 로드되어 기억부에 저장되는 것이어도 좋다. 또한, 상기 각 부의 기능을 실현시키기 위해, CPU 대신에 DSP(Digital Signal Processor)가 이용되어도 좋다. 또한, 제어부(50)의 기능은, 소프트 웨어를 이용하여 동작시킴으로써 실현되어도 좋고, 하드 웨어를 이용하여 동작시킴으로써 실현되어도 좋다.
(자성 부재)
다음에, 포커스 링(17)과 배치대(12)가 대향하는 면에 마련되는 자성 부재에 대해서 설명한다. 도 1 및 도 2에 나타내는 바와 같이, 포커스 링(17)의 하면에는, 자성 O링 부재(60, 61)가, 포커스 링(17)의 하면의 내주측과 외주측에 마련되어 있다. 포커스 링(17)의 하면과 대향하는 배치대(12)의 상면에는, 자성 O링 부재(60, 61)에 대향하는 위치에 자성체(62, 63)가 마련되어 있다.
자성 O링 부재(60, 61)는, 고무제의 O링(60a, 61a)의 내부에 자석(60b, 61b)이 매립된 구조를 갖는다. 이러한 구성에 따르면, 자성 O링 부재(60, 61)는, 시일재로서 기능하며 자석으로서도 기능한다.
자성 O링 부재(60, 61)를 포커스 링(17)에 고정하는 방법으로서는, 포커스 링(17)의 하면의 외주측과 내주측에 홈을 형성하고, 고무제의 O링(60a, 61a)의 수축성을 이용하여 자성 O링 부재(60, 61)를 홈에 감입하여도 좋다. 그때, 포커스 링(17)의 하면에 형성하는 홈의 형상에 특이성을 갖게 하여도 좋다. 예컨대, 더브테일홈 가공이나 엔트리 포인트, 홈의 깊이에 특이성을 갖게 할 수 있다.
자성체(62, 63)는, 예컨대, 플레이트(62a, 63a)에 자성체의 재료(62b, 63b)를 용사(溶射) 등에 의해 코팅한 판형 부재나 자성체의 재료를 코팅한 자성 시트 등이어도 좋다. 자성체(62, 63)는, 처리 챔버 내의 금속 오염을 고려하여, 배치대(12)로부터 노출되지 않고, 배치대(12) 내에 매립되어 있는 것이 바람직하다. 자성체(62, 63)는, 자성 O링 부재(60, 61)보다 시일성은 뒤떨어지지만, 흡인력(클램프력)이 우수하다.
자성체(62)와 자성 O링 부재(60)는, 포커스 링(17)과 배치대(12)가 대향하는 면의 대향하는 위치에 한쌍으로 마련된다. 자성체(62)와 자성 O링 부재(60)는, N 및 S의 자극 중 서로 반대의 자극을 갖는다. 자성체(63)와 자성 O링 부재(61)도 마찬가지로, 포커스 링(17)과 배치대(12)가 대향하는 면의 대향하는 위치에 한쌍으로 마련되고, N 및 S의 자극 중 서로 반대의 자극을 갖는다. 이에 의해, 포커스 링(17)의 하면과 배치대(12)의 상면 사이에 자력에 의한 클램프력을 발생시킬 수 있다.
또한, 자성 O링 부재(60, 61)에 의한 시일에 의해, 포커스 링(17)의 하면과 배치대(12)의 상면 사이에는 공간(S)이 구획된다. 이 공간(S)에는 He 가스 등의 전열 가스가 충전된다. 이에 의해, 포커스 링(17) 및 배치대(12) 사이의 전열 효율을 올려, 플라즈마 처리 시에 있어서의 플라즈마로부터 포커스 링(17)에의 입열을 배치대(12)에 효율적으로 전달시킬 수 있다. 이에 의해, 포커스 링(17)을 효율적으로 냉각할 수 있다.
이상에 설명한, 자성 O링 부재(60, 61) 및 자성체(62, 63)는, 자성 부재의 일례로서, 자성 부재는 이것에 한정되지 않는다. 자성 부재의 다른 예로서는, 도 3에 나타낸 자석(64, 65)이어도 좋다.
포커스 링(17)에 배치하는 자성 부재와 배치대(12)에 배치하는 자성 부재의 조합은, 자성 O링 부재, 자성체, 자석 중 어느 것을 조합하여도 좋다. 또한, 포커스 링(17)에 배치하는 자성 부재와, 배치대(12)가 대응하는 위치에 마련되는 자성 부재는, 동종의 조합이어도 좋고, 이종의 조합이어도 좋다.
예컨대, 도 3의 (a)에 나타낸 바와 같이, 포커스 링(17)의 하면의 외주측과 내주측에 O링 부재(70, 71)를 감입하여 시일하며, 포커스 링(17)과 배치대(12)의 대향하는 면의 중앙 위치에 자석(64, 65)을 마련하여 클램프력을 얻도록 하여도 좋다. 이 경우에도, 시일된 공간(S)을 He 가스 등의 전열 가스로 충전시켜, 포커스 링(17)에의 입열을 배치대(12)측에 효율적으로 전달시키는 것이 바람직하다.
도 3의 (b)에 포커스 링(17)의 하면을 나타낸 바와 같이, O링 부재(70, 71)는 링형으로 배치되어도 좋다. 자석(64)도 마찬가지로 링형으로 배치하여도 좋다. 도 3의 (b)에서는, 자석(64)은, 포커스 링(17)의 둘레 방향에 등간격으로 배치되어 있다. 이러한 자석(64)의 배치를 실현하기 위해, 포커스 링(17) 내에 자석(64b)을 고정하는 방법의 일례를 도 3의 (c)에 나타낸다.
도 3의 (c)에 나타낸 바와 같이, 고정 나사(64a)는, 내측의 부품 및 외측의 부품의 2개의 부품으로 분할되어 있다. 고정 나사(64a)의 내측의 부품의 중앙에 마련된 개구홈에는 나선형의 홈이 형성되어, 나사 구조로 되어 있다. 자석(64)의 주연부에도 나선형의 홈이 형성되어, 나사 구조로 되어 있다. 고정 나사(64a)의 재질은, 수지 또는 세라믹스 등의 비자성 금속이다.
우선, 고정 나사(64a)의 외측의 부품을 포커스 링(17)에 형성된 홈(17a)의 어깨부에 배치한다. 다음에, 고정 나사(64a)의 내측의 부품을 홈(17a)의 중앙에 삽입한다. 다음에, 나사(64a)의 중앙에 마련된 개구홈을 개방하고, 그 개구부에 자석(64b)을 삽입하여, 고정 나사(64a)에 의해 자석(64b)을 나사 고정한다.
포커스 링(17)에 배치하는 자성 부재와 배치대(12)에 배치하는 자성 부재의 조합의 다른 예에 대해서, 도 4를 참조하면서 설명한다. 도 4의 (a)에서는, 포커스 링(17)의 하면의 외주측 및 내주측에, 자성 O링 부재(60) 및 자성 O링 부재(61)가 배치된다. 배치대(12)의 상면의 외주측에는, 자성 O링 부재(60)에 대향하는 위치에 자성체(62)가 배치되고, 배치대(12)의 상면의 내주측에는, 자성 O링 부재(61)에 대향하는 위치에 자성 O링 부재(59)가 배치된다. 이에 의해, 각 자성 부재에 의한 클램프 기능과, 자성 O링 부재(60, 61, 59)에 의한 시일 기능이 얻어진다. 또한, 가스 공급 라인(43)으로부터 공급되는 He 가스에 의해 포커스 링(17)이 온도 조정된다.
도 4의 (b)에서는, 포커스 링(17)의 하면의 외주측 및 내주측에, 자성체(62) 및 자성 O링 부재(61)가 배치된다. 배치대(12)의 상면의 외주측에는, 자성체(62)에 대향하는 위치에 자성 O링 부재(60)가 배치되고, 배치대(12)의 상면의 내주측에는, 자성 O링 부재(61)에 대향하는 위치에 자석(64)이 배치된다. 이에 의해, 각 자성 부재에 의한 클램프 기능과, 자성 O링 부재(60, 61)에 의한 시일 기능이 얻어진다. 또한, 가스 공급 라인(43)으로부터 공급되는 He 가스에 의해 포커스 링(17)이 온도 조정된다.
도 4의 (c)에서는, 포커스 링(17)의 하면의 중앙 위치에는, 자성체(62)가 배치된다. 배치대(12)의 상면의 중앙 위치에는, 자성체(62)에 대향하여 자석(64)이 배치된다. 배치대(12)의 상면의 외주측 및 내주측에는, O링 부재(70) 및 O링 부재(71)가 배치된다. 이에 의해, 포커스 링(17)과 배치대(12)의 중앙에 배치한 자성 부재에 의한 클램프 기능과, 배치대(12)의 외주측 및 내주측에 배치한 O링 부재(70, 71)에 의한 시일 기능이 따로따로 얻어지는 구조로 되어 있다. 또한, 가스 공급 라인(43)으로부터 공급되는 He 가스에 의해 포커스 링(17)이 온도 조정된다.
또한, 도 2∼도 4에 나타낸 자성 부재의 배치는 단순한 일례에 지나지 않는다. 각종 자성 부재의 배치는, 어떠한 배치도 취할 수 있다. 또한, 포커스 링(17)과 배치대(12)의 대향 위치에 배치하는 자성 부재의 종류는, 어떠한 조합도 취할 수 있다. 예컨대, 링형의 자성 부재를 포커스 링(17)측 및 배치대(12)측에 둘레 방향으로 1 또는 2 이상 배치하여도 좋고, 봉형의 자성 부재를 포커스 링(17)측 및 배치대(12)측에 직경 방향으로 1 또는 2 이상 배치하여도 좋다. 또한, 자성 부재는 등간격으로 배치하여도 좋고, 상이한 간격으로 배치하여도 좋다. 단, 포커스 링(17)측 및 배치대(12)측의 내직경측과 외직경측에 적어도 2조의 자성 부재를 배치하는 것이 바람직하다. 포커스 링(17) 및 배치대(12) 사이의 공간(S)에 봉입시키는 He 가스의 체적을 늘려 전열 효율을 향상시킬 수 있다. 또한, 클램프력이 균일해지도록 복수의 자성 부재를 배치하는 것이 바람직하다. 이에 의해, 공간(S)에 있어서의 온도 분포를 균일하게 함으로써, 전열 효율을 더욱 좋게 할 수 있다.
[갭]
포커스 링(17) 및 배치대(12) 사이의 갭(Gp)(도 5 참조)이 넓으면, 포커스 링(17)과 배치대(12) 사이의 공간(S)에 He 가스를 유입시켜도 높은 전열 효율이 얻어지지 않는다. 높은 전열 효율을 얻기 위해서는, 갭(Gp)은 10 ㎛ 정도가 바람직하다. 공간(S)의 폭[도 5에서는, O링(70, 71) 사이의 거리]은, 대략 20 ㎜∼30 ㎜ 정도이다. 이 때문에, 높이가 없고 폭넓은 공간(S)에 있어서 He는 확산되기 어려운 상태로 되어 있다. 그래서, 포커스 링(17)과 배치대(12)가 대향하는 면 중 적어도 어느 하나에 전열 가스를 확산시키기 위한 홈(12a)을 형성하여도 좋다. 이에 의해, 전열 효율을 높일 수 있다. 도 5에서는, 홈(12a)은 배치대(12)측에 형성되어 있지만, 이것에 한정되지 않는다. 홈(12a)은, 공간(S)을 구획하는 포커스 링(17)의 면 또는 배치대(12)의 면 중 어느 하나 또는 양방에 마련될 수 있다. 홈(12a)의 형상은, 링형의 경우, 적어도 1주 형성되고, 2, 3주 형성되어도 좋다. 또한, 홈(12a)의 형상은 이것에 한정되지 않고, 나선형의 홈(12a)이어도 좋고, 방사형의 홈(12a)이어도 좋다.
더욱 전열 효율을 높이기 위해, 포커스 링(17)의 이면에 요철을 형성하고, 포커스 링(17)의 이면의 면적을 넓게 하도록 하여도 좋다. 포커스 링(17)의 이면에 요철을 형성하기 위한 가공 방법의 일례로서는, 블라스트를 이용할 수 있다. 가공 방법의 다른 예로서는, 용사를 이용할 수 있다. 이 경우, 포커스 링(17)의 이면에실리콘을 용사하여 요철을 만드는 것이 바람직하다. 이에 의해, 포커스 링(17)의 표면적(전열 면적)을 늘리면서, 갭(Gp)을 수 ㎛∼10 ㎛ 이하로 함으로써 전열 효과를 올릴 수 있다. 배치대(12)측에 요철을 형성하여도 좋다.
또한, 표면적을 늘리기 위해, 공간(S)을 구획하는 면에 특수한 시트를 마련하여도 좋다. 예컨대, 직경 수 나노∼수십 나노미터의 카본·나노 튜브를 1평방 센티미터당 약 100억개의 밀도로 배열한 점착 테이프를, 공간(S)을 구획하는 포커스 링(17)의 이면 및 배치대(12)의 표면 중 적어도 어느 하나에 부착하여도 좋다. 이에 따르면, 포커스 링(17)의 이면이나 배치대(12)의 표면에 성장시킨 카본·나노 튜브의 점착 테이프에 의해 공간(S)을 구획하는 면의 표면적을 현저하게 늘릴 수 있다. 이에 의해, 전열 효과를 더욱 높일 수 있다.
(철판으로부터 떨어진 자석의 흡인력)
철판에 흡착된 자석의 경우, 철판에의 흡착력은, 표면 자속 밀도의 2승 및 철판과의 접촉 면적에 비례한다. 마찬가지로 철판으로부터 떨어진 자석의 경우도, 철판에의 흡인력은, 「공간 자속 밀도의 2승 및 철판에 마주하는 자석의 면적에 비례한다」라고 생각하여도 좋다. 여기서, 공간 자속 밀도란, 자석으로부터 떨어져 철판이 놓여진 위치에서의 자속 밀도를 말한다. 단, 동시에 「철판에의 흡인력은 거리의 2승에 반비례한다」라고 하는 관계가 있어, 이야기는 조금 복잡해진다.
단, 2승 반비례 법칙은, 미소 자극에 관한 「쿨롱의 법칙」에 따른 것으로, 실제의 자석은, 2승 반비례 법칙대로는 되지 않고, 형상에 따라 크게 상이하다.
도 6의 (a)는 φ 20 ㎜×10 ㎜의 네오디뮴 자석(Neo48)의 흡인력, 공간 자속 밀도(Bp)와 철판으로부터의 거리(X)의 관계를 나타낸 그래프이다. 이 그래프에 따르면, 공간 자속 밀도(Bp)는, 자석으로부터의 거리가 멀어질수록 그 크기는 작아진다. 또한, 자속 밀도는, 자석의 잔류 자속 밀도의 값이나 자석의 형상에 따라서도 상이하다. 예컨대, 도 7을 참조하면, 자속 밀도와 흡착력은 비례하지 않는 것을 알 수 있다. 즉, 자속 밀도는, 자화 방향에 대하여 자석의 높이가 두꺼우면 강해진다.
따라서, 흡인력의 크기도 마찬가지로, 잔류 자속 밀도나 자석의 형상에 따라 상이하고, 거리가 멀어질수록 약해진다. 도 6의 (a)에 나타내는 바와 같이, 거리(X)가 「0」일 때의 값이 표면 자속 밀도 및 흡착력이 된다.
(자석과 철판 사이의 물질의 영향)
자극이 상이한 자석 사이에 전혀 흡착되지 않는 물질이 들어가도, 물질은 공기와 동일하게 자력선을 통과시키기 때문에, 공간 자속 밀도(Bp)는, 전술한 철판으로부터 떨어진 자석의 흡인력의 경우와 마찬가지로 생각할 수 있다. 예컨대, 자석 사이에 플라스틱, 유리, 고무, 종이, 나무 등이 삽입되어 있던 경우 등이 이것에 해당한다. 또한, 알루미늄, 스테인레스와 같이 자석에 흡착되지 않는(비자성) 금속도 마찬가지이다. 한편, 자석에 흡착되는 물질이 자석과 철판 사이에 들어가면 자력선을 차단(흡수)한다. 이 때문에, 철판에 대한 흡인력이 저하하거나 전혀 흡인하지 않게 된다. 단, 자성체라도 매우 얇은 물질이면, 자력선의 대부분은 통과한다. 네오디뮴 자석은 자성 금속의 니켈(도금)로 덮어져 있지만, 10 마이크론 정도의 얇은 막이기 때문에 자석의 성능에는 그다지 영향을 끼치지 않는다.
(자석끼리의 흡인(흡착)력)
착자한 1조의 동형상의 자석이 각각 N극, S극에서 대향하고 있는 경우는, 대향 상대가 철판인 경우의 거리를 대략 1/2로 좁힌 경우와 동등한 공간(표면) 자속 밀도를 얻을 수 있기 때문에, 매우 강한 흡인(흡착)력이 생긴다.
[그 밖의 구조]
일실시형태에 따른 기판 지지 장치(10)의 포커스 링(17) 부근의 그 밖의 구조예에 대해서, 도 8을 참조하면서 설명한다. 도 8은 일실시형태에 따른 포커스 링(17) 부근의 그 밖의 구조예를 나타낸다. 여기서는, 갭(Gp)에 He 가스를 충전하는 온도 조절 수단 대신에, 전열 시트(80)를 갭(Gp)에 개재시킨다. 전열 시트(80)는, 포커스 링(17)측에 부착하여도 좋고, 배치대(12)측에 부착하여도 좋으며, 양방 사이에 매립하여도 좋다.
도 8의 (a)에 나타낸 예에서는, 포커스 링(17)의 하면에는, 중앙에 자성체(64)가 배치된다. 배치대(12)의 대향하는 위치에는, 자석(65)이 배치된다. 갭(Gp)에는 전열 시트(80)가 마련되어 있다.
도 8의 (b)에 나타낸 예에서는, 포커스 링(17)의 하면에는, 추(73)가 배치된다. 포커스 링(17)은, 실리콘으로 형성되어 있어 가볍다. 그래서, 포커스 링(17) 내에 중량이 큰 물질을 매설한다. 이에 의해, 중력에 의해 포커스 링(17)과 배치대(12)의 밀착성을 높일 수 있다. 추(73)는, 텅스텐이나 금이어도 좋다. 단, 처리 챔버 내의 금속 오염을 회피하기 위해, 추(73)는 플라즈마 공간에 노출시키지 않도록 포커스 링(17) 내에 매설시키는 것이 바람직하다. 갭(Gp)에는 전열 시트(80)가 마련되어 있다.
또한, 도 8의 (a) 및 도 8의 (b)에서는, 시트 부재를 도시하고 있지 않지만, 도 8의 (c)에 나타낸 예와 같이, 포커스 링(17)과 배치대(12)가 대향하는 면 중 적어도 어느 하나에 전열 시트(80)를 마련하며, 전열 시트(80)에 의한 처리 챔버 내의 오염 대책으로서, O링의 소재로 코팅한 시트 부재(81)(판형 부재)를 포커스 링(17)의 내주측과 외주측에 배치하여도 좋다. O링의 소재는, 예컨대, 내라디칼성이 있는 퍼플루오로엘라스토머(FFKM)나 실리콘이어도 좋다. 또한, 도 8의 (c)에서는, 포커스 링(17)의 하면의 중앙에는 자석(64)이 배치되고, 배치대(12)의 대향 위치에는, 자성체(62)가 배치된다.
또한, 도 8에서는, 전열 가스의 가스 공급 라인을 도시하고 있지 않지만, 포커스 링(17)과 배치대(12)가 대향하는 면 중 적어도 어느 하나에 전열 시트를 마련하며, 포커스 링(17)과 배치대(12)가 대향하는 면 사이에 전열 가스를 도입하여도 좋다. 이 경우에도, 포커스 링(17)과 배치대(12)가 대향하는 면에는 자성 부재가 마련된다.
[위치 어긋남 방지 기능]
도 9의 (a)에 나타내는 바와 같이, 정전 척(13)의 주연부와 포커스 링(17)의 내주 사이에는, 간극(D)이 마련되어 있다. 간극(D)은, 포커스 링(17)에 균열을 생기지 않게 하기 위해 필요하다. 즉, 정전 척(13)과 포커스 링(17)의 열 팽창률이 상이하기 때문에, 프로세스 중, 정전 척(13)은 포커스 링(17)보다 열 팽창한다. 이 결과, 정전 척(13)의 주연부가, 포커스 링(17)의 내주에 접촉 및 압박되어, 포커스 링(17)이 파손되는 경우가 있다. 이것을 피하기 위해, 간극(D)은, 직경 방향으로 균등한 간격인 것이 바람직하다.
간극(D)이 균등하게 되기 위해서는, 정전 척(13)과 포커스 링(17)의 위치 결정이 필요하게 된다. 위치 결정 방법의 일례로서는, 도 9의 (b)에 나타내는 바와 같이, 포커스 링(17)의 이면에 복수의 오목부(17b)(도 9의 (b)에서는 하나만 도시)를 마련하고, 배치대(12)로부터 돌출하는 위치 결정 핀(90)을 오목부(17b)에 삽입함으로써, 양 부재의 위치 결정을 행하는 방법을 들 수 있다. 그러나, 이 방법에서는, 열 팽창을 고려하여 오목부(17b)는, 위치 결정 핀(90)보다 직경 방향의 폭이 넓게 형성되어 있다. 따라서, 포커스 링(17)의 위치는, 오목부(17b)의 범위 내에서 위치 결정 핀(90)이 움직이는 분만큼 어긋날 가능성이 있다.
이에 대하여, 본 실시형태에 따른 기판 지지 장치(10)에서는, 도 9의 (c)에 나타내는 바와 같이, 포커스 링(17)과 배치대(12)가 대향하는 면에 자석(66, 67)이 마련된다. 이에 의해, 프로세스 중의 입열에 따른 응력에 의해 포커스 링(17)이 다소 어긋났다고 해도, 자력에 의해 자석(66)은 자석(67)의 상방까지 자동적으로 복귀한다. 그 결과, 정전 척(13)에 대하여 포커스 링(17)을 올바른 위치에 복귀시킬 수 있다. 이에 의해, 정전 척(13)과 포커스 링(17) 사이의 간극(D)이 직경 방향에 균등한 폭으로 유지된다.
또한, 자석(66, 67) 대신에 다른 자성 부재를 이용할 수도 있다. 자석(66, 67) 등의 자성 부재는, 포커스 링(17)의 내직경의 중심으로부터의 거리와 배치대(12)의 중심으로부터의 거리가 같아지는 위치에, 포커스 링(17)측 및 배치대(12)측에서 각각 복수개 마련되는 것이 바람직하다. 또한, 한쌍 또는 2쌍 이상의 링형의 자성 부재를, 포커스 링(17)의 내직경의 중심으로부터의 거리와 배치대(12)의 중심으로부터의 거리가 같아지는 위치에 배치하여도 좋다.
이상에 설명한, 본 실시형태에 따른 플라즈마 처리 장치에 따르면, 기판 지지 장치(10)의 배치대(12)와 포커스 링(17) 사이의 열 전달 효율을 향상시켜, 포커스 링(17)의 온도 조정을 양호하게 할 수 있다.
이상, 플라즈마 처리 장치 및 기판 지지 장치를 일실시형태에 따라 설명하였지만, 본 발명은 상기 실시형태에 한정되는 것이 아니며, 본 발명의 범위 내에서 여러가지의 변형 및 개량이 가능하다. 또한, 상기 실시형태 및 변형예를 모순하지 않는 범위에서 조합할 수 있다.
[플라즈마 처리 장치]
이상에 설명한 기판 지지 장치는, 플라즈마에 의해 기판을 처리하는 각종 플라즈마 처리 장치에 적용 가능하다. 예컨대, 플라즈마 처리 장치는, 에칭 처리 장치, 애싱 처리 장치, 성막 처리 장치 등, 플라즈마의 작용에 의해 기판을 처리하는 모든 장치를 포함할 수 있다. 그때, 플라즈마 처리 장치로 플라즈마를 발생시키는 수단으로서는, 용량 결합형 플라즈마(CCP: Capacitively Coupled Plasma) 발생 수단, 유도 결합형 플라즈마(ICP: Inductively Coupled Plasma) 발생 수단, 헬리콘파 여기형 플라즈마(HWP: Helicon Wave Plasma) 발생 수단, 레이디얼 라인 슬롯 안테나로부터 생성한 마이크로파 플라즈마나 SPA(Slot Plane Antenna) 플라즈마를 포함하는 마이크로파 여기 표면파 플라즈마 발생 수단, 전자 사이클로트론 공명 플라즈마(ECR: Electron Cyclotron Resonance Plasma) 발생 수단, 상기 발생 수단을 이용한 리모트 플라즈마 발생 수단 등을 이용할 수 있다.
본 발명에 있어서 처리가 실시되는 기판은, (반도체)웨이퍼에 한정되지 않고, 예컨대, 플랫 패널 디스플레이(Flat Panel Display)용의 대형 기판, EL 소자 또는 태양 전지용의 기판이어도 좋다.
10: 기판 지지 장치
11: 플라즈마 처리 장치(챔버) 하벽
12: 배치대
12a: 홈
13: 정전 척
17: 포커스 링
17b: 오목부
20: DC 전력 공급원
21: 고주파 전원
40: 전열 가스 공급원
50: 제어부
59, 60, 61: 자성 O링 부재
62, 63: 자성체
64, 65, 66, 67: 자석
73: 추
80: 전열 시트
D: 간극
Gp: 갭

Claims (10)

  1. 기판을 배치하는 배치대와, 상기 배치대의 주연부의 둘레에 놓여진 포커스 링을 갖는 플라즈마 처리 장치로서,
    상기 포커스 링과 상기 배치대가 대향하는 면에 마련된, 상기 포커스 링과는 상이한 재질과,
    상기 포커스 링과 상기 배치대가 대향하는 면 사이에 전열 가스와 전열 시트 중 적어도 하나를 도입하여, 상기 포커스 링을 온도 조정하는 온도 조절 수단
    을 갖고,
    상기 포커스 링과는 상이한 재질은 복수의 자성 부재인 것을 특징으로 하는 플라즈마 처리 장치.
  2. 삭제
  3. 제1항에 있어서, 상기 포커스 링과는 상이한 재질은 상기 포커스 링보다 무거운 재질인 것을 특징으로 하는 플라즈마 처리 장치.
  4. 제1항에 있어서, 상기 복수의 자성 부재의 각각은, 자석, 자성 O링 및 자성체 중 어느 하나이며, 상기 포커스 링과 상기 배치대가 대향하는 면의 대향하는 위치에 한쌍으로 마련되는 것을 특징으로 하는 플라즈마 처리 장치.
  5. 제4항에 있어서, 상기 복수의 자성 부재는, 상기 포커스 링과 상기 배치대가 대향하는 면 중, 상기 포커스 링의 내직경의 중심으로부터의 거리와 상기 배치대의 중심으로부터의 거리가 같아지는 위치에 한쌍으로 마련되는 것을 특징으로 하는 플라즈마 처리 장치.
  6. 제1항 및 제3항 내지 제5항 중 어느 한 항에 있어서, 상기 온도 조절 수단은, 상기 전열 가스의 압력을 제어함으로써, 상기 포커스 링을 온도 조정하는 것을 특징으로 하는 플라즈마 처리 장치.
  7. 제1항 및 제3항 내지 제5항 중 어느 한 항에 있어서, 상기 포커스 링과 상기 배치대가 대향하는 면 중 적어도 어느 하나의 면에 상기 전열 가스를 확산시키기 위한 홈을 형성하는 것을 특징으로 하는 플라즈마 처리 장치.
  8. 제1항 및 제3항 내지 제5항 중 어느 한 항에 있어서, 상기 배치대와 대향하는 상기 포커스 링의 면에 요철을 형성하는 것을 특징으로 하는 플라즈마 처리 장치.
  9. 제1항 및 제3항 내지 제5항 중 어느 한 항에 있어서, 상기 배치대는, 정전 척을 포함하는 것을 특징으로 하는 플라즈마 처리 장치.
  10. 제1항에 있어서, 상기 온도 조절 수단은 상기 전열 시트를 사용하여 상기 포커스 링을 온도 조정하는 것을 특징으로 하는 플라즈마 처리 장치.
KR1020140105794A 2013-08-21 2014-08-14 플라즈마 처리 장치 KR102175862B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2013171081A JP6689020B2 (ja) 2013-08-21 2013-08-21 プラズマ処理装置
JPJP-P-2013-171081 2013-08-21

Publications (2)

Publication Number Publication Date
KR20150021889A KR20150021889A (ko) 2015-03-03
KR102175862B1 true KR102175862B1 (ko) 2020-11-06

Family

ID=52479300

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020140105794A KR102175862B1 (ko) 2013-08-21 2014-08-14 플라즈마 처리 장치

Country Status (4)

Country Link
US (1) US10622196B2 (ko)
JP (1) JP6689020B2 (ko)
KR (1) KR102175862B1 (ko)
TW (1) TWI636153B (ko)

Families Citing this family (240)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10153192B2 (en) 2015-09-25 2018-12-11 Sumitomo Osaka Cement Co., Ltd. Electrostatic chuck device
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
CN107316795B (zh) * 2016-04-26 2020-01-03 北京北方华创微电子装备有限公司 一种聚焦环和等离子体处理装置
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
EP3504543A4 (en) * 2016-08-29 2020-04-22 Alexandre N. Terentiev SENSOR FOR GASKET APPLICATIONS AND RELATED METHODS
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US9922857B1 (en) 2016-11-03 2018-03-20 Lam Research Corporation Electrostatically clamped edge ring
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
JP7033926B2 (ja) * 2017-04-26 2022-03-11 東京エレクトロン株式会社 プラズマ処理装置
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
JP6797079B2 (ja) * 2017-06-06 2020-12-09 東京エレクトロン株式会社 プラズマ処理装置、プラズマ制御方法、及びプラズマ制御プログラム
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
WO2019088204A1 (ja) * 2017-11-06 2019-05-09 日本碍子株式会社 静電チャックアセンブリ、静電チャック及びフォーカスリング
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11043400B2 (en) * 2017-12-21 2021-06-22 Applied Materials, Inc. Movable and removable process kit
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
JP7204350B2 (ja) * 2018-06-12 2023-01-16 東京エレクトロン株式会社 載置台、基板処理装置及びエッジリング
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
JP7228989B2 (ja) * 2018-11-05 2023-02-27 東京エレクトロン株式会社 載置台、エッジリングの位置決め方法及び基板処理装置
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7129325B2 (ja) * 2018-12-14 2022-09-01 東京エレクトロン株式会社 搬送方法及び搬送システム
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
US11875970B2 (en) * 2018-12-17 2024-01-16 Advanced Micro-Fabrication Equipment Inc. China Radio frequency electrode assembly for plasma processing apparatus, and plasma processing apparatus
CN111326390B (zh) * 2018-12-17 2023-09-12 中微半导体设备(上海)股份有限公司 射频电极组件和等离子体处理设备
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
JP7340938B2 (ja) * 2019-02-25 2023-09-08 東京エレクトロン株式会社 載置台及び基板処理装置
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
FI129040B (fi) * 2019-06-06 2021-05-31 Picosun Oy Fluidia läpäisevien materiaalien päällystäminen
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
JP2021027152A (ja) * 2019-08-05 2021-02-22 キオクシア株式会社 プラズマ処理装置およびプラズマ処理方法
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR102335472B1 (ko) * 2019-09-04 2021-12-07 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
KR20210044568A (ko) * 2019-10-15 2021-04-23 삼성전자주식회사 식각 장치
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
JP7308767B2 (ja) * 2020-01-08 2023-07-14 東京エレクトロン株式会社 載置台およびプラズマ処理装置
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
KR20220164013A (ko) * 2020-04-02 2022-12-12 램 리써치 코포레이션 통합된 시일들 (seals) 을 갖는 냉각된 에지 링
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
KR102608903B1 (ko) * 2021-04-12 2023-12-04 삼성전자주식회사 플라즈마 식각 장치 및 방법
US20240191356A1 (en) 2021-04-21 2024-06-13 Tokyo Electron Limited Gas supply system, substrate processing apparatus, and operation method for gas supply system
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
JP2023114542A (ja) 2022-02-07 2023-08-18 東京エレクトロン株式会社 基板処理装置及び位置ずれ補正方法

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012134375A (ja) * 2010-12-22 2012-07-12 Tokyo Electron Ltd 基板処理装置及び基板処理方法

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04358071A (ja) * 1991-06-05 1992-12-11 Mitsubishi Electric Corp 真空処理装置
JPH11330047A (ja) * 1998-05-12 1999-11-30 Sony Corp エッチング装置及びエッチング方法
JP2000036486A (ja) * 1998-07-16 2000-02-02 Toshiba Corp プラズマ処理装置及び方法
JP4592916B2 (ja) * 2000-04-25 2010-12-08 東京エレクトロン株式会社 被処理体の載置装置
US6946511B2 (en) * 2002-10-29 2005-09-20 Dupont Dow Elastomers, Llc Plasma resistant elastomer parts
US20040261946A1 (en) * 2003-04-24 2004-12-30 Tokyo Electron Limited Plasma processing apparatus, focus ring, and susceptor
JP4547182B2 (ja) * 2003-04-24 2010-09-22 東京エレクトロン株式会社 プラズマ処理装置
JP4386753B2 (ja) 2004-02-19 2009-12-16 キヤノンアネルバ株式会社 ウェハーステージ及びプラズマ処理装置
JP4695606B2 (ja) 2007-01-09 2011-06-08 東京エレクトロン株式会社 被処理基板の載置装置におけるフォーカスリングの熱伝導改善方法
US8449679B2 (en) * 2008-08-15 2013-05-28 Lam Research Corporation Temperature controlled hot edge ring assembly
JP2010171344A (ja) 2009-01-26 2010-08-05 Tokyo Electron Ltd 真空処理装置
JP2010232476A (ja) * 2009-03-27 2010-10-14 Tokyo Electron Ltd プラズマ処理装置
JP5496630B2 (ja) * 2009-12-10 2014-05-21 東京エレクトロン株式会社 静電チャック装置
JP5741124B2 (ja) * 2011-03-29 2015-07-01 東京エレクトロン株式会社 プラズマ処理装置
JP5762798B2 (ja) 2011-03-31 2015-08-12 東京エレクトロン株式会社 天井電極板及び基板処理載置
JP5313375B2 (ja) * 2012-02-20 2013-10-09 東京エレクトロン株式会社 プラズマ処理装置およびフォーカスリングとフォーカスリング部品

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012134375A (ja) * 2010-12-22 2012-07-12 Tokyo Electron Ltd 基板処理装置及び基板処理方法

Also Published As

Publication number Publication date
US20150053348A1 (en) 2015-02-26
KR20150021889A (ko) 2015-03-03
US10622196B2 (en) 2020-04-14
TWI636153B (zh) 2018-09-21
JP2015041451A (ja) 2015-03-02
TW201522702A (zh) 2015-06-16
JP6689020B2 (ja) 2020-04-28

Similar Documents

Publication Publication Date Title
KR102175862B1 (ko) 플라즈마 처리 장치
JP5029089B2 (ja) プラズマ処理装置用の載置台及びプラズマ処理装置
KR102644272B1 (ko) 정전척 어셈블리
JP5222442B2 (ja) 基板載置台、基板処理装置及び被処理基板の温度制御方法
JP5013393B2 (ja) プラズマ処理装置と方法
JP6540022B2 (ja) 載置台及びプラズマ処理装置
JP4815298B2 (ja) プラズマ処理方法
KR102569911B1 (ko) 포커스 링 및 기판 처리 장치
JP5348919B2 (ja) 電極構造及び基板処理装置
US20100116436A1 (en) Ring-shaped member and method for manufacturing same
JP3374033B2 (ja) 真空処理装置
US9177839B2 (en) Cover part, process gas diffusing and supplying unit, and substrate processing apparatus
US8741098B2 (en) Table for use in plasma processing system and plasma processing system
US11367597B2 (en) Electrostatic chuck and plasma processing apparatus including the same
US8920598B2 (en) Electrode and plasma processing apparatus
JP2019135749A (ja) プラズマ処理装置
US20060037702A1 (en) Plasma processing apparatus
JP2010157559A (ja) プラズマ処置装置
JP2019109980A (ja) プラズマ処理装置
JP2005235970A (ja) ウェハーステージ
JP4456218B2 (ja) プラズマ処理装置
KR20200005398A (ko) 정전 척 및 그를 포함하는 플라즈마 처리 장치
JP2004006813A (ja) 静電吸着ホルダー及び基板処理装置
JP4522003B2 (ja) プラズマ処理装置
JP4471514B2 (ja) プラズマ処理装置

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant