KR20220164013A - 통합된 시일들 (seals) 을 갖는 냉각된 에지 링 - Google Patents

통합된 시일들 (seals) 을 갖는 냉각된 에지 링 Download PDF

Info

Publication number
KR20220164013A
KR20220164013A KR1020227038260A KR20227038260A KR20220164013A KR 20220164013 A KR20220164013 A KR 20220164013A KR 1020227038260 A KR1020227038260 A KR 1020227038260A KR 20227038260 A KR20227038260 A KR 20227038260A KR 20220164013 A KR20220164013 A KR 20220164013A
Authority
KR
South Korea
Prior art keywords
edge ring
heat transfer
transfer gas
interface
seal
Prior art date
Application number
KR1020227038260A
Other languages
English (en)
Inventor
아담 크리스토퍼 메이스
존 홀랜드
알렉산더 마티슈킨
라제쉬 도라이
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20220164013A publication Critical patent/KR20220164013A/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4581Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber characterised by material of construction or surface finish of the means for supporting the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • C23C16/463Cooling of the substrate
    • C23C16/466Cooling of the substrate using thermal contact gas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32642Focus rings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67126Apparatus for sealing, encapsulating, glassing, decapsulating or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Analytical Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

기판 프로세싱 챔버를 위한 기판 지지부는 베이스플레이트, 베이스플레이트 상에 배치된 에지 링, 에지 링과 베이스플레이트 사이의 계면 (interface) 을 규정하도록 구성된 에지 링과 베이스플레이트 사이에 위치된 시일링 장치 (seal arrangement), 및 계면과 유체로 연통하고 (in fluid communication) 계면으로 열 전달 가스를 공급하도록 구성된 적어도 하나의 채널을 포함한다.

Description

통합된 시일들 (seals) 을 갖는 냉각된 에지 링
본 개시는 기판 프로세싱 시스템에서 에지 링 온도를 제어하는 것에 관한 것이다.
본 명세서에 제공된 배경기술 기술 (description) 은 본 개시의 맥락을 일반적으로 제시할 목적이다. 이 배경기술 섹션에 기술된 정도의 본 명세서에 명명된 발명자들의 업적, 뿐만 아니라 출원 시 종래 기술로서 달리 인증되지 않을 수도 있는 본 기술의 양태들은 본 개시에 대한 종래 기술로서 명시적으로나 암시적으로 인정되지 않는다.
기판 프로세싱 시스템들은 반도체 웨이퍼들과 같은 기판들을 처리하기 위해 사용될 수도 있다. 기판 상에서 수행될 수도 있는 예시적인 프로세스들은 이로 제한되는 것은 아니지만, 화학적 기상 증착 (chemical vapor deposition; CVD), 원자 층 증착 (atomic layer deposition; ALD), 도전체 에칭, 유전체 에칭, 및/또는 다른 에칭, 증착, 또는 세정 프로세스들을 포함한다. 기판은 기판 프로세싱 시스템의 프로세싱 챔버의 페데스탈, 정전 척 (electrostatic chuck; ESC), 등과 같은 기판 지지부 상에 배치될 수도 있다. 에칭 동안, 하나 이상의 가스들을 포함하는 에칭 가스 혼합물들은 프로세싱 챔버 내로 도입될 수도 있고 플라즈마가 화학 반응들을 개시하기 위해 사용될 수도 있다.
기판 지지부는 기판을 지지하도록 구성된 세라믹 층을 포함할 수도 있다. 예를 들어, 기판은 프로세싱 동안 세라믹 층에 클램핑될 (clamp) 수도 있다. 기판 지지부는 세라믹 층 및 기판의 외측 주변부를 둘러싸도록 배치된 에지 링을 포함할 수도 있다.
관련 출원들에 대한 교차 참조
본 출원은 2020년 4월 2일에 출원된 미국 특허 가출원 번호 제 63/004,055 호의 이익을 주장한다. 상기 참조된 출원의 전체 개시는 참조로서 본 명세서에 인용된다.
기판 프로세싱 챔버를 위한 기판 지지부는 베이스플레이트, 베이스플레이트 상에 배치된 에지 링, 에지 링과 베이스플레이트 사이의 계면 (interface) 을 규정하도록 구성된 에지 링과 베이스플레이트 사이에 위치된 (seal arrangement), 및 적어도 하나의 계면과 유체로 연통하고 (in fluid communication) 계면으로 열 전달 가스를 공급하도록 구성된 채널을 포함한다.
다른 특징들에서, 계면은 에지 링의 하부 표면과 베이스플레이트의 상부 표면 사이의 갭을 포함한다. 갭은 25 ㎛ 미만의 깊이를 갖는다. 는 제 1 환형 (annular) 시일 및 제 2 환형 시일을 포함하고, 계면은 제 1 환형 시일과 제 2 환형 시일 사이에 규정된다. 는 제 1 환형 시일과 제 2 환형 시일 사이에 배치된 제 3 환형 시일을 포함하고, 그리고 제 3 환형 시일은 계면을 제 1 영역 및 제 2 영역으로 분할한다. 적어도 하나의 채널은 제 1 영역과 유체로 연통하는 제 1 채널 및 제 2 영역과 유체로 연통하는 제 2 채널을 포함하고, 그리고 제 1 채널 및 제 2 채널은 열 전달 가스를 별개로 수용하도록 구성된다. 는 제 1 환형 시일과 제 2 환형 시일 사이에서 방사상 방향으로 연장하는 2 개 이상의 방위각 (azimuthal) 시일들을 포함하고, 2 개 이상의 방위각 시일들은 열 전달 가스를 별개로 수용하도록 구성된 2 개 이상의 방위각 존들로 계면을 분할한다.
다른 특징들에서, 기판 지지부는 계면을 향해 하향으로 에지 링을 바이어스하도록 (bias) 구성된 지지 링을 더 포함한다. 적어도 하나의 채널은 베이스플레이트를 통해 제공된다. 시스템은 기판 지지부를 포함하고, 그리고 적어도 하나의 채널을 통해 계면으로 열 전달 가스를 공급하도록 구성된 열 전달 가스 소스 (gas source) 를 더 포함한다. 제어기는 에지 링의 온도를 조정하기 위해 계면으로의 열 전달 가스의 공급을 제어하도록 구성된다.
기판 프로세싱 챔버를 위한 기판 지지부는 베이스플레이트 및 베이스플레이트 상에 배치된 에지 링을 포함한다. 에지 링의 하부 표면은 제 1 환형 홈 (groove) 및 제 2 환형 홈을 포함한다. 제 1 시일이 제 1 환형 홈에 배치되고, 제 2 시일이 제 2 환형 홈에 배치되고, 제 1 시일과 제 2 시일이 에지 링과 베이스플레이트 사이의 계면을 규정하고, 계면은 열 전달 가스 소스와 유체로 연통한다.
다른 특징들에서, 기판 지지부는 계면과 유체로 연통하고 열 전달 가스 소스로부터 계면으로 열 전달 가스를 공급하도록 구성된 적어도 하나의 채널을 더 포함한다. 제 1 시일 및 제 2 시일은 O-링들을 포함한다. 제 1 시일 및 제 2 시일은 홈들 내에 디스펜싱된 (dispense) 엘라스토머 재료를 포함한다. 시스템은 기판 지지부를 포함하고 열 전달 가스 소스를 더 포함한다. 제어기는 에지 링의 온도를 조정하기 위해 계면으로의 열 전달 가스의 공급을 제어하도록 구성된다.
기판 프로세싱 챔버를 위한 기판 지지부는 베이스플레이트, 베이스플레이트 상에 배치된 에지 링, 및 에지 링과 베이스플레이트 사이의 에지 링의 하부 표면 상에 배치된 개스킷 (gasket) 을 포함한다. 개스킷은 베이스플레이트를 향해 하향으로 연장하는 제 1 환형 림 (rim) 및 제 2 환형 림을 포함하고, 플레넘은 제 1 환형 림과 제 2 환형 림 사이에 규정되고, 플레넘은 열 전달 가스 소스와 유체로 연통한다.
다른 특징들에서, 기판 지지부는 플레넘과 유체로 연통하고 열 전달 가스 소스로부터 플레넘으로 열 전달 가스를 공급하도록 구성된 적어도 하나의 채널을 더 포함한다. 개스킷은 열적 접착제를 사용하여 에지 링의 하부 표면에 본딩된다 (bond). 시스템은 기판 지지부를 포함하고 열 전달 가스 소스를 더 포함한다. 제어기는 에지 링의 온도를 조정하기 위해 플레넘으로의 열 전달 가스의 공급을 제어하도록 구성된다.
기판 프로세싱 챔버를 위한 기판 지지부는 베이스플레이트 및 베이스플레이트 상에 배치된 에지 링을 포함한다. 플레넘은 에지 링과 베이스플레이트 사이의 에지 링의 하부 표면에 형성되고, 에지 링의 하부 표면은 베이스플레이트를 향해 하향으로 연장하는 제 1 환형 림 및 제 2 환형 림을 포함하고, 플레넘은 제 1 환형 림과 상기 제 2 환형 림 사이에 규정되고, 그리고 플레넘은 열 전달 가스 소스와 유체로 연통한다.
다른 특징들에서, 기판 지지부는 플레넘과 유체로 연통하고 열 전달 가스 소스로부터 플레넘으로 열 전달 가스를 공급하도록 구성된 적어도 하나의 채널을 더 포함한다. 시스템은 기판 지지부를 포함하고 열 전달 가스 소스를 더 포함한다. 제어기는 에지 링의 온도를 조정하기 위해 플레넘으로의 열 전달 가스의 공급을 제어하도록 구성된다.
본 개시의 추가 적용 가능 영역들은 상세한 기술 (description), 청구항들 및 도면들로부터 자명해질 것이다. 상세한 기술 및 구체적인 예들은 단지 예시의 목적들을 위해 의도되고, 본 개시의 범위를 제한하도록 의도되지 않는다.
본 개시는 상세한 기술 및 첨부된 도면들로부터 보다 완전히 이해될 것이다.
도 1은 본 개시에 따른 예시적인 기판 프로세싱 시스템이다.
도 2a는 본 개시의 원리들에 따른 예시적인 기판 지지부이다.
도 2b는 본 개시의 원리들에 따른 방위각 (azimuthal) 존들을 규정하는 예시적인 시일들 (seals) 을 포함하는 에지 링의 저면도를 도시한다.
도 3a, 도 3b 및 도 3c는 본 개시의 원리들에 따른 예시적인 에지 링들 및 시일들을 예시한다.
도면들에서, 참조 번호들은 유사한 그리고/또는 동일한 엘리먼트들을 식별하기 위해 재사용될 수도 있다.
기판 프로세싱 챔버에서, 에지 링의 온도는 기판의 외측 에지에서 에칭 레이트 및 균일성과 같은 프로세싱 파라미터들에 영향을 준다. 에지 링은 (플라즈마를 포함하는) 프로세싱 분위기에 노출되고 열을 흡수한다. 따라서, 에지 링의 온도는 프로세싱 동안 가변하고 에지 링의 온도를 제어하는 것은 반복 가능한 에칭 레이트 및 프로세스 균일성을 달성하는 것을 돕는다.
일부 예들에서, 에지 링은 기판 지지부의 베이스플레이트 또는 하부 링과 열적으로 콘택트 (contact) 하여 배치된다. 예를 들어, 베이스플레이트는 에지 링을 위한 열 싱크로서 기능할 수도 있고 열은 에지 링과 베이스플레이트 사이의 계면 (interface) 을 통해 전달된다. 일부 예들에서, 열적 계면 재료 (예를 들어, 겔, 페이스트, 패드, 등과 같은 실리콘계 재료) 가 에지 링으로부터 베이스플레이트로의 열의 전달을 용이하게 하도록 에지 링과 베이스플레이트 사이에 제공된다. 베이스플레이트는 냉각제를 흐르게 하고 베이스플레이트로부터 열을 전달하도록 구성된 냉각제 채널들을 포함할 수도 있다.
에지 링과 기판 지지부 사이의 직접적인 열 전달 콘택트를 사용하여 또는 열적 계면 재료와 조합하여 에지 링의 온도를 제어하는 것은 패시브 온도 제어만을 제공한다. 예를 들어, 에지 링의 온도는 프로세싱 챔버로 전달된 무선 주파수 (radio frequency; RF) 전력, 계면 및/또는 계면 재료의 열적 전도도, 및 콘택트 면적에 따라 가변할 것이다. 따라서, 에지 링으로부터의 열 전달에 대응하는 열 전달 특성들 (예를 들어, 열 전달 계수) 은 하드웨어 또는 열적 계면 재료와 같은 재료들을 변경하지 않고 변화될 수 없다.
또한, 열적 계면 재료 (예를 들어, 실리콘 겔 또는 페이스트) 는 설치하기 어렵고, 모든 프로세싱 챔버에서 일관된 (consistent) 특성들을 갖지 않을 수도 있고, 그리고/또는 열적 계면 재료의 특성들은 시간이 흐름에 따라 변할 수도 있어, 에지 링 온도 드리프트에 기여한다. 예를 들어, 열적 계면 재료는 프로세스 재료들 (예를 들어, 플라즈마) 에 노출될 수도 있고, 열 전달 특성들을 더 열화시킨다 (degrade). 에지 링을 교체하는 것은 열적 계면 재료를 제거하기 위한 기판 지지부의 광범위한 세정을 필요로 한다.
본 개시에 따른 시스템들 및 방법들은 온도 제어를 용이하게 하도록 에지 링과 베이스플레이트 사이의 계면에 열 전달 가스 (예를 들어, 헬륨 및/또는 다른 적합한 불활성 열 전달 가스들) 를 제공한다. 열 전달 가스의 압력은 프로세싱 동안 열 전달 특성들을 조정하도록 제어될 수도 있다. 예를 들어, 에지 링의 하단 표면은 에지 링과 베이스플레이트 사이의 계면에 열 전달 가스를 담도록 (contain) 구성된 통합되거나 본딩된 (bond) (즉, 부착된) 시일 (seal) 을 포함하는 시일링 장치 (arrangement) 를 포함할 수도 있다. 열 전달 가스의 압력은 프로세싱 챔버들 사이의 차들을 보상하도록 조정될 수도 있고 그리고/또는 프로세싱 동안 조정될 수도 있다.
이제 도 1을 참조하면, 일 예시적인 기판 프로세싱 시스템 (100) 이 도시된다. 단지 예를 들면, 기판 프로세싱 시스템 (100) 은 RF 플라즈마를 사용한 에칭 및/또는 다른 적합한 기판 프로세싱을 수행하기 위해 사용될 수도 있다. 기판 프로세싱 시스템 (100) 은 기판 프로세싱 시스템 (100) 의 다른 컴포넌트들을 둘러싸고 RF 플라즈마를 담는 프로세싱 챔버 (102) 를 포함한다. 기판 프로세싱 챔버 (102) 는 상부 전극 (104) 및 정전 척 (ESC) 과 같은 기판 지지부 (106) 를 포함한다. 동작 동안, 기판 (108) 이 기판 지지부 (106) 상에 배치된다. 특정한 기판 프로세싱 시스템 (100) 및 프로세싱 챔버 (102) 가 예로서 도시되지만, 본 개시의 원리들은 리모트 (remote) 플라즈마 생성 (예를 들어, 플라즈마 튜브, 마이크로파 튜브를 사용하여) 및 전달을 구현하는, 플라즈마를 인시츄 생성하는, 등의 기판 프로세싱 시스템과 같은, 다른 유형들의 기판 프로세싱 시스템들 및 프로세싱 챔버들에 적용될 수도 있다.
단지 예를 들면, 상부 전극 (104) 은 프로세스 가스들을 도입하고 분배하는 샤워헤드 (110) 와 같은 가스 분배 디바이스를 포함할 수도 있다. 샤워헤드 (110) 는 프로세싱 챔버 (102) 의 상단 표면에 연결된 일 단부를 포함하는 스템 (stem) 부분을 포함할 수도 있다. 베이스 부분은 일반적으로 원통형이고 (cylindrical), 프로세싱 챔버의 상단 표면으로부터 이격되는 위치에서 스템 부분의 반대편 단부로부터 방사상으로 외향으로 연장한다. 샤워헤드 (110) 의 베이스 부분의 기판-대면 표면 또는 대면플레이트는 복수의 홀들을 포함하고 이를 통해 프로세스 가스 또는 퍼지 가스가 흐른다. 대안적으로, 상부 전극 (104) 은 전도성 플레이트를 포함할 수도 있고 그리고 프로세스 가스들이 또 다른 방식으로 도입될 수도 있다.
기판 지지부 (106) 는 하부 전극으로서 작용하는 전도성 베이스플레이트 (112) 를 포함한다. 베이스플레이트 (112) 는 세라믹 층 (114) 을 지지한다. 본딩 층 (116) (예를 들어, 접착 층 및/또는 열적 본딩 층) 이 세라믹 층 (114) 과 베이스플레이트 (112) 사이에 배치될 수도 있다. 베이스플레이트 (112) 는 베이스플레이트 (112) 를 통해 냉각제를 흘리기 위한 하나 이상의 냉각제 채널들 (118) 을 포함할 수도 있다. 기판 지지부 (106) 는 기판 (108) 의 외측 주변부를 둘러싸도록 구성된 에지 링 (120) 을 포함할 수도 있다.
RF 생성 시스템 (122) 이 RF 전압을 생성하고 상부 전극 (104) 및 하부 전극 (예를 들어, 기판 지지부 (106) 의 베이스플레이트 (112)) 중 하나로 출력한다. 상부 전극 (104) 및 베이스플레이트 (112) 중 다른 하나는 DC 접지될 수도 있거나, AC 접지될 수도 있거나, 또는 플로팅 (float) 할 수도 있다. 본 예에서, RF 전압은 하부 전극에 공급된다. 단지 예를 들면, RF 생성 시스템 (122) 은 매칭 및 분배 네트워크 (126) 에 의해 상부 전극 (104) 또는 베이스플레이트 (112) 에 피딩되는 (feed) RF 전압을 생성하는 RF 전압 생성기 (124) 를 포함할 수도 있다. 다른 예들에서, 플라즈마는 유도적으로 (inductively) 또는 리모트로 (remotely) 생성될 수도 있다. 예시적인 목적들로 도시된 바와 같이, RF 생성 시스템 (122) 은 용량 커플링 플라즈마 (capacitively coupled plasma; CCP) 시스템에 대응하지만, 본 개시의 원리들은 또한 단지 예를 들면, 전달 커플링 플라즈마 (transformer coupled plasma; TCP) 시스템들, CCP 캐소드 시스템들, 리모트 마이크로파 플라즈마 생성 및 전달 시스템들, 등과 같은 다른 적합한 시스템들에서 구현될 수도 있다.
가스 전달 시스템 (130) 이 하나 이상의 가스 소스들 (gas sources) (132-1, 132-2, …, 및 132-N) (집합적으로 가스 소스들 (132)) 을 포함하고, 여기서 N은 0보다 보다 큰 정수이다. 가스 소스들은 하나 이상의 에칭 가스들 및 이들의 혼합물들을 공급한다. 가스 소스들은 또한 캐리어 가스 및/또는 퍼지 가스를 공급할 수도 있다. 가스 소스들 (132) 은 밸브들 (134-1, 134-2, …, 및 134-N) (집합적으로 밸브들 (134)) 및 질량 유량 제어기들 (mass flow controllers; MFC) (136-1, 136-2, …, 및 136-N) (집합적으로 MFC들 (136)) 에 의해 매니폴드 (140) 에 연결된다. 매니폴드 (140) 의 출력이 프로세싱 챔버 (102) 에 피딩된다. 단지 예를 들면, 매니폴드 (140) 의 출력은 샤워헤드 (110) 에 피딩된다.
온도 제어기 (142) 는 채널들 (118) 을 통한 냉각제 플로우를 제어하기 위해 냉각제 어셈블리 (146) 와 통신할 수도 있다. 예를 들어, 냉각제 어셈블리 (146) 는 냉각제 펌프 및 저장부 (reservoir) 를 포함할 수도 있다. 온도 제어기 (142) 는 기판 지지부 (106) 를 냉각하기 위해 채널들 (118) 을 통해 냉각제를 선택적으로 흘리도록 냉각제 어셈블리 (146) 를 동작시킨다.
밸브 (150) 및 펌프 (152) 가 프로세싱 챔버 (102) 로부터 반응 물질들을 배기하도록 사용될 수도 있다. 시스템 제어기 (160) 가 기판 프로세싱 시스템 (100) 의 컴포넌트들을 제어하도록 사용될 수도 있다. 로봇 (170) 이 기판 지지부 (106) 상으로 기판들을 전달하고, 기판 지지부 (106) 로부터 기판들을 제거하도록 사용될 수도 있다. 예를 들어, 로봇 (170) 은 기판 지지부 (106) 와 로드 록 (172) 사이에서 기판들을 이송할 수도 있다. 별개의 제어기들로 도시되지만, 온도 제어기 (142) 는 시스템 제어기 (160) 내에서 구현될 수도 있다.
본 개시에 따른 기판 지지부 (106) 에서, 에지 링 (120) 과 베이스플레이트 (112) 의 상부 표면 사이에 계면 (180) 이 규정된다. 예를 들어, 에지 링 (120) 은 베이스플레이트 (112) 의 상부 표면에 콘택트할 수도 있고 지지될 수도 있다. 헬륨과 같은 열 전달 가스가 열 전달 가스 소스 (182) 로부터 계면 (180) 으로 공급된다. 열 전달 가스는 에지 링 (120) 의 냉각 (즉, 에지 링 (120) 으로부터 베이스플레이트 (112) 로의 열 전달) 을 용이하게 한다. 별개로 도시되었지만, 열 전달 가스 소스 (182) 는 가스 전달 시스템 (130) 내에서 구현될 수도 있다. 온도 제어기 (142) (및/또는 시스템 제어기 (160)) 는 에지 링 (120) 의 온도를 조정하기 위해 계면 (180) 에 공급된 열 전달 가스의 압력을 조정하도록 구성될 수도 있다.
이제 도 2a를 참조하면, 본 개시에 따른 기판 지지부 (200) 의 일 예의 일부가 도시된다. 기판 지지부 (200) 는 기판 (204) 을 지지하도록 구성된다. 기판 지지부 (200) 는 베이스플레이트 (208) (예를 들어, 전도성 베이스플레이트), 세라믹 층 (212), 및 일부 예들에서, 세라믹 층 (212) 과 베이스플레이트 (208) 사이에 배치된 본딩 층 (214) 을 포함한다. 베이스플레이트 (208) 는 베이스플레이트 (208) 를 통해 냉각제를 흘리기 위한 하나 이상의 냉각제 채널들 (216) 을 포함할 수도 있다. 기판 지지부 (200) 는 기판 (204) 의 외측 주변부를 둘러싸도록 구성된 에지 링 (220) 을 포함할 수도 있다.
기판 지지부 (200) 는 열 전달 가스 소스 (228) 로부터 에지 링 (220) 과 베이스플레이트 (208) 사이의 계면 (232) 으로 (예를 들어, 에지 링 (220) 의 후면으로) 헬륨과 같은 열 전달 가스를 제공하도록 구성된 하나 이상의 채널들 (224) (예를 들어, 베이스플레이트 (208) 둘레에 환형으로 (annularly) 이격된 1 내지 10 개 사이의 채널들 (224)) 을 포함한다. 예를 들어, 채널들 (224) 은 베이스플레이트 (208) 를 통해 제공되고 계면 (232) 과 유체로 연통한다 (in fluid communication). 계면 (232) 이 예시적인 목적들을 위해 작은 갭을 갖고 도시되지만, 에지 링 (220) 은 베이스플레이트 (208) 의 상부 표면 상에 직접적으로 지지될 수도 있다. 열 전달 가스는 에지 링 (220) 의 온도의 제어를 용이하게 한다.
온도 제어기 (236) 가 채널들 (216) 을 통한 냉각제 플로우를 제어하도록 냉각제 어셈블리 (240) 와 연통한다. 온도 제어기 (236) 는 (예를 들어, 도 1에 상기 기술된 가스 전달 시스템 (130) 과 같은 가스 전달 시스템의 밸브들을 통해) 열 전달 가스의 플로우를 제어하도록 열 전달 가스 소스 (228) 와 연통한다. 온도 제어기 (236) 는 또한 기판 지지부 (200) 를 냉각하기 위해 채널들 (216) 을 통해 냉각제를 선택적으로 흘리도록 냉각제 어셈블리 (240) 를 동작시킬 수도 있다. 온도 제어기 (236) 는 별개의 제어기일 수도 있고, 시스템 제어기 (244) 내에서 구현되는, 등을 할 수도 있다.
온도 제어기 (236) 는 기판 지지부 (200) 및 에지 링 (220) 의 센싱된 온도 및/또는 모델링된 온도, 프로세스 파라미터들, 등에 부분적으로 기초하여 에지 링 (220) 의 온도를 측정하도록 그리고/또는 계산하도록 구성될 수도 있다. 예를 들어, 온도 제어기 (236) 는 하나 이상의 온도 센서들 (미도시) 을 사용하여 측정될 때 기판 지지부 (200) 의 온도 및 에지 링 (220) 의 온도에 따라 에지 링 (220) 의 온도를 결정한다. 다른 예들에서, 온도 제어기 (236) 는 모델의 출력과 같은 다른 측정된 값 및/또는 추정된 값을 사용하여 에지 링 (220) 의 온도를 계산하도록 구성될 수도 있다. 예를 들어, 온도 제어기 (236) 는 에지 링 (220) 의 온도를 계산하도록 사용된 직접적으로 센싱된 온도들 및/또는 다른 프로세스 파라미터들에 대응하는 하나 이상의 신호들 (252) 을 수신할 수도 있다.
온도 제어기 (236) 는 열 전달 가스 소스 (228) 와 기판 지지부 (200) 사이에 배치된 하나 이상의 센서들 (256) 로부터 열 전달 가스의 플로우 및/또는 열 전달 가스의 압력을 결정할 수도 있다. 예를 들어, 센서들 (256) 은 계면 (232) 에 제공된 열 전달 가스 플로우 (및/또는 압력) 를 측정하는 센서들에 대응할 수도 있다. 온도 제어기 (236) 는 에지 링 (220) 의 결정된 온도 및 에지 링 (220) 의 목표된 온도에 기초하여 열 전달 가스의 압력을 조정하도록 구성된다. 즉, 온도 제어기 (236) 는 목표된 온도를 달성하기 위해 (예를 들어, 플라즈마 에지 시스 (sheath) 를 튜닝하기 위해) 에지 링 (220) 의 온도를 하강시키거나 상승시키기 위해 열 전달 가스의 압력을 상승시키거나 하강시킬 수도 있다.
이 예에서, 에지 링 (220) 의 하단 표면은 계면 (232) 내에 열 전달 가스를 담도록 구성된 통합되거나 본딩된 (즉, 부착된) 시일들 (260) 과 같은 시일링 장치를 포함한다. 예를 들어, 시일들 (260) 은 O-링들 또는 엘라스토머 또는 실리콘 재료로 구성된 다른 시일링 구조체들일 수도 있다. 일부 예들에서, 에지 링 (220) 의 하단 표면 및/또는 베이스플레이트 (208) 의 상부 표면은 시일들 (260) 을 수용하도록 (accommodate) 구성된 하나 이상의 리세스들 (recesses) 또는 홈들 (grooves) 을 포함할 수도 있다. 시일들 (260) 사이의 거리는 계면 (232) 의 폭을 가변시키도록 가변될 수도 있다. 시일들 (260) 은 프로세싱 분위기 (예를 들어, 플라즈마/진공 분위기) 내로 열 전달 가스의 누설 (leak) 을 방지한다. 반대로, 시일들 (260) 은 프로세싱 분위기에서 진공의 손실을 방지한다.
에지 링 (220) 은 시일들 (260) 을 압축하도록 (compress) 베이스플레이트 (208) 를 향해 하향으로 바이어스될 (bias) 수도 있다. 예를 들어, 에지 링 (220) 은 에지 링 (220) 의 하부 표면이 베이스플레이트 (208) 의 상부 표면과 콘택트하고 환형 방향 및 방사상 방향 모두에서 일관된 갭 (예를 들어, 1 내지 25 ㎛의 깊이를 갖는 갭) 이 유지되도록 하향으로 바이어스될 수도 있다. 열 전달 특성들이 보다 작은 갭으로 증가되기 때문에, 갭은 에지 링 (220) 으로부터 그리고 열 전달 가스를 통해 베이스플레이트 (208) 내로 열 전달을 최대화하도록 최소화된다.
도시된 바와 같이, 에지 링 (220) 은 지지 링 (268) 을 향해 에지 링 (220) 을 당기도록 (pull) 구성된 스크루 (264) 와 같은 패스너 (fastener) 를 사용하여 하향으로 바이어스된다. 일부 예들에서, 선형 액추에이터 (linear actuator) (270) 는 지지 링 (268) 을 하향으로 당기도록 구성되고, 이는 결국 에지 링 (220) 을 하향으로 당긴다. 예를 들어, 지지 링 (268) 은 외측 링 (272) (예를 들어, 석영 또는 또 다른 절연성 재료를 포함하는 링) 상에 배치될 수도 있다. 선형 액추에이터 (270) 의 외측 표면 및 외측 링 (272) 을 통해 그리고 지지 링 (268) 내로 연장하는 채널의 내측 표면들은 상보적으로 쓰레드될 (thread) 수도 있다.
에지 링 (220) 및 지지 링 (268) 이 분리된 컴포넌트들로 도시되지만, 다른 예들에서 에지 링 (220) 및 지지 링 (268) 은 단일의 통합된 컴포넌트를 포함할 수도 있다. 에지 링 (220) 에 가해진 (exert) 하향 힘은 시일 (260) 의 상향 바이어스 및 계면 (232) 내의 열 전달 가스의 압력에 대항하고 (oppose) 베이스플레이트 (208) 의 상부 표면에 대고 (against) 에지 링 (220) 을 유지한다. 다른 예들에서, 또 다른 클램핑 (clamp) 메커니즘이 사용될 수도 있다. 하나 이상의 시일들 (예를 들어, O-링들; 미도시) 은 지지 링 (268) 과 외측 링 (272) 사이, 베이스플레이트 (208) 와 외측 링 (272) 사이, 등에 진공 브레이크로서 제공될 수도 있다.
일부 예들에서, 또 다른 선택 가능한 시일 (280) 이 계면 (232) 을 2 개의 분리된 영역들 및 각각의 갭들 (즉, 내측 환형 영역 및 외측 환형 영역) 로 분할하도록 시일들 (260) 사이에 배치될 수도 있다. 이 예에서, 열 전달 가스는 방사상 불균일성들을 보상하기 위해 에지 링 (220) 의 상이한 방사상 영역들의 열 전달 (및 각각의 온도들) 을 별개로 제어하도록 상이한 영역들에 별개로 제공될 수도 있다. 다른 예들에서, 부가적인 시일들 (미도시) 이 계면 (232) 을 복수의, 별개의 영역들로 더 분할하도록 제공될 수도 있다. 다른 예들에서, 대응하는 영역과 각각 유체로 연통하는, 복수의 열 전달 가스 소스들이 있다.
일 예에서, 단일 열 전달 가스 소스 (228) 는 모든 채널들 (224) 에 열 전달 가스를 제공한다. 다른 예들에서, 복수의 열 전달 가스 소스들 (228) 이 열 전달 가스를 채널들 (224) 각각의 채널들로 별개로 공급하도록 제공될 수도 있다. 예를 들어, 도 2b는 시일들 (260) 이 에지 링 (220) 의 내측 주변부로부터 외측 주변부로 방사상 방향으로 연장하는 복수의 방위각 (azimuthal) 시일들 (284) 을 더 포함하는 배열 (arrangement) 의 에지 링 (220) 의 저면도를 도시한다. 시일들 (284) 은 계면 (232) 을 복수의 방위각 존들 (288) 로 분리한다. 열 전달 가스는 채널들 (224) 각각의 채널들을 통해 존들 (288) 에 별개로 제공될 수도 있다. 이러한 방식으로, 존들 (288) 로부터의 열 전달 (및 따라서, 존들 (288) 의 온도) 은 방위각 불균일성들을 보상하도록 별개로 제어될 수도 있다.
도 3a 및 도 3b는, 각각, 본 개시에 따른 시일링 장치 (308) 의 구현 예들을 포함하는, 다른 예시적인 에지 링 (300) 및 에지 링 (304) 을 도시한다. 도 3a에서, 시일링 장치 (308) 는 에지 링 (300) 의 하단 표면 (312) 내에 또는 하단 표면 (312) 상에 직접 통합된다. 예를 들어, 하단 표면 (312) 은 시일링 장치 (308) 의 내측 부분 (308-1) 및 외측 부분 (308-2) (예를 들어, O-링들) 각각을 유지하도록 구성된 내측 홈 (320) 및 외측 홈 (324) 을 규정하는 하부 부분 (316) 을 포함한다. 에지 링 (300) 의 외측 부분 (예를 들어, 숄더 (shoulder)) 상의 하단 표면 (312) 은 실질적으로 편평하다.
일 예에서, 시일링 장치 (308) 의 내측 부분 (308-1) 및 외측 부분 (308-2) 은 홈 (320) 및 홈 (324) 내에서 (예를 들어, 접착제를 사용하여) 본딩된다. 또 다른 예에서, 내측 부분 (308-1) 및 외측 부분 (308-2) 중 하나 또는 모두는 접착제 없이 홈 (320) 및 홈 (324) 각각 내에 유지될 수도 있다. 예를 들어, 시일링 장치 (308) 의 외측 부분 (308-2) 은 홈 (324) 보다 약간 보다 작은 직경을 가질 수도 있고 홈 (324) 내로의 삽입을 위해 신장된다. 반대로, 시일링 장치 (308) 의 내측 부분 (308-1) 은 홈 (320) 보다 약간 보다 큰 직경을 가질 수도 있고 홈 내로의 삽입을 위해 압축된다. 여전히 또 다른 예에서, 시일링 장치 (308) 는 홈 (320) 및 홈 (324) 내로 직접 디스펜싱되는 (dispense) 엘라스토머, 실리콘, 에폭시, 등을 포함한다.
따라서, 도 3a에 도시된 예에서, 시일링 장치 (308) 는 에지 링 (300) 이 설치되거나 제거될 때 별개의 설치 또는 제거를 요구하지 않고 설치 및/또는 제거될 수 있다. 또한, 에지 링 (300) 이 (예를 들어, 튜닝을 위해) 이동 가능한 예들에서, 시일링 장치 (308) 는 에지 링 (300) 과 함께 자동으로 상승되고 하강된다. 이들 예들에서, 열 전달 가스의 공급은 에지 링 (300) 이 상승될 때 중단될 수도 있다.
도 3b에 도시된 예에서, 하부 부분 (316) 은 실질적으로 편평하고 홈 (320) 및 홈 (324) 을 포함하지 않는다. 대신, 시일링 장치 (308) 는 하부 부분 (316) 에 직접적으로 본딩되는 열적 계면 재료를 포함하는 개스킷 (gasket) (328) 에 대응한다. 예를 들어, 개스킷 (328) 은 열적 접착제 (332) 를 사용하여 하부 부분 (316) 에 본딩된다. 개스킷 (328) 은 플레넘 (344) 을 규정하는 하향-연장 내측 림 (rim) (336) 및 외측 림 (340) 을 포함하고 열 전달 가스는 플레넘 (344) 에 공급된다. 림 (336) 및 림 (340) 은 베이스플레이트의 상부 표면에 대고 압축되고 플레넘 (344) 내에 열 전달 가스를 시일링한다. 단지 예를 들면, 플레넘 (344) 은 일관된 목표된 깊이 (예를 들어, 1 내지 25 ㎛) 를 달성하기 위해 레이저를 사용하여 개스킷 (328) 의 하부 표면 내로 에칭될 수도 있다.
도 3c는 본 개시에 따른 또 다른 예시적인 에지 링 (348) 을 도시한다. 이 예에서, 시일링 장치 (308) 는 에지 링 (300) 의 하단 표면 (312) 에 형성된 플레넘 (352) 을 포함하고 하향-연장된 내측 림 (356) 및 외측 림 (360) 이 플레넘 (352) 을 규정한다. 열 전달 가스는 플레넘 (352) 에 공급된다. 림 (356) 및 림 (360) 은 베이스플레이트의 상부 표면에 대고 압축되고 도 3b에 도시된 예와 유사한 방식으로 플레넘 (352) 내에 열 전달 가스를 시일링한다. 예를 들어, 림 (356) 및 림 (360) 의 하부 표면들은 평활하고 (즉, 편평하고), 일부 예들에서, 에지 링 (348) 과 베이스플레이트의 상부 표면 사이의 시일을 개선하도록 폴리싱될 (polish) 수도 있다.
단지 예를 들면, 플레넘 (352) 은 에지 링 (348) 의 하단 표면 (312) 내로 직접 에칭될 수도 있다. 예를 들어, 플레넘 (352) 은 일관된 목표된 깊이 (예를 들어, 1 내지 25 ㎛) 를 달성하기 위해 레이저 (예를 들어, 레이저 어블레이션 (ablation)) 를 사용하여 에칭될 수도 있다. 다른 예들에서, 에지 링 (348) 은 플레넘 (352) 을 형성하도록 머시닝될 (machine) 수도 있다.
전술한 기술은 본질적으로 단지 예시이고, 어떠한 방식으로도 본 개시, 이의 적용 예, 또는 사용들을 제한하도록 의도되지 않는다. 본 개시의 광범위한 교시들 (teachings) 은 다양한 형태들로 구현될 수 있다. 따라서, 본 개시가 특정한 예들을 포함하지만, 본 개시의 진정한 범위는 다른 수정들이 도면들, 명세서 및 이하의 청구항들의 연구 시 자명해질 것이기 때문에 이렇게 제한되지 않아야 한다. 방법의 하나 이상의 단계들은 본 개시의 원리들을 변경하지 않고 상이한 순서로 (또는 동시에) 실행될 수도 있다는 것이 이해되어야 한다. 또한, 실시 예들 각각이 특정한 피처들을 갖는 것으로 상기 기술되었지만, 본 개시의 임의의 실시 예에 대해 기술된 이들 피처들 중 임의의 하나 이상의 피처들은, 조합이 명시적으로 기술되지 않아도, 임의의 다른 실시 예들의 피처들로 및/또는 임의의 다른 실시 예들의 피처들과 조합하여 구현될 수 있다. 즉, 기술된 실시 예들은 상호 배타적이지 않고, 하나 이상의 실시 예들의 또 다른 실시 예들과의 치환들이 본 개시의 범위 내에 남는다.
엘리먼트들 간 (예를 들어, 모듈들, 회로 엘리먼트들, 반도체 층들, 등 간) 의 공간적 관계 및 기능적 관계는, "연결된 (connected)", "인게이지된 (engaged)", "커플링된 (coupled)", "인접한 (adjacent)", "옆에 (next to)", "~의 상단에 (on top of)", "위에 (above)", "아래에 (below)" 및 "배치된 (disposed)"을 포함하는, 다양한 용어들을 사용하여 기술된다. "직접적 (direct)"인 것으로 명시적으로 기술되지 않는 한, 제 1 엘리먼트와 제 2 엘리먼트 간의 관계가 상기 개시에서 기술될 때, 이 관계는 제 1 엘리먼트와 제 2 엘리먼트 사이에 다른 중개하는 엘리먼트들이 존재하지 않는 직접적인 관계일 수 있지만, 또한 제 1 엘리먼트와 제 2 엘리먼트 사이에 (공간적으로 또는 기능적으로) 하나 이상의 중개하는 엘리먼트들이 존재하는 간접적인 관계일 수 있다. 본 명세서에 사용된 바와 같이, 구 (phrase) A, B 및 C 중 적어도 하나는 비배타적인 논리 OR를 사용하여, 논리적으로 (A 또는 B 또는 C) 를 의미하는 것으로 해석되어야 하고, "적어도 하나의 A, 적어도 하나의 B 및 적어도 하나의 C"를 의미하는 것으로 해석되지 않아야 한다.
일부 구현 예들에서, 제어기는 상기 기술된 예들의 일부일 수도 있는 시스템의 일부이다. 이러한 시스템들은 프로세싱 툴 또는 툴들, 챔버 또는 챔버들, 프로세싱을 위한 플랫폼 또는 플랫폼들 및/또는 특정 프로세싱 컴포넌트들 (웨이퍼 페데스탈, 가스 플로우 시스템, 등) 을 포함하는, 반도체 프로세싱 장비를 포함할 수 있다. 이들 시스템들은 반도체 웨이퍼 또는 기판의 프로세싱 이전에, 프로세싱 동안에, 그리고 프로세싱 이후에 그들의 동작을 제어하기 위한 전자 장치 (electronics) 와 통합될 수도 있다. 전자 장치는 시스템들 또는 시스템의 서브 파트들 또는 다양한 컴포넌트들을 제어할 수도 있는 "제어기 (controller)"로서 지칭될 수도 있다. 제어기는, 시스템의 프로세싱 요건들 및/또는 타입에 따라서, 프로세싱 가스들의 전달, 온도 설정들 (예를 들어, 가열 및/또는 냉각), 압력 설정들, 진공 설정들, 전력 설정들, 무선 주파수 (RF) 생성기 설정들, RF 매칭 회로 설정들, 주파수 설정들, 플로우 레이트 설정들, 유체 전달 설정들, 포지션 및 동작 설정들, 툴 및 다른 이송 툴들 및/또는 특정 시스템과 연결되거나 인터페이싱된 로드 록들 내외로의 웨이퍼 이송들을 포함하는, 본 명세서에 개시된 프로세스들 중 임의의 프로세스들을 제어하도록 프로그래밍될 수도 있다.
일반적으로 말하면, 제어기는 인스트럭션들을 수신하고, 인스트럭션들을 발행하고, 동작을 제어하고, 세정 동작들을 인에이블하고, 엔드포인트 측정들을 인에이블하게 하는, 등을 하는 다양한 집적 회로들, 로직, 메모리 및/또는 소프트웨어를 갖는 전자 장치로서 규정될 수도 있다. 집적 회로들은 프로그램 인스트럭션들을 저장하는 펌웨어의 형태의 칩들, 디지털 신호 프로세서들 (digital signal processors; DSPs), ASICs (Application Specific Integrated Circuits) 로서 규정되는 칩들 및/또는 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로제어기들을 포함할 수도 있다. 프로그램 인스트럭션들은 반도체 웨이퍼 상에서 또는 반도체 웨이퍼에 대한 특정 프로세스를 수행하기 위한 동작 파라미터들을 규정하는, 다양한 개별 설정들 (또는 프로그램 파일들) 의 형태로 제어기와 통신하는 또는 시스템과 통신하는 인스트럭션들일 수도 있다. 일부 실시 예들에서, 동작 파라미터들은 하나 이상의 층들, 재료들, 금속들, 옥사이드들, 실리콘, 실리콘 다이옥사이드, 표면들, 회로들 및/또는 웨이퍼의 다이들 (dies) 의 제조 동안에 하나 이상의 프로세싱 단계들을 달성하도록 프로세스 엔지니어들에 의해서 규정된 레시피의 일부일 수도 있다.
제어기는, 일부 구현 예들에서, 시스템과 통합되거나, 시스템에 커플링되거나, 그렇지 않으면 시스템에 네트워킹되거나, 또는 이들의 조합으로 될 수 있는 컴퓨터에 커플링되거나 이의 일부일 수도 있다. 예를 들어, 제어기는 웨이퍼 프로세싱의 원격 액세스를 가능하게 할 수 있는 팹 (fab) 호스트 컴퓨터 시스템의 전부 또는 일부이거나 "클라우드" 내에 있을 수도 있다. 컴퓨터는 제조 동작들의 현 진행을 모니터링하거나, 과거 제조 동작들의 이력을 조사하거나, 복수의 제조 동작들로부터 경향들 또는 성능 계측치들을 조사하거나, 현 프로세싱의 파라미터들을 변경하거나, 현 프로세싱을 따르는 프로세싱 단계들을 설정하거나, 새로운 프로세스를 시작하기 위해서, 시스템으로의 원격 액세스를 가능하게 할 수도 있다. 일부 예들에서, 원격 컴퓨터 (예를 들어, 서버) 가 로컬 네트워크 또는 인터넷을 포함할 수도 있는, 네트워크를 통해 프로세스 레시피들을 시스템에 제공할 수 있다. 원격 컴퓨터는 차후에 원격 컴퓨터로부터 시스템으로 전달될 파라미터들 및/또는 설정들의 입력 또는 프로그래밍을 인에이블하는 사용자 인터페이스를 포함할 수도 있다. 일부 예들에서, 제어기는 하나 이상의 동작들 동안 수행될 프로세싱 단계들 각각에 대한 파라미터들을 특정하는, 데이터의 형태의 인스트럭션들을 수신한다. 파라미터들은 제어기가 제어하거나 인터페이싱하도록 구성되는 툴의 타입 및 수행될 프로세스의 타입에 특정적일 수도 있다는 것이 이해되어야 한다. 따라서 상기 기술된 바와 같이, 제어기는 예컨대 본 명세서에 기술된 프로세스들 및 제어들과 같은, 공통 목적을 향해 함께 네트워킹되고 작동하는 하나 이상의 개별 제어기들을 포함함으로써 분산될 수도 있다. 이러한 목적들을 위한 분산형 제어기의 일 예는 챔버 상의 프로세스를 제어하도록 조합되는 원격으로 (예컨대 플랫폼 레벨에서 또는 원격 컴퓨터의 일부로서) 위치한 하나 이상의 집적 회로들과 통신하는 챔버 상의 하나 이상의 집적 회로들일 것이다.
비한정적으로, 예시적인 시스템들은 플라즈마 에칭 챔버 또는 모듈, 증착 챔버 또는 모듈, 스핀-린스 챔버 또는 모듈, 금속 도금 챔버 또는 모듈, 세정 챔버 또는 모듈, 베벨 에지 에칭 챔버 또는 모듈, 물리적 기상 증착 (physical vapor deposition; PVD) 챔버 또는 모듈, 화학적 기상 증착 (chemical vapor deposition; CVD) 챔버 또는 모듈, 원자 층 증착 (atomic layer deposition; ALD) 챔버 또는 모듈, 원자 층 에칭 (atomic layer etch; ALE) 챔버 또는 모듈, 이온 주입 챔버 또는 모듈, 트랙 (track) 챔버 또는 모듈 및 반도체 웨이퍼들의 제조 및/또는 제작 시에 사용되거나 연관될 수도 있는 임의의 다른 반도체 프로세싱 시스템들을 포함할 수도 있다.
상술한 바와 같이, 툴에 의해서 수행될 프로세스 단계 또는 단계들에 따라서, 제어기는, 반도체 제작 공장 내의 툴 위치들 및/또는 로드 포트들로부터/로드 포트들로 웨이퍼들의 컨테이너들을 이동시키는 재료 이송 시에 사용되는, 다른 툴 회로들 또는 모듈들, 다른 툴 컴포넌트들, 클러스터 툴들, 다른 툴 인터페이스들, 인접 툴들, 이웃하는 툴들, 공장 도처에 위치한 툴들, 메인 컴퓨터, 또 다른 제어기, 또는 툴들 중 하나 이상과 통신할 수도 있다.

Claims (26)

  1. 기판 프로세싱 챔버를 위한 기판 지지부에 있어서,
    베이스플레이트;
    상기 베이스플레이트 상에 배치된 에지 링;
    상기 에지 링과 상기 베이스플레이트 사이에 위치된 시일링 장치 (seal arrangement) 로서, 상기 시일링 장치는 상기 에지 링과 상기 베이스플레이트 사이의 계면 (interface) 을 규정하도록 구성되는, 상기 시일링 장치; 및
    상기 계면과 유체로 연통하고 (in fluid communication) 상기 계면으로 열 전달 가스를 공급하도록 구성된 적어도 하나의 채널을 포함하는, 기판 지지부.
  2. 제 1 항에 있어서,
    상기 계면은 상기 에지 링의 하부 표면과 상기 베이스플레이트의 상부 표면 사이의 갭을 포함하는, 기판 지지부.
  3. 제 2 항에 있어서,
    상기 갭은 25 ㎛ 미만의 깊이를 갖는, 기판 지지부.
  4. 제 1 항에 있어서,
    상기 시일링 장치는 제 1 환형 (annular) 시일 및 제 2 환형 시일을 포함하고, 그리고 상기 계면은 상기 제 1 환형 시일과 상기 제 2 환형 시일 사이에 규정되는, 기판 지지부.
  5. 제 4 항에 있어서,
    상기 시일링 장치는 상기 제 1 환형 시일과 상기 제 2 환형 시일 사이에 배치된 제 3 환형 시일을 포함하고, 그리고 상기 제 3 환형 시일은 상기 계면을 제 1 영역 및 제 2 영역으로 분할하는, 기판 지지부.
  6. 제 5 항에 있어서,
    상기 적어도 하나의 채널은 상기 제 1 영역과 유체로 연통하는 제 1 채널 및 상기 제 2 영역과 유체로 연통하는 제 2 채널을 포함하고, 그리고 상기 제 1 채널 및 상기 제 2 채널은 상기 열 전달 가스를 별개로 수용하도록 구성되는, 기판 지지부.
  7. 제 4 항에 있어서,
    상기 시일링 장치는 상기 제 1 환형 시일과 상기 제 2 환형 시일 사이에서 방사상 방향으로 연장하는 2 개 이상의 방위각 (azimuthal) 시일들을 포함하고, 상기 2 개 이상의 방위각 시일들은 상기 열 전달 가스를 별개로 수용하도록 구성된 2 개 이상의 방위각 존들로 상기 계면을 분할하는, 기판 지지부.
  8. 제 1 항에 있어서,
    상기 계면을 향해 하향으로 상기 에지 링을 바이어스하도록 (bias) 구성된 지지 링을 더 포함하는, 기판 지지부.
  9. 제 1 항에 있어서,
    상기 적어도 하나의 채널은 상기 베이스플레이트를 통해 제공되는, 기판 지지부.
  10. 제 1 항에 기재된 기판 지지부를 포함하고, 그리고 상기 적어도 하나의 채널을 통해 상기 계면으로 상기 열 전달 가스를 공급하도록 구성된 열 전달 가스 소스 (gas source) 를 더 포함하는, 시스템.
  11. 제 10 항에 있어서,
    상기 에지 링의 온도를 조정하기 위해 상기 계면으로의 상기 열 전달 가스의 공급을 제어하도록 구성된 제어기를 더 포함하는, 시스템.
  12. 기판 프로세싱 챔버를 위한 기판 지지부에 있어서,
    베이스플레이트;
    상기 베이스플레이트 상에 배치된 에지 링으로서, 상기 에지 링의 하부 표면은 제 1 환형 홈 (groove) 및 제 2 환형 홈을 포함하는, 상기 에지 링;
    상기 제 1 환형 홈에 배치된 제 1 시일; 및
    상기 제 2 환형 홈에 배치된 제 2 시일을 포함하고,
    상기 제 1 시일 및 상기 제 2 시일은 상기 에지 링과 상기 베이스플레이트 사이의 계면을 규정하고, 상기 계면은 열 전달 가스 소스와 유체로 연통하는, 기판 지지부.
  13. 제 12 항에 있어서,
    상기 계면과 유체로 연통하고 상기 열 전달 가스 소스로부터 상기 계면으로 열 전달 가스를 공급하도록 구성된 적어도 하나의 채널을 더 포함하는, 기판 지지부.
  14. 제 12 항에 있어서,
    상기 제 1 시일 및 상기 제 2 시일은 O-링들을 포함하는, 기판 지지부.
  15. 제 12 항에 있어서,
    상기 제 1 시일 및 상기 제 2 시일은 상기 홈들 내에 디스펜싱된 (dispense) 엘라스토머 재료를 포함하는, 기판 지지부.
  16. 제 12 항에 기재된 기판 지지부를 포함하고 그리고 상기 열 전달 가스 소스를 더 포함하는, 시스템.
  17. 제 16 항에 있어서,
    상기 에지 링의 온도를 조정하기 위해 상기 계면으로의 상기 열 전달 가스의 공급을 제어하도록 구성된 제어기를 더 포함하는, 시스템.
  18. 기판 프로세싱 챔버를 위한 기판 지지부에 있어서,
    베이스플레이트;
    상기 베이스플레이트 상에 배치된 에지 링; 및
    상기 에지 링과 상기 베이스플레이트 사이의 상기 에지 링의 하부 표면 상에 배치된 개스킷 (gasket) 을 포함하고, 상기 개스킷은 상기 베이스플레이트를 향해 하향으로 연장하는 제 1 환형 림 (rim) 및 제 2 환형 림을 포함하고, 플레넘은 상기 제 1 환형 림과 상기 제 2 환형 림 사이에 규정되고, 그리고 상기 플레넘은 열 전달 가스 소스와 유체로 연통하는, 기판 지지부.
  19. 제 18 항에 있어서,
    상기 플레넘과 유체로 연통하고 상기 열 전달 가스 소스로부터 상기 플레넘으로 열 전달 가스를 공급하도록 구성된 적어도 하나의 채널을 더 포함하는, 기판 지지부.
  20. 제 18 항에 있어서,
    상기 개스킷은 열적 접착제를 사용하여 상기 에지 링의 상기 하부 표면에 본딩되는 (bond), 기판 지지부.
  21. 제 18 항에 기재된 기판 지지부를 포함하고 그리고 상기 열 전달 가스 소스를 더 포함하는, 시스템.
  22. 제 21 항에 있어서,
    상기 에지 링의 온도를 조정하기 위해 상기 플레넘으로의 상기 열 전달 가스의 공급을 제어하도록 구성된 제어기를 더 포함하는, 시스템.
  23. 기판 프로세싱 챔버를 위한 기판 지지부에 있어서,
    베이스플레이트; 및
    상기 베이스플레이트 상에 배치된 에지 링을 포함하고, 플레넘은 상기 에지 링과 상기 베이스플레이트 사이의 상기 에지 링의 하부 표면에 형성되고, 상기 에지 링의 상기 하부 표면은 상기 베이스플레이트를 향해 하향으로 연장하는 제 1 환형 림 및 제 2 환형 림을 포함하고, 상기 플레넘은 상기 제 1 환형 림과 상기 제 2 환형 림 사이에 규정되고, 그리고 상기 플레넘은 열 전달 가스 소스와 유체로 연통하는, 기판 지지부.
  24. 제 23 항에 있어서,
    상기 플레넘과 유체로 연통하고 상기 열 전달 가스 소스로부터 상기 플레넘으로 열 전달 가스를 공급하도록 구성된 적어도 하나의 채널을 더 포함하는, 기판 지지부.
  25. 제 23 항에 기재된 기판 지지부를 포함하고 그리고 상기 열 전달 가스 소스를 더 포함하는, 시스템.
  26. 제 25 항에 있어서,
    상기 에지 링의 온도를 조정하기 위해 상기 플레넘으로의 상기 열 전달 가스의 공급을 제어하도록 구성된 제어기를 더 포함하는, 시스템.
KR1020227038260A 2020-04-02 2021-03-22 통합된 시일들 (seals) 을 갖는 냉각된 에지 링 KR20220164013A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US202063004055P 2020-04-02 2020-04-02
US63/004,055 2020-04-02
PCT/US2021/023406 WO2021202136A1 (en) 2020-04-02 2021-03-22 Cooled edge ring with integrated seals

Publications (1)

Publication Number Publication Date
KR20220164013A true KR20220164013A (ko) 2022-12-12

Family

ID=77929351

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020227038260A KR20220164013A (ko) 2020-04-02 2021-03-22 통합된 시일들 (seals) 을 갖는 냉각된 에지 링

Country Status (6)

Country Link
US (1) US20230133798A1 (ko)
JP (1) JP2023520034A (ko)
KR (1) KR20220164013A (ko)
CN (1) CN115362543A (ko)
TW (1) TW202209395A (ko)
WO (1) WO2021202136A1 (ko)

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009290087A (ja) * 2008-05-30 2009-12-10 Tokyo Electron Ltd フォーカスリング及びプラズマ処理装置
JP5642531B2 (ja) * 2010-12-22 2014-12-17 東京エレクトロン株式会社 基板処理装置及び基板処理方法
JP6689020B2 (ja) * 2013-08-21 2020-04-28 東京エレクトロン株式会社 プラズマ処理装置
US9922857B1 (en) * 2016-11-03 2018-03-20 Lam Research Corporation Electrostatically clamped edge ring
KR102387008B1 (ko) * 2017-11-06 2022-04-18 엔지케이 인슐레이터 엘티디 정전 척 어셈블리, 정전 척 및 포커스 링

Also Published As

Publication number Publication date
WO2021202136A1 (en) 2021-10-07
US20230133798A1 (en) 2023-05-04
TW202209395A (zh) 2022-03-01
CN115362543A (zh) 2022-11-18
JP2023520034A (ja) 2023-05-15

Similar Documents

Publication Publication Date Title
KR102488729B1 (ko) 이동 가능한 에지 링 및 가스 주입 조정을 사용하여 웨이퍼 상 cd 균일성의 제어
US11069553B2 (en) Electrostatic chuck with features for preventing electrical arcing and light-up and improving process uniformity
US11984296B2 (en) Substrate support with improved process uniformity
TWI763969B (zh) 用於電漿處理中之均勻性控制的漸縮上電極
TWI765922B (zh) 具有小間隙之銷升降器組件
JP2017216441A (ja) 静電チャック接合のための永久二次浸食封じ込め
KR102521717B1 (ko) 아킹 (arcing) 을 감소시키기 위한 헬륨 플러그 설계
US11942351B2 (en) Electrostatic chucks with coolant gas zones and corresponding groove and monopolar electrostatic clamping electrode patterns
US10832936B2 (en) Substrate support with increasing areal density and corresponding method of fabricating
TWI827654B (zh) 用於基板處理系統之侷限環與在基板處理系統中使用侷限環的方法
US20230133798A1 (en) Cooled edge ring with integrated seals
US12020960B2 (en) Determining and controlling substrate temperature during substrate processing
US20230253193A1 (en) Substrate support with uniform temperature across a substrate
US20230352278A1 (en) Plasma-exclusion-zone rings for processing notched wafers
US20220235459A1 (en) Reduced diameter carrier ring hardware for substrate processing systems
WO2023114082A1 (en) Improved thermal and electrical interface between parts in an etch chamber
WO2024030307A1 (en) System and method to maintain constant clamping pressure during chamber rebooting and power failure instances