JP4585852B2 - 基板処理システム、基板処理方法及び昇華装置 - Google Patents

基板処理システム、基板処理方法及び昇華装置 Download PDF

Info

Publication number
JP4585852B2
JP4585852B2 JP2004524213A JP2004524213A JP4585852B2 JP 4585852 B2 JP4585852 B2 JP 4585852B2 JP 2004524213 A JP2004524213 A JP 2004524213A JP 2004524213 A JP2004524213 A JP 2004524213A JP 4585852 B2 JP4585852 B2 JP 4585852B2
Authority
JP
Japan
Prior art keywords
carrier gas
sublimation
solid
support
container
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP2004524213A
Other languages
English (en)
Other versions
JP2005535112A (ja
Inventor
エリック ジェイ. シェロ
マイケル イー. ギヴンズ
ライアン シュミット
Original Assignee
エーエスエム アメリカ インコーポレイテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by エーエスエム アメリカ インコーポレイテッド filed Critical エーエスエム アメリカ インコーポレイテッド
Publication of JP2005535112A publication Critical patent/JP2005535112A/ja
Application granted granted Critical
Publication of JP4585852B2 publication Critical patent/JP4585852B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4481Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by evaporation using carrier gas in contact with the source material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4481Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by evaporation using carrier gas in contact with the source material
    • C23C16/4483Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by evaporation using carrier gas in contact with the source material using a porous body

Description

本発明は、概ね基板製造分野に関し、さらに詳細には固体原料を効率よく蒸発させるように設計された半導体処理装置に関する。
半導体ウェハなどの基板上への集積回路の製作では、化学気相成長法(「CVD」)、並びに最近では原子層堆積(ALD)などの化学物質の気相成長が、多くの場合望ましい。適切な原料化学物質の範囲が広がったことによって、室温および大気圧でもともと液体または固体である前駆体原料を使用することが多くなってきた。
固体原料または化学物質からの前駆体を使用して効果的に堆積を行うためには、当然ながら、まず固体原料を蒸発させる必要がある。この目的のために、昇華装置を使用して、固体原料を蒸発させる。さらに、固体原料を超える蒸気圧にするために、そのような装置と共に熱源をよく使用する。
残念ながら、昇華装置が一構成要素である既存の半導体処理システムでは、蒸気量に対する、固体原料の表面積の比率が不適切であること、および蒸気と固体との接触時間が不十分であることを含めて、いくつかの欠点を有する。よくあることだが、現在の処理システムでは、キャリアガスが、固体原料と完全には接触せずに入口から出口へ流れて、それにより、キャリアガスが固体原料蒸気で飽和することが妨げられる可能性がある。さらに、従来の昇華層では、蒸気と固体との接触時間を長くする必要があり、「トンネリング」を生じることが多い。トンネリングは、ガスが、粉末の大部分を通らずに、優先的に抵抗の低い経路に沿って流れやすくなることが原因で生じて、その結果、粉末のトンネルの幅が広くなるにつれて、ガス流に曝される固体前駆体の表面積が徐々に狭くなる。たとえ昇華層が蒸発していない固体原料粉末を多く含んでいても、キャリアガスを飽和させることはますます難しくなる。
本発明は、改良された半導体処理システムを提供する。ここに示した実施形態では、本システムは、蒸気反応物質用の固体原料が被覆される表面を有する支持体などの案内構造体を含んでいる。ここに示した案内構造体は、キャリアガスが蒸気反応物質用の固体原料で繰り返し飽和することを促進するように構成される。
本発明の一態様によれば、基板処理システムには、キャリアガス源と、蒸気反応物質用の固体原料が表面に被覆される支持体と、支持体の下流に配置された反応室とが設けられる。支持体は、支持体を通って、キャリアガスを案内するように構成され、このキャリアガスは、キャリアガス源から生じる。
本発明の別の態様によれば、昇華システムには、キャリアガス源と、蒸気反応物質用の固体原料が被覆される、流入出させ得る支持エレメントとが設けられる。支持エレメントは、概ね非直線形の接触経路内において支持体を通ってキャリアガスを案内するように構成される。
本発明のさらに別の態様によれば、昇華装置は、昇華容器と、容器内の蒸気反応物質用の固体原料層と、固体原料層からの蒸気反応物質と接触させるようにキャリアガスを案内するように形成された案内構造体とを含む。
好ましい実施形態によれば、案内構造体は、曲がりくねった接触経路を提供することにより、キャリアガスを、隔離し、固体層の表面積全体に渡って案内するように構成される。この経路はまた、長く狭いことが好ましい。容器入口ポートが、接触経路の初めに配置され、また容器出口ポートが、接触経路の終わりに配置される。キャリアガス案内構造体は、入口ポートから出口ポートまでを測定した直線距離の約2.5倍より長く実質的に隔離され曲がりくねった接触経路に沿って、キャリアガスが蒸気反応物質と接触することを保証するように構成される。
他の好ましい実施形態によれば、基板処理方法、および基板表面に層を堆積させるために原子層堆積(ALD)プロセスを行う方法が、提供される。これらの方法は、前駆体蒸気でキャリアガスを実質的に飽和させることが好ましい。いくつかの好ましい実施形態では、前記容器内の設計により栓流の滞留時間分布を実質的に示すことによって、キャリアガスの実質的な栓流は、前駆体蒸気と実質的に飽和して昇華容器を出る。
本発明の好ましい実施形態の特徴は、高頻度で成長室へ、正確でかつ均一の量の反応物質蒸気を送出可能であるということである。好ましい実施形態の別の特徴は、他の要因として、問題になる「トンネリング」を避けられる結果としての、昇華容器の容積に対する固体原料の表面積の高い比率である。好ましい実施形態の別の特徴は、蒸気と固体との接触時間が長くなることである。好ましい実施形態のさらに別の特徴は、昇華層の寿命がある間ガス流低抗を比較的均一にすることができることである。いくつかの好ましい実施形態の別の特徴は、前駆体蒸気と実質的に飽和したキャリアガスの、実質的に栓流の滞留時間分布を生じさせることである。
後述する好ましい実施形態により、これらおよび他の特徴を詳細に概要を説明する。
本発明、ならびに先行技術を超えて達成された利点を要約するために、本発明のいくつかの目的および利点を上に述べてきた。当然ながら、本発明のどの特定の実施形態によっても、必ずしも全てのそのような特徴、目的または利点を達成可能ではないことが理解される。したがって、例えば、ここに教示または示唆されるような他の目的または利点を必ずしも達成せずに、ここに教示した1つの利点、または利点群を達成するかまたは最適化するように、本発明を実施又は実行可能であることを、当業者は理解するだろう。
これらの実施形態のすべては、ここに開示された本発明の範囲内であるものとする。本発明のこれらおよび他の実施形態は、添付の図面を参照した、好ましい実施形態の以下の詳細な説明から、当業者に容易に明らかになるだろうが、本発明は、ここに開示した特定の好ましい実施形態に限定はされない。
基板の表面上において薄膜を成長させるいくつかの蒸着方法がある。これらの方法には、真空蒸着堆積と、分子線エピタキシー(MBE)と、化学気相成長法(CVD)の変形(減圧および有機金属CVD、およびプラズマCVDを含む)と、原子層エピタキシー(ALE)とがあり、原子層エピタキシーは、最近は原子層堆積(ALD)と呼ばれることが多い。
ALEまたはALDは、前駆体種(例えば、第1の前駆体および第2の前駆体)を基板に連続して導入することに基づく堆積方法であり、この基板は、反応または処理室内に配置される。成長の仕組みは、基板の活性部位への1つの前駆体の吸着に依存する。条件は、単分子層のみが1つのパルスで生成されるようなものであって、その結果、本プロセスが自己終結または飽和する。例えば、第1の前駆体は、吸着された種の上に残る配位子を含み得、この吸着された種は、第1の前駆体のそれ以上の吸着を妨げる。温度は、第1の前駆体がほぼそのままの基板に化学吸着するように、前駆体の凝縮温度を超えかつ熱分解温度を下回る温度に維持しておく。一般に、この第1の吸着ステップの次に、第1の排気またはパージ段階が続き、この段階において、過剰の第1の前駆体および生じ得る反応副生成物が、反応室から除去される。次いで、第2の前駆体を反応室内へ導入する。第2の前駆体は、吸着した種と反応可能であり、それにより所望の薄さの膜からなる単分子層が生成される。例えば、第2の前駆体は、配位子交換プロセスにおいて、その前に吸着された種の末端配位子と入れ替わり可能である。別の構成では、中間反応物質が、その前に吸着された種の配位子を分離し、次の反応物質が、残りの単分子層と直接反応またはそれに吸着可能である。一度吸着された第1の前駆体の全量が消費されると、この成長は、終結する。次いで、第2の前駆体および生じ得る反応副生成物の過剰分は、第2の排気またはパージ段階によって除去される。サイクルを繰り返して、薄膜を所望の厚さに成長させることができる。サイクルは、さらにより複雑な場合がある。例えば、サイクルは、2つ、3つ、4つなどの化合物を生成するための、パージおよび/または排気ステップにより分かれる3つまたはそれ以上の反応物質パルスを含み得る。
ALEおよびALD方法が、フィンランド国特許公報第52,359号および第57,975号と、米国特許第4,058,430号および第4,389,973号明細書とに記載されており、これらは、参照によりここに組み込まれる。これらの方法を行うのに適した装置が、例えば、米国特許第5,855,680号明細書、フィンランド国特許第100,409号明細書、Material Science Report 4 (7) (1989), p 261、Tyhjiotekniikka (真空技術に関するフィンランド国の刊行物)ISBN951-794-422-5のpp. 253-261に開示されており、それらは、参照によってここに組込まれる。フィンランド国エスポーのエーエスエム マイクロケミストリー オーワイ(ASM Microchemistry Oy)が、ALCVD(登録商標)という商品名で、ALDプロセス用のこのような設備を供給している。エーエスエム アメリカ(ASM America)もまた、Pulsar(登録商標)という商品名で、このようなALD設備を供給している。
いくつかのALD反応器では、最初は、前駆体のいくつかまたはすべてを固体状態で容器内に保管する場合がある。容器内において、前駆体を加熱して、固体の前駆体を気体または蒸気状態に変換する。一般に、キャリアガスは、反応器へ気化した前駆体を輸送するために使用する。キャリアガスは、通常、不活性ガス(例えば、窒素)であって、不活性ガスは、パージ段階に使用するのと同じガスにすることができる。
トンネリングおよび不適切な固体/気体の接触を含めた、既存の昇華装置に関する前述の問題は、原子層堆積(「ALD」)において用いる条件など、非定常状態の基板の製造条件により悪化し、パルス化された各流れ中の、実質的に飽和しているかまたは少なくともそう予測可能な前駆体分を、繰り返し正確に測定して送る昇華装置の能力が非常に重要である。換言すれば、ALD反応器は、各パルスの続く間、既知であり制御され再現可能な濃度の蒸気に基板を短時間曝すことが望ましい。
ALDを使用する特定の一実施形態では、キャリアガス流は、一定の頻度でパルス化され、キャリア流が、容器の容積中を流れ得ることにより、容器の容積を分離する。通常の昇華装置の設計が用いられる場合、パルス化されたキャリアガス流につきものの非定常状態の条件が、特定の問題を生じさせる結果となる。例えば、固体原料昇華層を流れる絶対ガス流量は、能動的には制御されないが、2つまたはそれ以上の代替経路の相対的な抵抗によって変化すると、ガス流は、昇華層の形状を変化させその結果流体抵抗が変化することで変動する。昇華層を流れる絶対ガス流量がこのように変動することによって、パルスごとの前駆体分が変化し、したがってウェハに堆積する層の堆積が均一でなくなり、ウェハに欠陥が生じかねない。例えば、上記のように、単に、粉末などの前駆体固体原料だけで充填される容器から形成される昇華層には、チャネリングまたは「トンネリング」が生じる恐れがある。トンネリングによって、キャリアガスの飽和は、層が消耗されるにつれてキャリアガスが接触する固体原料の表面積が狭くなることにより、減少する結果になる。層を形成するために前駆体固体原料のみを使用した場合は、層が収縮するか、または個々の前駆体の粒子の形状が時間の経過に伴って変化するにつれて、層の抵抗もまた、時間とともに変化し得る。
固体原料が被覆された支持手段の表面に対する高率な「表面積」とは、容器中の自由な液体表面に対する、同じ容器の空間へ露出され被覆された全表面積の比率が高い支持手段のことである。
「流入出させ得る支持エレメント」とは、固体の前駆体で被覆し容器内外に流入出させ得る、ビーズ、シリンダ、フィラメント、またはリングなどのエレメントのことである。流入出可能な各支持エレメントは、トンネリングを妨げかつ適切な横方向の混合を保証するように、円柱の直径(例えば、幅)の約1/8未満の呼び寸法にすることが好ましい。
「固定手段」は、流入出させることができない手段であり、処理中には静止したままになっている。
「キャリアガス接触経路」は、キャリアガスが通常固体原料装置中を流れる経路であり、この経路は、キャリアガスを固体原料表面と実質的に接触させる。
図1を参照すると、昇華装置を備える基板処理システムを使用する本発明の実施形態が、示されており、このシステムでは、キャリアガス源4と気相成長室5との間に、容器1が一直線に配置されている。ここに示した成長室5は、化学気相成長(CVD)室にすることができるが、原子層堆積(ALD)室であることが好ましく、それは、パルシングバルブ、配管、ガス源、および適切な制御回路またはソフトウェアを備えるパルシング機構(図示せず)を含んでいる。ALD室は、一パルス当たり1つより少ないかまたは1つの単分子層を残す、交互の自己制御式の、処理蒸気のパルス用に構成されることが好ましい。混合されてその結果CVD型の反応が生じる危険を最小限にするために、各反応物質用に別個の入口経路を設けることが好ましい。昇華容器1は、ガス管を容器1の入口ポート2に接続することによりキャリアガス源4に連結され、また、成長室5は、ガス管を容器1の出口ポート3に接続することにより昇華容器1に連結される。
代替実施形態では、キャリアガスは、室へ蒸気反応物質を運ぶためには使用されない。代わりに、これらの実施形態では、希釈していない蒸気反応物質が、蒸気圧と反応器圧力との間の圧力の差により生じる対流によって処理室へ流れる。ここに説明する代替実施形態では、「流れ」または「キャリアガスの流れ」による蒸気反応物質の搬送が、キャリアガスまたは化学反応器圧力の差である圧力の変化(ΔP)により示し得ることを、当業者は理解するだろう。いずれの場合でも、固体原料容器からの流れは、ALDに一般的なパルス化条件(すなわち、0.1から1.0秒のオーダーのパルス持続時間および1秒から1分のオーダーのサイクル持続時間)で実質的に飽和させることが好ましい。
さらに他の代替構成では、結果として生じる希釈混合物を出力することに先立ち、追加のガスを、キャリアガスおよび蒸気反応物質の混合物に追加する。そのような希釈は、容器の出口ポート3と成長室5との間において行うことが好ましい。蒸気反応物質のための適用により異なるが、下流の反応室内において堆積以外の反応(例えば、エッチング、ゲッタリングなど)を行うことができることに留意する。
ここで図2Aを参照すると、案内構造体として被覆された支持体を使用する、半導体処理システムの昇華装置29の実施形態が、示されている。ここに示した実施形態では、支持体は、昇華容器1内に充填されるビーズ6を含む、「流入出させ得る」支持エレメントからなる。これらのビーズは、キャリアガスに曲がりくねった経路を提供するように形成されることが好ましい。入口ポート2は、キャリアガス用の入口として構成され、また、出口ポート3は、ビーズ6を通過したキャリアガス用の出口として構成される。昇華容器1はまた、支持エレメントの交換をしやすくするために、充填ポート8を有することがさらに好ましい。入口ポート2および出口ポート3は、昇華容器1の第1の軸線を画定することが好ましい。ここに示した実施形態では、昇華容器1は、入口ポート2をシリンダの一方の端に配置し、かつ出口ポート3を反対側の端に配置したシリンダであるが、容器を適切などんな形状にもすることができることを当業者は理解するだろう。さらに、容器1は、ビーズ6上の固体原料被覆7(図2B)の気化に影響しそれを制御するために、ここに示した、容器1を囲む抵抗加熱エレメントなどのヒーター26を有することがさらに好ましい。容器1は、昇華容器の入口2および出口3に分配マニホールド(図示せず)を含んでおり、このマニホールドは、被覆された支持体と均等な接触をさせるように容器を横切ってキャリアガスをさらに均等に分配するように形成されることがさらに好ましい。容器の壁内部の加熱エレメントとしてここに図示されているが、加熱は、いくつかの形式のどの形式にしてもよい。一実施形態では、容器は、真空室内において放射加熱される。この放射加熱システムの詳細は、2001年5月14日付出願の米国出願第09/854,706号明細書にさらに説明されており、その開示は、参照によってその全てが組み込まれる。
図2Bは、図2Aに示した昇華容器1の一部分を拡大した図である。ビーズ6は、キャリアガスとの接触が行われ得る多数のガス経路9を提供するように構成され充填されて、キャリアガスが固体原料被覆の広い面積と接触することを保証し、よって、流れがパルス化されて層を横断すると、蒸気反応物質とさらに飽和しやすくなる。当業者であれば、非常に長いパルスが、容器中を通る連続した流れとなることを理解するだろう。
図2Cに示すように、各支持エレメント、ここではビーズ6は、蒸気反応物質被覆7用の固体原料で被覆されている。好ましくは、ビーズ6の幅または直径Dは、固体原料被覆7の厚さCより実質的に大きくし、その目的は、被覆された支持エレメントの形状、よって昇華層の流れの全抵抗が、好ましくは時間の経過とともに被覆7が腐食されてもあまり変動しないことを保証することである。同時に、各支持エレメントの体積は、支持エレメントにより形成された支持体の非常に広い面積を犠牲にするほど大きくすべきではない。ビーズの直径Dに対する被覆の厚さCの比率(C/D)は、0.01と0.30との間、さらに好ましくは0.05と0.15との間であることが好ましい。ビーズの体積は、容器の全容積により異なる。例えば、大きなサイズ(直径12インチ、高さ12インチ)の容器では、ビーズの体積および粒子の体積が、容器の全容量の何分の一であると考えられる場合、好ましい粒子数は、最高10,000個であり、最低100個以下である。この好ましい比率は、5×10−5 < 体積ビーズ/体積< 0.01であり、さらに好ましくは、0.0002と0.005との間とする。
ビーズ6は、アルミナ(Al)または融解石英などの、不活性な物質、ならびに好ましくは、ステンレス鋼、ハステロイ、ニッケル、窒化ホウ素(BN)、および他の適切な物質などの熱伝導性のある物質を含む。最も好ましくは、支持エレメントは、炭化ケイ素(SiC)を含み、それは、化学的に不活性でかつ伝導性がある。
図2Dは、図2Aに示した昇華容器の代替構成を示す。固体原料被覆7で被覆された、ビーズではなくシリンダ10を使用する昇華層の拡大図を示す。シリンダ10を充填したこの構成によって、多数のガス接触経路9が作り出され、その目的は、キャリアガスが、パルス化されて層を横断して流れると、固体原料被覆の広い面積と接触することを保証することである。非常に長いパルスが、容器を通る連続した流れとなることを、当業者であれば理解するだろう。
追加の代替構成では、図2Aに示した、被覆され流入出させ得る支持エレメント6が、ペレット、球体、フィラメントまたはリングの形態をしている。化学触媒産業は、同様の幾何学的形状(ビーズ、ペレット、球体、リングなど)を有し流入出させ得る支持エレメントを使用し、各エレメントは、触媒材料で被覆され、この触媒材料はまた、ここに提供した好ましい実施形態の代替構成を実施するために、適切な幾何学的形状の支持エレメント構成を提供するだろう。これらの支持体は、直線形でないキャリアガス用接触経路を提供するように配置されることが好ましい。これらの支持エレメントは、多孔構造体および非多孔構造体を含み得る。多孔質な支持エレメントを使用する構成では、細孔は、好ましくはマクロ孔であって、このマクロ孔は、被覆が行われると、凝縮された固体原料で完全には充填されにくい。
ここで、図3Aを参照すると、昇華装置29内において、被覆された固定型の支持体であって、昇華容器1内に収容され固体原料で被覆されたフィルタ11の形態の支持体を使用する本発明の実施形態が、示されている。フィルタ11は、蒸気反応物質被覆用の固体原料が十分に排気されたとき、なおフィルタ11を効率的に交換可能なまま、昇華容器1を充実質的に填するように構成されることが好ましい。図3Bは、容器1の部分断面図を示し、図3Cは、図3Bに示したフィルタ11の拡大した断面を示している。フィルタ11は、フィルタファイバ12によって形成されることが好ましく、各フィルタファイバ12は、蒸気反応物質(または固体前駆体)用の固体原料7で被覆されて、蒸気反応物質によるキャリアガスの飽和を保証するために、表面積が広がった多数のガス接触経経路9を提供する。被覆の厚さに対するファイバの幅の比率は、流入出させ得る支持エレメントに関して後述する範囲内にあることが好ましい。さらには、容器1は、固体原料被覆7の気化を制御するために、ヒーター26を有することが、さらに好ましい。
図4Aは、多数の交差プレート構造体13の形態であり被覆された固定型支持体を、昇華装置29内において使用する本発明の実施形態を示しており、各プレート14は、蒸気反応物質用の固体原料で被覆されている。多数の交差プレート構造体13は、昇華容器1の内側の容積を実質的にふさぐように、昇華容器1内に配置されることが好ましい。図4Bは、図4Aにおいて使用された個々のプレート14のレイアウトの部分断面図を示す。プレート14は、出口3においてキャリアガスの飽和が不完全になる可能性を減少させるために、表面積および抵抗の両方が相互に実質的に等しい平行なトンネル24を提供するように構成されることが好ましい(図4Cおよびその説明を参照)。図4Aおよび図4Bに示したプレート14によって形成された平行な各トンネル24は、個別のキャリアガス接触経路になり、これらのキャリアガス接触経路は、複数の交差プレート構造体13の長さ(図4Aを参照)を延びている。さらに、容器1は、固体原料被覆7の気化を増加させ制御するために、ヒーター26を有することがさらに好ましい。
図4Aに示した連結プレート構造体の代替構成では、垂直に交差するパターンのプレート14は利用せず、三角形のオーバーラップパターンなどの、90度より大きい角度またはそれより小さい角度のプレート交差部を使用する。
図4Cは、プレート14の表面に付着された固体原料被覆7をよりよく示すために、図4Bに示した多数の交差プレート構造体の一部分を拡大した図である。前述のように、連結プレートは、別個の平行なトンネル24を提供するように構成されることが好ましく、これらの平行なトンネル24は、蒸気反応物質用の固体原料で被覆された表面とキャリアガスとがぴったり接触することを保証するのに十分狭い。好ましい実施形態では、プレート14は、固体原料と飽和した蒸気にさらされて凝縮することなどにより、固体原料で被覆されており、また、プレート14は、石英から形成されている。
代替構成では、キャリアガスがさらに渦巻き状に流れて接触する経路を提供するために、プレートにより形成可能なガス流接触経路は、プレートを非平行な構成(例えば、中心をずらすかまたは互い違いにした配置)などの変形によって、あるいはプレート面に孔を設けることによって、非直線状にすることができる。いくつかの構成では、プレートは、概ね多孔質な材料から形成される。
ここで図5Aを参照すると、昇華装置29内において、容器1内に配置されたスクリーンカートリッジ15の形態である、被覆された支持体を使用する本発明の一実施形態が、示されている。スクリーンカートリッジ15は、多数のスクリーン16からなり、スクリーン16は、主要なキャリアガス流路に対して垂直に積み重ねられることが好ましい。個々のスクリーン16は、取り外し可能であることが好ましく、また、容器には、この目的のために、1つまたはそれ以上の密閉可能なドアまたはハッチ(図示せず)が設けられ、したがって、メンテナンスまたは再充填操作中に下流の未排気のスクリーン16を所定位置にしたまま、蒸気反応物質の固体原料が排気された上流のスクリーンを取り外して交換することができる。さらに、容器1はまた、固体原料被覆7の気化を増加させ制御するために、ヒーター26(容器1の壁内部に示す)を有することがさらに好ましい(図5B)。
図5Bは、図5Aに示したスクリーン16の断面を示す。各スクリーン16は、スクリーンファイバー17からなる。図5Cに示す拡大図は、個々のスクリーンファイバー17に付着された固体原料被覆7を図示するために、図5Bに示したスクリーン16の一部分を表す。スクリーンファイバー17は、図5Cに示すようにスクリーンファイバー17を織り合わせるなど、均一なオーバーラップパターンに整列させることが好ましい。
図6Aは、概ね平行な個別のガス接触経路を形成するガス流案内構造体としての、被覆された固定型支持体であって、ここでは管19により形成される固定型支持体を使用する本発明の別の実施形態を示す。図6Bに示すように、管19は、管束18内に配置され、昇華容器1内に配置されることが好ましい。狭い入口2からガスを案内し、全管19中に均等に分配し、同様に出口3においてガスを集めて狭い所を通り抜けさせるために、容器1に、マニホールド(図示せず)を設けることが好ましい。当業者は、このようなマニホールド(図示せず)が、ここに述べた実施形態のどれにおいても容器を横切るガス流の分配を改善可能であることを理解するだろう。さらに、容器1はまた、固体原料被覆7の気化を増加させ制御するために、ヒーター26を有することが好ましい(図6C)。図6Cは、管19の内側の固体原料被覆7を示すために、図6Aおよび図6Bにおける管束18を形成する管19の拡大斜視図である。
図7Aを参照すると、ガス流案内構造体として固定型の支持体、ここではコイル管20を使用する本発明の一実施形態が、示されている。コイル管20は、入口ポート2および出口ポート3の両方に、好ましくは昇華容器1内において結合される。コイル管20は、キャリアガスがコイル管20を流れている時に接触し固体原料が被覆された一体型の接触経路(図示せず)の長さを最大限にするために、しっかり巻かれるように形成されている。さらに、容器1はまた、固体原料被覆7の気化を制御するために、ヒーター26を有することが好ましい。代替実施形態では、コイル管20は、図7Bに示すような昇華容器1内に含まれていないが、ヒーター26を有するように形成されることが好ましい。
図7Cは、図7Aおよび図7Bに使用されたコイル管の拡大図である。コイル管20の内側は、好ましくはコイル管20の全長にわたって均一に、固体原料被覆7で被覆される。
コイル管20は、ALDに一般的なキャリアガスの流れ(例えば、50から5000sccmのキャリアおよび化学物質、または5から500sccmの純粋化学物質の流れ)において、固体が、時間が経過して入口から出口へ消費されると、(キャリアを伴うかまたはキャリアを伴わない)ガスの流れは、管の全長のおよそ20%未満または20%のみを流れた後に、実質的に飽和する(例えば、所与の温度において化学的飽和蒸気圧の95%より大きくなる)ように設計されることが好ましい。これによって、その容器では、1回分の滞留時間を5回分の滞留時間に相当させることができ、これによって、PFRについては、多くの分散モデル反応器または層流反応器により、95%を越える変換または飽和が可能となる。支持体が撓む結果内側の固体原料被覆が損傷することを回避するためには、コイル管20を、正確に昇華容器1内に取り付けるように形成することが好ましい。特定の一実施形態では、コイル管は、蒸発および凝縮技術によって塩化ハフニウム(HfCl)で被覆される。一例においては、各コイル管20の内径は、約0.25インチから約2インチまでであり、被覆の厚さは、約0.025と約0.25との間である。流れをパルス化する操作のために選択されたパルス時間よりも流れが長く続く場合、管の全有効長さは、容器の流れの滞留時間が好ましくは5を超えるように選択することが好ましい。絶対長さは、化学的な昇華の割合、ガス流量、容器の圧力、反応器の圧力、容器の温度などの要素により異なる。いくつかの好ましい実施形態では、昇華容器は、ALDの条件下においてキャリアガスを実質的に飽和させるように、これらの要素を考慮して設計される。
本発明は、特に、半導体ウェハ処理用の半導体処理システムとして非常に適しているが、当業者によって理解されるように、本発明が、幅広い種類の基板を処理するための基板処理システムに対して適用可能であることを理解すべきである。
固体原料を被覆したガス流案内構造体を使用する本発明の実施形態は、様々なプロセスによって形成されるこれらの固体原料被覆を有する場合があり、例えば、他の技術には、昇華および凝縮技術、静電粉体塗装、溶媒蒸発、CVD、ALD、および当業者に既知の他の技術などがある。一構成では、固体原料被覆は、塩化ジルコニウム(ZrCl)であり、また代替構成では、固体原料被覆は、塩化ハフニウム(HfCl)である。いくつかの好ましい実施形態において使用する管もまた、管自体の内壁に直接蒸気反応物質用の固体原料を原子層堆積(ALD)によって被覆可能であり、それは、1999年1月17日付で公表された国際出願公開第WO99/229924号パンフレットに開示されており、それは、この目的のために参照によってここに組み込まれる。
好ましい実施形態はまた、例えば酸化アルミニウム(Al)またはアルミナ、および二酸化ケイ素(SiO)などの不活性な物質、並びに例えば他には炭化ケイ素(SiC)などの熱伝導物質からなる、固体原料が被覆された案内構造体を使用する。
固体原料被覆を施した支持体を使用する実施形態では、被覆を施した支持体が占める体積に対する、キャリアガスに曝される被覆表面の比率は、実施形態によって変わるのが一般的である。例えば、限定はしないが、この比率は、図6Aの実施形態については好ましくは約1cm−1より大きく、さらに好ましくは約2cm−1を超える。その比率は、図3Aの実施形態については好ましくは約5cm−1より大きく、さらに好ましくは約10cm−1より大きい。その比率は、図7Aの実施形態については好ましくは約0.1cm−1より大きく、さらに好ましくは0.2cm−1よりも大きい。
好ましい代替実施形態では、被覆の厚さと、被覆を施した支持体により形成された開口部のサイズとの比率は、各キャリアガスパルスを実質的に飽和させるために、固体の前駆体の表面積を広げキャリアガス接触経路を延長する際に考慮すべき重要なことである。例えば、被覆を施した管または管束を使用する実施形態では、被覆の厚さと、被覆を施した管の開口部の直径との比率は、好ましくは約0.01と約0.30との間であり、さらに好ましくは約0.05と約0.15との間である。被覆を施したプレートを使用するいくつかの好ましい実施形態では、被覆を施した交差プレートにより形成された開口部の幅と、被覆の厚さとの比率は、好ましくは約0.01と約0.30との間であり、さらに好ましくは約0.05と約0.3との間である。被覆を施した交差ファイバを使用する好ましい実施形態では、重要な比率は、流入出させ得るエレメントについては前述の比率である。
固体原料で被覆された固定体を使用する実施形態は、昇華容器内にぴったり取り付けが行われるように設計されることが好ましく、その目的は、昇華容器内へ固定体を挿入している間に、固定体が撓むことにより固体原料被覆を損傷してしまうことを妨げることである。
図8Aは、基板製造システムにおいてガス流案内構造体としてフローガイド22を使用する昇華装置29の実施形態を示す。従来の構成と同様に、昇華容器1は、好ましくは粉末にした固体原料化合物の形態である固体原料21からなる単一の連続した薄膜または層を有している。フローガイド22は、ガス流接触経路9などの、固体原料21への接触が行われる、曲がりくねった接触経路にキャリアガスを案内することが好ましい。フローガイド22は、容器1の床から天井までのほとんどを延び、さらに好ましくはその全体を延びることが好ましい。キャリアガスは、固体原料接触経路の入口ポート2から昇華容器に入り、この固体原料接触経路は、参考線Eによって表わす、入口ポート2と出口ポート3との間の最短距離よりも実質的に長く、好ましくは最短距離の少なくとも2倍の長さである。参考線Eは、フローガイド22がなければキャリアガスが流れる可能性がある、望ましくない固体原料またはガス接触経路を示し、その望ましくない接触経路は、キャリアガスが固体原料と接触する表面積を不十分なものにする。さらに、容器1は、粉末にした固体原料21の気化を制御するために、ヒーター26を有することがさらに好ましい。ヒーターは、容器1の壁の内部にあるように示しているが、容器1も収容する減圧室内の放射ヒーターであることがさらに好ましい。
図8Bは、図8Aに示した実施形態の断面を示す。フローガイド22は、ここに示した螺旋状の接触経路9などの、一体型で曲がりくねったキャリアガス流接触経路を提供することが好ましい。フローガイド22は、キャリアガスが入口ポート2と出口ポート3との間をまっすぐに流れることを防ぐことによって、キャリアガスがぴったり接触する固体原料の表面積を広くする。キャリアガスは、そのようにまっすぐ流れることによって、参考線Eに近接して配置された粉末の固体原料の表面から生じる蒸気反応物質と接触することになる。ここに示した実施形態は、粉末の固体原料21の入れ替えを容易にするために単一層の簡単さを維持したままで、細長いキャリアガス経路を提供し、容器1内の滞留時間を長くする。ここに示したフローガイド22は、取り外し可能であり、それによって、既存の昇華容器を最小限の努力およびコストで改造可能であることが好ましい。
図9は、固体原料から生じる蒸気反応物質でキャリアガスを飽和させることを含めて、ここに示した構造体を使用する方法を示す。蒸気反応物質のための固体原料が、好ましくは固体原料を連続して加熱することによって、蒸発する100。固体原料は、0.1トルと100トルとの間の固体の蒸気圧に達するように固体原料を加熱することによって、蒸発させることが好ましい。HfClについては、これが、およそ140℃から265℃までの間の温度範囲に概ね相当するだろう。蒸気反応物質(第1の反応物質)のための固体原料が被覆された支持体を通って、キャリアガスを流す110。キャリアガスは、固体原料を被覆した支持体を通って流れると、蒸発した第1の反応物質で実質的に飽和する120。キャリアガスは、通過中に(例えば、連続して流れているかまたはパルス化されて流れている間に)、固体原料からの反応物質蒸気でキャリアガスを飽和させるために十分広い固体原料表面積と接触するように案内されることが好ましい。次に、自己制限的な飽和反応(例えば単分子層のみの化学吸着)が生じる反応室内へ、飽和した蒸気を流す130。この時に、容器は、キャリアガスの流れから隔離することができる(例えば、容器出口からの流れは、一時的に停止される)か、あるいはキャリアガスは、流路から容器を通って容器のバイパスへ迂回させることができる。続いて、パージA中に、過剰な第1の反応物質を反応室から除去する140。次に、第2の反応物質を反応室内へパルス送りする150。この第2の反応物質は、第1の反応物質とは異なり、第1の反応物質が生じる昇華容器からは生じないことが好ましい。第2の反応物質には、蒸気反応物質のための固体原料から生じ予め吸着された種と相互作用する反応物質を選択することが好ましいが、それにより、単分子層のみが化学吸着されることになり得る。次に、パージB中に、第2の過剰な反応物質を反応室から除去する160。次いで、反応室内においてさらに反応を起こすことが望ましい場合、すなわち、堆積の厚さが十分でない場合、所望の厚さに堆積するまで、ステップ110からステップ160を繰り返す。十分な厚さで堆積している場合、プロセスを終了する170。上述のように、図9は、2つの反応物質のALDプロセスの例しか挙げていないが、2つを超える(またはそれより少ない)反応物質を含むALDプロセスもまた、いくつかの好ましい実施形態において使用される。
図10から図17は、本発明の別の実施形態に係る固体原料容器200を示す。容器200は、被覆された通常の支持構造体ではなく、市販品を入手可能なタイプの固体原料粉体を伴ったより広い接触面積を提供可能であることが好ましい。当然ながら、ここに述べた構造体に関連して、図10から図17の容器200を使用可能であることが理解されるだろう。複数の層を通る、曲がりくねったまたは渦巻き状の通路には、開口可能な単一の構造体が設けられて、新しい固体原料で容器200を再充填する必要がある場合、再搬入プロセスがかなり簡易になる。
まず図10から図12を参照すると、容器200は、外部容器205および蓋構成要素210を備えている。固体原料の搬入出を容易にするために内部容器を使用している同様のシステムが、共同所有され2000年5月15日付で出願されたフィンランド国出願第FI20001166号明細書と、2001年11月22日付で公開された対応の米国公開第2001/0042523号明細書(以後「ケサラ」とする)とに開示されており、その開示は、参照によってここに組込まれる。ここに示した実施形態では、外部容器205は、外部容器205と蓋210とをボルト止めすることができるように形成されたフランジ207を含んでいるが、当業者は、取り外し可能に蓋210を容器205に閉める他の様々な方法を理解するだろう。ここに示した実施形態の蓋210は、取り外し可能であり、入口管215および出口管220と、メンテナンスまたは充填のため容器200を開く場合に使用する複数の手動式遮断弁222、224、226とを含んでいる。容器を充填するために蓋を取り外し、また、複数の弁を使用して、容器の中を、容器からまたは容器の周りに流れを案内する。
ここで図13から図18を参照すると、固体原料の単一層を保持するための単一の内部容器またはるつぼの代わりに、ここに示した実施形態は、複数の固体原料層を通る、隔離され長く曲がりくねった通路を提供するとともに、この構造体を単一の外部容器205内に設ける。個々に室を充填するのではなく、層が、複数のスタッキングトレイ内に設けられ、これらのスタッキングトレイは、単にそれらを相互連結することにより、隔離され曲がりくねった流路を形成するように構成されている。ここに示した実施形態では、3つの上方トレイ230および1つの下方トレイ240の、4つのトレイが積み重ねられる。トレイの数は、昇華の速度、キャリア流などのパラメーターによって変更可能である。
図14および図15を参照すると、各上方トレイ230は、ガスを流さずかつトレイ230の全高さを延びる中実な仕切り231と、ガスを流し得る部分的な仕切り232とを含んでいる。部分的な仕切りは、ガスを自由に流しながら、大きな前駆体粒子を保持するように構成されたスクリーン233を含むことが好ましい。ここに示した実施形態では、スクリーン233は、部分的な仕切り232の上部を横切って延び、また、中実のパネルが、部分的な仕切り232の高さまで延びている。環状の縁234がまた、さらに上方トレイ230の高さを延びている。中実の仕切り231と部分的な仕切り232とは、固体原料(図示せず)を保持するための主区画部235と、トレイ230の下面において開口する外部チャネル区画部236とを、ともに画定している。ここに示した上方トレイ230はまた、(ガス入口管を収容するために)中央チャネル238を含む中央コア237と、その上面の複数のペグ239と、その底面にあり別のトレイのペグを受け入れるための対応の複数の孔(図示せず)とを有している。後述する操作を考慮してよく理解されるように、中央コア237の下面の孔は、望ましくは上面のペグ239に対して回転されてずらされ、曲がりくねった流路を画定するために複数のトレイを相互に適切に一直線に並べることに役立つ。いくつかの好ましい実施形態では、流れが曝される主区画部の角は、鋭角の角により流れがよどむことを最小限にするために丸くされている。
図16および図17を参照すると、最も下のトレイ240は、ガスの流れを妨げトレイ240の全高さを延びる中実の仕切り241と、ガスをその上に流し得る部分的な仕切り242とを含んでいる。以下の図18および図19についての説明を考慮するとよく理解されるように、部分的な仕切り242によって、簡単に、重なっている上方トレイ230の中央にある中央チャネル238への開口部が提供されることが好ましい。環状の縁244がまた、下方トレイ240の高さを延びている。縁244、中実の仕切り241および部分的な仕切り242とは、固体原料(図示せず)を保持するための主区画部245と、外部チャネル区画部246とを、ともに画定する。好ましい実施形態では、固体原料は、主区画部245を、チャネル区画部246まで、またそれと同じ高さまで満たす。代替実施形態では、固体原料は、主区画部の高さの3分の1と3分の2との間の高さを満たす。ここに示した下方トレイ240はまた、チャネル区画部246が突出する中央コア247と、上面の複数のペグ249と、外部容器205から突出するフロアペグを受け入れるための、底面の対応の複数の孔(図示せず)と、を有する(図10から図11を参照)。
図18の分解組立図および図19の組立断面図に示すように、容器200が組み立てられる。上方トレイ230用の主区画部235と下方トレイ240用の主区画部245とには、固体前駆体が、好ましくは粉末状で充填される。下方トレイ240および複数の上方トレイ230は、相互に積み重ねられ、外側または外部容器205内に装入される。トレイ230、240は、ペグ239、249および対応の孔によって一直線に整列され、その結果、ガスが、好ましくは少なくとも主区画部周りを200°を超えて(約355度まで)1周して各トレイ内に流れ、次いで上にある上方トレイ230のチャネル区画部236内へ流れる。次いで、蓋210が、外部容器205に閉鎖され密閉され、中央管が、蓋から上方トレイ230の中央チャネル238を通って延びて、下方トレイ240のチャネル区画部246へ開口する。この装入および組立操作は、グローブボックス内において行われることが好ましい。いくつかの好ましい実施形態では、ばねまたは同様の器具(図示せず)が、240の下に配置され、中央コアから異なるレベルへの漏れを防止する。
操作において、不活性ガスを入口管から供給することが好ましく、不活性ガスは、各トレイ230、240を垂直に流出する前に、各トレイ内の主区画部の少なくとも200°の円弧を、好ましくは少なくとも350°を、水平方向に、長く曲がりくねった一定の流路を流れることが好ましい。ここに示した実施形態では、不活性キャリアガスは、中央入口215から供給され、この中央入口215は、一直線に整列させた上方トレイ230の中央チャネル238の中を下へ延びて、下方トレイ240のチャネル区画部246内へ開口する。不活性ガスは、上にある上方トレイ230の下面の開口部に達するまで、主区画部245内の固体前駆体中を曲がりくねって流れる。この開口部によって、キャリアガスと、それが運ぶ被蒸発前駆体とが、上にある上方トレイ230のチャネル区画部236内へ流れて、そこから、ガスは、スクリーン233(図14を参照)を通り主区画部235内へ流れる。ガスは、上にある上方トレイ230等の下面の開口部に達するまで、その主区画部235内において固体前駆体中を、好ましくは少なくとも200°の円弧を、また好ましくは少なくとも350°の円弧で曲がりくねって流れる。ガスは、最上部の上方トレイ230において、出口管220から流出可能である。望ましい場合は、当然ながら、流路を逆にすることができることが理解されるだろう。
各トレイ内において一方向のみに(すなわち一周して)キャリアガスが流れることが好ましい上述の好ましい実施形態では、キャリアガスのパルスは、滞留時間を、所望のパルス持続時間に必要とされるよりも少なくとも等倍より長く(すなわち2倍に)し、好ましくはパルス持続時間よりも5倍長くして、キャリアガス接触経路に沿ってキャリアガスと固体前駆体とを接触させることにより、前駆体蒸気で実質的に飽和させることが好ましい。当業者は、ここに開示したことに照らして、主区画部内における空間の高さを、固体表面からチャネル上部への拡散時間がガスの滞留時間よりも短くなるようにすべきであることを理解するだろう。
空間の高さは、トレイを通るキャリアガスの流量により決まる。経路の最小の長さは、流体(キャリアと蒸気)の滞留時間が、パルス流モードにおける所望のパルス持続時間よりも長く、好ましくは連続的な流れ(または非常に長いパルス)操作中において5を超える滞留時間より長くなるように、定められることが好ましい。例えば、1.3m/秒のガス流速については、流れチャネルの長さは、実質的に飽和したパルスが、パルスモードで反応器に送られることを保証するためには少なくとも1.3メートルにし、またさらに好ましくは実質的な飽和を保証するために長さを6.5メートル(5×1.3)にすることが望ましい。パルス流モードにおいてパルス同士の間隔が短すぎる場合、固体が昇華されることにより気相自体の補給が間に合わないことから、二次的な飽和が生じる可能性がある。1.3m/秒のガス流速は、800sccmのキャリア流量とチャネルの断面積とにより決まる。流量を減少させた場合は、長さもそれに比例して短くする。実質的に飽和しているNガス200sccmのみが、ALD反応器設計に必要な場合、好ましい最小の長さは、したがって短くなる。
(粉末もトレイもない)最大の容器容量は、直径12インチ、高さ16インチであり、3×10mmの容器の空き容量を得られることが好ましい。最初の固体原料の充填は、この容量の50%を超えることが好ましい。トレイの最小容量および充填率は、図20Aから図20Cに示す実施形態については同じであることが好ましい。
図20Aから図20Cに示す好ましい実施形態では、分割されたトレイ328、329または330の1つまたはそれ以上は、図18に示す容器システムにおいて使用される。図20Aは、分割された下方トレイ328を示し、また図20Bは、上方トレイ329を示す。図20Aおよび図20Bを参照して、分割されたトレイ330は、第2の部分的な仕切り334を含み、この部分的な仕切り334は、トレイ230(図15)が、主区画部235の形状の単一通路を有しているのに比較して、主区画部を第1の通路340と第2の通路342とに(水平方向に)部分的に分割する。第2の部分的な仕切り334は、キャリアガス流331を案内するように構成されて、このキャリアガス流331は、第1のトレイ通路340において、好ましくは少なくとも約200°(さらに好ましくは少なくとも300°)の円弧で、トレイ周りを一方向に流れ、次いで、トレイ330から流出する前に、180°向きを変え、第2のトレイ通路342において反対方向に好ましくは少なくとも約200°(さらに好ましくは少なくとも300°)の円弧周りを流れる。第1のトレイ通路340は、第2の部分的な仕切り334内のギャップ344により第2のトレイ通路342に接続され、このギャップ344は、第2のトレイ通路342の始めと第1のトレイ通路340の端部とを接合する役目をする。このギャップ344は、キャリアガス流331が、約180°「Uターン」し方向を変えるように案内されて、第2のトレイ通路342を流れ始める場所である。いくつかの好ましい実施形態では、流れがギャップ344に隣接して曝される角は、鋭角の角により流れがよどむことを最小限にするために丸くされている。入口管が、第1のトレイ通路340の始めにある入口350内への給送を行い、この入口350は、好ましくはフィルタプレート355を有し、このフィルタプレート355は、粉末が、入口350、および第2のトレイ通路342の端にある出口354に入ることを実質的に妨げるように延びている。出口354は、出口管(図示せず)によって、第2のトレイ通路342から上にあるトレイ(図示せず)までの、キャリアガス流331のための出口として役立つ。
図20Aに示した、分割された下方トレイ328では、入口管は、中心においてトレイに入り、第1の通路340へガスを導く。入口管は、直線形の入口管として示しているが、他の好ましい構成では、第2の部分的な仕切り334により画定された好ましい螺旋形の通路内へキャリアガス流を曲線状に流し始めるために、湾曲されている。出口354は、上にある上方トレイ329の入口管へキャリアガス流331を導く。図20Bを参照すると、入口管は、入口350内へ給送を行い、キャリアガス流331は、次に、第1のトレイ通路340においてトレイ周りを一方向に好ましくは少なくとも約200°(さらに好ましくは少なくとも300°)流れ、それから、第2のトレイ通路342において、向きを変え、反対方向に好ましくは少なくとも約200°(さらに好ましくは少なくとも300°)流れるように導かれる。
図20Cに示した実施形態はまた、キャリアガス流331を案内するように形成された第2の部分的な仕切り334を有し、キャリアガス流331は、第1のトレイ通路340においてトレイ周りを一方向に、好ましくは少なくとも約200°(さらに好ましくは少なくとも300°)を流れ、次に、トレイ330を出る前に、第2のトレイ通路342において、向きを変え反対方向に好ましくは少なくとも約200°(さらに好ましくは少なくとも300°)を流れるように案内される。フィルタ333が、第2のトレイ通路342の端の出口354の前にある、第2のトレイ通路342の端に位置している。出口354は、出口管(図示せず)を介して、第2のトレイ通路342から、上にあるトレイ(図示せず)への出口として役立つ。
代替実施形態では、図20Aから図20Cに示すトレイは、キャリアガス流の方向が逆になるように構成され、例えば、第1のトレイ通路が最も内側の通路になり、かつ第2のトレイ通路がトレイ内の最も外側になるか、またはその逆になるように、構成要素が配置される。図20Aから図20Cに示したトレイを使用することによって、昇華層の有効長さが(図15に示したトレイに対して)長くなり、それにより平均滞留時間が増加することに留意すべきである。
米国特許6,270,839号明細書とは対照的に、曲がりくねったまたは渦巻き状の通路は、各トレイ内の固体原料の大部分を通って水平方向に曲がりくねっている。’839特許明細書に示された構造体は、対照的に、各カートリッジの長さに沿って流れを水平に促進することを教示していない。逆に、’839特許明細書が教示する流路は、各カートリッジ内の環形部に沿うどの場所においても抵抗が等しく、その結果、環状部に沿った1つまたはそれ以上の別個の場所においてなお流れを導き得る。
前述の実施形態の好ましい構成ではまた、昇華装置29内においてヒーター(図示せず)を使用する。ヒーターは、容器1内の固体原料前駆体を、固体原料前駆体の有効蒸気圧に達するのに必要な温度、またはその温度を超える温度に維持するために使用される。上に特に言及したように、好ましい実施形態では、熱源は、放射ヒーターを含み、それは、真空昇華装置29内に配置される。容器1へ放射エネルギーを反射するために、昇華装置29内においてリフレクタ(図示せず)を使用することが好ましい。昇華装置内のヒーターに関するその他の詳細は、2001年5月14日付で出願され「蒸気反応物質を反応室へ給送する方法および装置」というタイトルの米国仮出願第09/854,706号明細書から分かり、その開示は、この目的のために参照を行うことによってここに組込まれる。
図21は、基板表面に層を堆積させるために原子層堆積(ALD)プロセスを行う方法を示す。このプロセスでは、容器を流れるキャリアガス流は、反応物質の蒸気圧が容器内において断続的に高くなるように、中断される。キャリアガスが前駆体蒸気と実質的に飽和するように、昇華容器内において固体前駆体源からの前駆体蒸気とキャリアガスとを接触させる400。実質的に飽和しているキャリアガスを、容器から管を通って基板処理室へ導く410。次に、実質的に飽和しているキャリアガスを基板処理室内へパルス送りする420。容器からの実質的に飽和しているキャリアガス流を、閉じた容器内において気化を続けたまま停止し430、実質的に飽和しているキャリアガスを、実質的に不活性なガスで室からパージする440。前駆体蒸気と実質的に飽和したキャリアガスのパルスを生成する、図21に示す方法の好ましい実施形態では、0.1トルと100トルとの間の蒸気圧に作用するように固体前駆体を加熱することを含む。
パルス送り、停止およびパージステップが、一サイクルを構成し、そのサイクルは、層の堆積中に少なくとも2度繰り返される。昇華容器の充填時間は、反応室をパージするのに必要な時間より短く、好ましくは流れを停止させる(または昇華容器を処理室から隔離する)ステップと、基板処理室内へ実質的に飽和したキャリアガスをパルス送りする次のステップとの間の100ミリ秒から5秒であることが好ましい。好ましくは、一サイクルにおける各パルス送りの持続時間は、少なくとも50ミリ秒であり、さらに好ましくは0.1から10秒であり、各サイクルが完了した後、基板表面は、前駆体蒸気の吸着種と実質的に飽和し、すなわち、吸着された前駆体は、立体障害の原因となる基板上の利用可能な反応部位をすべて占める。少なくとも100,000のパルシングサイクルのために、各パルスを実質的に飽和させることが好ましい。少なくとも500,000のサイクルのために、各パルスを実質的に飽和させることがさらに好ましい。
図20に示す方法が、単一の各反応物質のためのサイクルを示すことに留意すべきである。ここに示した方法ステップは、2つまたはそれ以上の異なる反応物質を交互にすることを含むように、(第1および第2の反応物質を使用する)図9の図において容易に適合させることができる。
好ましい一実施形態では、1サイクル当たり前駆体の単分子層が1つのみ堆積される。さらに好ましくは、各サイクルでは、厚さが約1から5Åの層を堆積する。一実施形態では、第2の固体前駆体源からの第2の前駆体蒸気を有する第2のキャリアガスは、当該キャリアガスが第2の前駆体蒸気で実質的に飽和するように使用される。第2の前駆体は、当然ながらNH、Oなどの気体であることがさらに一般的である。
昇華容器の設計によって、容器内に実質的に栓流の滞留時間分布を生じることが好ましい。したがって、これは、キャリアガスが容器の主軸に沿って流れるとキャリアガスが飽和することについて好ましく、それは、ALD処理に好ましい。前駆体蒸気と実質的に飽和したキャリアガスのパルスの生成は、チャネル長さが幅よりもはるかに長いガス接触経路に沿って、固体前駆体原料とキャリアガスとを接触させることを含むことが好ましい。好ましい一実施形態では、前駆体蒸気と実質的に飽和したキャリアガスのパルスの生成は、支持体を被覆する固体前駆体原料とキャリアガスとを接触させることを含む。
前述の実施形態の好ましい構成は、キャリアガス案内構造体を有し、この構造体は、各パルスの長さにわたって飽和するのに十分な蒸気反応物質を、キャリアガスがピックアップするために、キャリアガスが接触する固体原料の表面積の量を最大限にするように構成される。キャリアガスの飽和は、100,000パルスを超えるキャリアガスについてキャリアガスの飽和が連続して生じる作動条件下においても、このように繰り返し生じることが好ましく、各パルスは、0.1秒を超える間、さらに好ましくは、例えば蒸気反応物質がウェハトレンチ内へ拡散する高いアスペクト比の構造体においては、約30秒まで持続する。熱源は、蒸気反応物質のための固体原料における蒸気圧を増加させることが好ましい。キャリアガス案内構造体は、長く曲がりくねった接触経路に沿ってキャリアガスと蒸気反応物質とが接触することを保証するように構成されることが好ましく、接触経路の長さは、入口ポートから出口ポートまでを測定した直線距離の約2倍を超える。キャリアガス案内構造体は、非直線状の(例えば曲がりくねり隔離された)接触経路に沿ってキャリアガスと蒸気反応物質とが接触することを保証するように構成されることがさらに好ましく、この接触経路の長さは、入口ポートから出口ポートまでを測定した直線距離の約2.5倍、さらに好ましくは4倍である。
好ましい実施形態では、昇華層内に大量の空間が生じる結果、キャリアガスの導通率を高くすることができ、また同時に、時間が経過し固体原料が減少するにつれて、昇華層の導通率が大きく変化するのを妨げる。いくつかの好ましい実施形態では、原子層堆積(ALD)の条件下では、支持体または案内構造体は、さらに、連続したパルス同士の間の時間が0.400秒を超える場合、キャリアガスが蒸気反応物質で繰り返し飽和することを促進するように、構成される。
ここに説明した昇華容器の構成を考慮すると、各パルスの持続時間を長くすることによって、他のすべての変数を一定に保持したとして、キャリアガスを繰り返し飽和させる容器の能力が低くなりやすいことに留意すべきである。さらには、サイクル数を増加させることによっても、キャリアガスを繰り返し飽和させる容器の能力が低くなりやすい。しかしながら、ここに開示した好ましい実施形態では、比較的長い持続時間のパルスの下、および/または比較的多数のパルスの後においても、蒸気反応物質でキャリアガスを実質的に飽和させることができる。換言すると、好ましい実施形態では、通常の昇華層をすぐに使い尽くしてキャリアガスが飽和せず堆積が不十分になる条件の下で、(固体源からの)蒸気反応物質でキャリアガスを飽和させることができる。それに比べて、ここに開示した好ましい実施形態では、一般的なALDの条件下で、蒸気反応物質でキャリアガスを実質的に飽和させることが可能であるばかりでなく、比較的困難なALDの条件、例えば、同じ反応物質のパルス数が非常に多いか、かつ/あるいはパルスが非常に長いかかつ/またはパルス同士の間の時間の間隔が非常に短い場合でさえ可能である。
基板処理システムが、一度に単一のウェハを処理または一度に複数のウェハを処理(例えば、バッチ処理)するように、かつ/または非常に大きな基板(例えば、フラットパネルディスプレイ)を処理するように構成されているかによって、連続したパルス同士の間の時間は、部分的に異なることに留意すべきである。枚葉式反応室を使用する実施形態では、同じ反応物質の連続したパルス同士の間の時間は、好ましくは30秒未満であり、さらに好ましくは10秒未満である。一般に、2つの反応物質サイクルのパルス持続時間は、サイクルの長さの3%から40%の間より短く、さらに一般的には、サイクルの長さの10%から25%である。バッチ処理および/または非常に大きな基板を処理する場合には、サイクルは、2から5分に及び得る。しかしながら、ALD設備および任意の商業的に実行可能な種々の設計のための、ここに説明した固体原料容器が、実質的な飽和を促進することを、当業者は理解するだろう。サイクルがこのように長くなる結果として、ここに開示する昇華容器のパラメータは、サイクルの長さに対して一般的なパルス持続時間を(例えば、3%から40%または10%から25%に)維持したまま、処理時間を長くするように調整される。
本発明の好ましい実施形態の性能を設計し最適化する際に、昇華層の「有効層距離」も考慮する。「実際の層距離」は、キャリアガスが最初に固体原料化学物質と接触する箇所と、固体原料の消耗有無に拘わらず、キャリアガスが固体原料ともはや接触不可能になる箇所、すなわち通常は、キャリアガスが昇華層から流出する箇所との間の距離である。実際の層の距離は、有効層距離と比較すると、一定のままであり層の寿命を変化させないが、有効層距離は、時間の経過とともに固体原料が消耗されるにつれて短くなる。作動時には、キャリアガスが昇華層の一方の端から導入されると、ガスは、層の長さに沿って流れていくにつれて固体原料からの蒸気反応物質をピックアップする。定常流の条件の場合、キャリアガス用の入口に最も近い固体の原料は、昇華層に沿って遠くに配置された固体原料の手前で消耗されてしまい、また、キャリアガスが入る場所から最も遠い固体原料が、最後に消耗される。ガス流量が一定である場合、よってある有効な層の位置、すなわち飽和位置において、キャリアガスは、固体原料と飽和する。この位置を越えた層内のどの箇所でも、キャリアガスは、なお飽和する。定常状態の条件下で層が消耗されるにつれて、この飽和位置は、通常、時間の経過とともに固体が失われていくにつれてキャリアガス出口に近くなるが、有効層距離の始まりと飽和箇所との間の距離は、一定の流量および温度においてキャリアガスを飽和させることができなくなる程度、層が消耗されるまでは、一定のままである。本発明の好ましい実施形態は、容器の下流端部近くまで消耗されるまで飽和を持続させながら、時間の経過とともにこのパターンで層が消耗され得るように設計されている。好ましい実施形態ではまた、キャリアガスが、前駆体蒸気と実質的に飽和することになる。キャリアガスは、出口における容器の条件(例えば、温度および圧力)下で、飽和蒸気圧が90%と100%との間である原料を含む場合、前駆体蒸気と実質的に飽和したものと考えられる。
栓流が混合されている挙動に適度に近づくように、すなわち実質的な栓流を作り出すように、好ましい実施形態を設計し最適化する際には、次の式が有用である。定常状態では、層の位置の関数としての濃度[C(Z)]は、次の式から得られる。
Figure 0004585852
ここで、Qはガスの体積流量、Aは流れの断面積、kは固体原料の昇華速度定数であって(一次と仮定)、数式(1)を用いてZを決定した後、任意の位置におけるキャリア濃度が分かるか、あるいは所望の濃度のための物理的長さ(z)が分かる。
Figure 0004585852
昇華層は、その物理的な長さがZを超え、したがってキャリアガスの飽和を促進するように設計されることが好ましい。
昇華層も、安全域を有するように構成されることが好ましい。長さを延ばすのは、栓流式反応器の理想化について反応速度で表現またはそれを使用することが不確実だからであり、また、時間の経過とともに原料が消費されるからである。安全域によって、さらに、層が、キャリアガス流の飽和を、典型的な製造プロセスのために描いた設計流量より高いガス流量に保持することができる。例えば、本発明の実施形態は、有効層長さを有する昇華層を使用し、この有効層長さは、何千回、さらに好ましくは数十万回のサイクルのための最大キャリア流量の1.25倍のキャリアガスの飽和を繰り返し保持するように設計されており、この場合、各サイクルは、約1秒オーダーである。構造体は、枚葉式(例えば、300mmウェハサイズ用)反応器と関連して使用した場合、0.020slmを超える量に相当する純粋な前駆体(キャリアおよび化学物質については約10倍)のための、さらに好ましくは0.040slmを超える純粋な前駆体(キャリア流では0.4slm)のためのパルスを保持し、またさらに好ましくは、バッチ式ウェハ反応器に関連して使用される場合、0.5slmを超え、さらに好ましくは1slmを超える純粋な前駆体のためのパルスを保持することが好ましい。構造体は、100,000を超える連続したパルスのための蒸気反応物質用固体原料によるキャリアガスの飽和を繰り返すことができるように選択されることが望ましく、各パルスは、約0.1から10秒間続く。
いくつかの好ましい実施形態では、昇華層は、栓流として知られている、理想の滞留時間分布に近い流れを作り出すことができる。理想の栓流とは、流体粒子が、半径方向には無限に混合され、軸(流れ)の方向には混合されないことを意味する。さらに、理想の栓流はまた、流れに垂直なチャネルにおける速度分布が均一であること、および、滞留時間が定数であることを意味する。例えば、赤色の薄片と緑色の薄片とが管を交互に流れる理想の栓流式管型反応器では、2つの色の薄片は、管に入って、色が入れ替わらずに管を出ていく。図22Aは、理想の栓流式反応器(または昇華容器)内における、時間の経過にともなうキャリアガス中の前駆体の飽和濃度(Csat)を図示するグラフを示す。図22Aのグラフは、栓流式反応器内における変換(すなわち純粋なキャリアガスの、飽和したキャリアガスへの変換)を得るための以下の式(一次昇華速度と仮定)を図示している。
Figure 0004585852
この式で、Cは容器出口における前駆体の濃度、Csatは原料の飽和濃度であり、kは定数、τは時間である。
流体混合モデルのもう一方の極端な場合には、無限拡散係数または完全混合が得られる、撹拌槽モデルがある。図22Bは、理想の攪拌式容器のための容器出口における前駆体濃度のグラフを図示している。図22Bのグラフは、以下の式を表わす。
Figure 0004585852
流体混合の2つの極端な理想(すなわち、栓流槽および撹拌槽)を比較することができる。等しい流量については、τは、反応器の容積に比例する。kτの値を4.0にすると、撹拌槽を有する反応器に対してPFR混合式の反応器では、飽和の程度がはるかに高くなることが、容易に理解され得る。本質的には、PFRによって、純粋なキャリアの、飽和キャリアへの既知の変換を最も多く行うことができる。
当然ながら、これは、理想であって、完全な栓流または理想の攪拌槽のようなものは実際にはない。しかしながら、ここに開示したいくつかの好ましい実施形態は、栓流に近い滞留時間分布(RTD)を有する。理想の栓流は、次の式により特徴づけられる。
Figure 0004585852
この式において、D=反応器の有効拡散係数、u=流れの軸方向の速度、L=容器または反応器の長さである。
それに比べて、理想の撹拌槽モデルは、次の式により特徴づけられる。
Figure 0004585852
これらの実施形態が栓流に近づく程度は、以下の式5などの拡散モデル式を用い、かつ実験により収集した、容器入口における濃度の段階的変化に対する容器の応答曲線にD/uLを合わせることによって決まる。
Figure 0004585852
栓流および撹拌槽モデルを含む様々な分散モデルのための応答曲線を、図22に図示している。例えば、好ましい実施形態の実際の昇華容器滞留時間の応答を決定する1つの方法は、昇華容器または反応器をヘリウム(He)で充填するときにNをパルス送りし、次に質量分析計によりHeの濃度の変化を測定することである。好ましい実施形態は、栓流の挙動の実質的な程度を示し、それにより実質的な栓流が生じ、この実質的な栓流は、本発明の開示のために、D/uL<0.025の拡散モデル反応容器、または流体が平均滞留時間の半分未満の滞留時間しか有していない、層流反応器と同様の応答曲線の管型反応器(またはトレイを使用する昇華層)において観察された滞留時間応答と、実際の滞留時間応答とが実質的に等しい場合に、定められる。
モデルフロー反応器に関するさらなる詳細は、McGraw-Hill Book Companyの、J. M. SmithによるChemical Engineering Kinetics第3版(1981年)の268頁から291頁にあり、その開示は、この目的のために参照することによって組込まれる。
好ましい実施形態によって、キャリアガスが、前駆体蒸気と実質的に飽和することになる。キャリアガスは、昇華容器または「反応器」内における条件(例えば温度および圧力)下で可能な前駆体蒸気の最大量の約90%と100%との間の量をキャリアガスが含んでいる場合、実質的に飽和していると考えられる。いくつかの好ましい実施形態は、純粋な栓流の構成に限定されるのではなく、他のモデル(例えば、直列の攪拌槽、層流反応器、またはD/uL値が低い分散反応器)にしたがって構成され、これらのモデルは、前駆体蒸気と実質的に飽和したキャリアガスの連続したパルスを多数生成可能となる理想に十分近い。
固体原料で被覆し流入出させ得る支持部材を充填するいくつかの好ましい実施形態では、栓流式反応器PFR、またはD/uLが低い分散反応器に近い性能が達成される。円筒形状の充填層反応器は、充填することによって(曲がりくねった経路にすることによって)、半径(R)方向における混合が非常にうまくいくが、長さに沿った混合はごくわずかになるので、栓流に非常に近くなることが好ましい。充填層反応器に関するさらなる詳細は、McGraw-Hill Book Companyの、Smith J.M.によるChemical Engineering Kinetics第3版(1981年)の554頁から563頁にあり、その開示は、この目的のために参照することによって組込まれる。
図10から図20Cに示した、案内される粉体層のパラメーターを考える場合、次の計算が有用である。さらに、次の式は、チャネルの高さ全体に、また滞留時間中に拡散性物質の移動時間を比較するのに有用である。
固体原料表面からチャネルの上部への、すなわちチャネルの高さ全体における拡散性物質の移動時間は、次の式によって表される。
Figure 0004585852
この式において、Hはチャネル(気相)の高さであり、D12は拡散係数である。Tdffは、チャネル上部におけるHfClの濃度で、固体表面における濃度の90%に達する。
チャネルに沿ったガスの滞留時間は、以下の式から得られる。
Figure 0004585852
以下の条件、150トル、200℃で、Nを800sccmとHfCl種と、チャネルの寸法を幅13mm、高さ7mm、長さ2000mm(チャネルの中心線)、断面における平均速度を約1.3m/秒、拡散係数D12を8.44×10−5/秒として、以下の式が成り立つ。
Figure 0004585852
Figure 0004585852
温度を160℃に変更し、流量を300sccmのNに変更した場合、拡散時間は、変化しないが、滞留時間は、4.4秒長くなる。
本発明の好ましい実施形態では、層の有効長さを非常に長くしているが、昇華容器の長さをそれに比例して長くする必要はない。有効長さをこのように長くすることは、固体原料で被覆された支持エレメントおよびフローガイドを含む案内構造体によって容易になり、各案内構造体は、(キャリアガス入口と出口との間の直線距離で測定した)比較的短い距離でキャリアガスを飽和させるように、かつ固体原料を昇華させる広い面積にキャリアガスを曝すように設計された接触経路にキャリアガスを流すように構成される。
可能性のある昇華層パラメーターの非限定的な例は、Alcoa CSSコンピュータプログラムによって決定されるような、ビーズ、すなわち球体を固体原料で被覆することによって可能になり、表1にそれを示す。
Figure 0004585852
表1に関して、球体の直径に対する被覆の厚さが薄いこと、層の多孔率(すなわち、ボイド率)が比較的高いこと、および固体表面積全体が広いことを含めて、好ましい実施形態の特徴を数字で示す。
いくつかの好ましい実施形態では、昇華層は、実質的な栓流を生成することができる、すなわち、理想の栓流に近づく。実質的な栓流を流すように好ましい実施形態を構成することの1つの利点は、理想の栓流の滞留時間分布(または栓流の混合挙動)によって、容器の出口における濃度が、容器の滞留時間まで時間が経過しても一定のままになることである(V/Q、この式で、V=容器の容積であり、Qは体積流量である)。反応器の滞留時間をパルス時間よりはるかに長くした場合、パルス全体の長さは、Csatのままになる。したがって、(粉末または前駆体を被覆した支持体を保持する)反応器または容器が、長くかつ/または曲がりくねっている場合(例えば、コイル状の通路、螺旋形に案内する通路、被覆を施したビーズを通る、曲がりくねった通路など)、滞留時間は、長い。ALDを使用する好ましい実施形態では、容器内への流れの各パルスは、前駆体蒸気と飽和するキャリアガスの「薄片」を押し出すことが好ましい。例えば、容器を通る流量を1000cm/分(sccm)、チャネルを2.5cm×2.5cm、kを0.1/秒にすると、80cmの長さで、30秒の滞留時間を得られる。同じ条件の下において、昇華反応器の長さを別の長さにすることにより達成される飽和率を、以下の表2に示す。80cmの反応器に30秒よりも長く流したとしても、栓流の分布によって、なお最も高い飽和度を得られる。昇華が一次プロセスであるとすると、栓流式反応器(PFR)は、まず第1に撹拌された量と比較して、以下の表3に示す反応器設計の飽和に最も高度な変換または方法を与える。したがって、実施形態では、PFRに近づき、実質的な栓流を生成することを試みることが望ましく、当該栓流は、軸方向の拡散または混合を最小限にして、原料上を一方向にガスを流すことによって得られる。
実際は、昇華速度の次数が、そのうちに一次から変化しやすく、キャリアガス接触経路が十分に長い場合は、定常流(すなわち、容器を隔離しない一定のパルス)の下でさえ、100%の飽和が達成可能になる。しかしながら、動力学では次数が変化しなくても、管の臨界長さまたはキャリアガス接触経路(および生じるV/Q)はなお、ここに開示した実施形態の栓流を理想化することで、変換(または飽和)が、90%を超えるか、または99%さえ超えるようなものと定めることができる。
Figure 0004585852
Figure 0004585852
図15から図20Bに示す好ましい実施形態をモデル化し、その結果を、図24に示すグラフによって表わし、そのグラフは、棚を有する容器の滞留時間分布(RTD)曲線を示す。図24に示すグラフは、充填された容器の実施形態を流体力学的にモデリングした結果であり、RTDが、理想のPFRのRTDに非常に近づいていることを示している。個々のデータポイントを以下の表4に示す。グラフにした結果は、D/uLが非常に低い分散モデルに実質的に等しい。換言すれば、描かれた曲線は、図23における栓流曲線と同様の形状となっている。このようにグラフ化した曲線は、入口における濃度がステップ関数変化することに対する容器の応答を示している。これは、J(シータ)、または滞留時間分布(RTD)の関数である。その通常の定義は、流出する流れの何分の一かが、シータ未満の滞留時間を有することである。
は、入口におけるトレーサー流体ステップの濃度であり、Cは、出口における濃度である。ゼロ時間では、反応器内にトレーサー流体はないが、反応器内に流体が入ることによって、濃度が変化してCになる。C/Cは、0から1までの無次元量群である。図23に示すグラフは、1に達し曲線形状になるには、(無次元量のまたは調整された)平均滞留時間の点から、どの程度時間がかかるかを示す。図24に示したグラフは、昇華容器(または流体を運ぶ種々の量のものの混合挙動を示す標準的な方法である。
Figure 0004585852
Figure 0004585852
図24に示すグラフは、充填された容器の実施形態を流体力学的にモデリングした結果であり、それは、RTDが、理想のPFRのRTDに非常に近づいていることを示す。図23におけるRTDと比較すると、PFRに非常に近づいており、D/uL=8.4×10−5/(1.3*2)≒3.2×10−5を有する。いくつかの充填層式の好ましい実施形態のC/Cは、0.0から0.96(シータ/シータ平均)を外れ始めないことが好ましい。 .002のD/uLの曲線は、既に、0.5のC/Cより上にある。上記のグラフの目盛りが、0−2.0から変化する場合、図23と図24とを比較すると、さらに明らかである。
好ましい実施形態の特徴は、蒸気と固体の接触時間が改善され、他の要因として、問題のある「トンネリング」が回避される結果として、蒸気量に対する固体原料表面積の比率が改善されることである。好ましい実施形態の別の特徴は、蒸気と固体の接触時間が長くなることである。好ましい実施形態のさらに別の特徴は、昇華層の寿命がある間、ガス流の抵抗が比較的均一になり得ることである。いくつかの好ましい実施形態の別の特徴は、昇華容器内において前駆体と実質的に飽和したキャリアガスが生成されることであり、それが、実質的に栓流混合挙動を示していることである。これらの各特徴は、固体原料を充填せずに、パルスの数を多くして、各パルスにおいてキャリアガスを飽和させ得ることに役立つことが好ましい。したがって、予測可能な量の反応物質が、各パルスに供給され、半導体処理、特にALDにおける制御をはるかによく行うことができる。
本発明を、いくつかの好ましい実施形態および実施例に関して開示してきたが、本発明が、特に開示した実施形態を超えて、他の代替実施形態および/または本発明の使用並びにそれの明白な変更に及ぶことは、当業者に理解されるだろう。したがって、ここに開示した本発明の範囲は、上述の、特に開示した実施形態により制限されるべきではないが、後に続く特許請求の範囲を公平に読むことによってのみ定められるべきであるものと解釈される。
キャリアガス源と堆積チャンバとの間に容器が配置された基板処理システムの概略図である。 本発明の一実施形態に係る昇華装置であって、固体原料を被覆したビーズが充填された容器を含む昇華装置の概略断面図である。 図2Aの固体原料を被覆したビーズの拡大図である。 図2Bの固体原料を被覆した単一のビーズの拡大図である。 本発明の代替実施形態に係る、流入出させ得る固体原料支持エレメントの拡大図である。 蒸気反応物質用の固体原料で被覆されたフィルタファイバを有するフィルタを保持する、本発明の別の実施形態に係る容器の等角断面図である。 図3Aの3B−3B線に沿った断面図である。 図3Bに示したフィルタの断面であって、フィルタを形成する別個の固体原料で被覆されたファイバを示す拡大図である。 別の実施形態に係る、固体原料が被覆された複数の交差プレート構造体を保持する容器の等角断面図である。 図4Aの4B−4B線に沿った断面図である。 図4Bに示した複数の交差プレート構造体の一部分であって、複数の交差プレート構造体を形成する、固体原料が被覆されたプレートを示す拡大図である。 別の実施形態に係る、固体原料が被覆された複数のスクリーンを有するスクリーンカートリッジを保持する容器の等角断面図である。 図5Aの5B−5B線に沿った断面図である。 図5Bに示したスクリーンの一部分であって、スクリーンを形成する、固体原料が被覆されたスクリーン部材を示す拡大図である。 別の実施形態に係る、固体原料が被覆された管からなる束の斜視図である。 図6Aの、固体原料が被覆された管からなる束を使用した容器の斜視図である。 図6Aに示した固体原料が被覆されたいくつかの管の拡大斜視図である。 別の実施形態に係る、内側に固体原料が被覆され連続したコイル管を保持する容器の斜視図である。 図7Aに示した実施形態の代替構成だが、容器がない代替構成の斜視図である。 図7Aおよび図7Bに示したコイル管であって、内側に固体原料が被覆されている管の拡大斜視図である。 別の実施形態に係る、固体原料粉末の連続した層内において螺旋状のフローガイドを使用する容器の側方断面図である。 図8Aの8B−8B線に沿った上方断面図である。 本発明の好ましい実施形態に係る、蒸気反応物質用の固体原料を使用する方法のフローチャートである。 本発明の別の実施形態により構成された固体原料容器の斜視図である。 図10の容器の外部容器の斜視図である。 複数の関連のバルブおよびガス管を含む、図10の容器のふたの斜視図である。 内部の構成要素を想像線で示す、図10の固体原料容器の斜視図である。 図10の固体原料容器内において使用する下方スタックトレイの斜視図である。 図14の下方スタックトレイの平面図である。 図10の固体原料容器内において使用する下方スタックトレイの斜視図である。 図16の下方スタックトレイの平面図である。 組み立て中の、図10に示した容器の拡大斜視図である。 図10の容器の一部断面斜視図である。 本発明の一実施形態に係る、第2の部分的な仕切りを有する下方スタックトレイの正面上部からの概略斜視図である。 図20Aに示した下方スタックトレイとともに使用する第2の部分的な仕切りを有する上方スタックトレイの正面上部からの概略斜視図である。 図20Bに示したトレイの代替構成に係る、第2の部分的な仕切りを有する上方スタックトレイの平面図である。 本発明の一実施形態に係る、基板表面に層を堆積させるための原子層堆積(ALD)プロセスを行う方法のフローチャートである。 理想の栓流式反応器内において時間の経過に伴うキャリアガス中の前駆体の飽和濃度(Csat)を示すグラフである。 典型的な攪拌容器型反応器内において時間の経過に伴うキャリアガス中の前駆体の飽和濃度(Csat)を示すグラフである。 栓流式および攪拌容器モデルを含めた、種々の分散モデルの応答曲線を示す。 図15から図20Bに示した容器の実施形態を流体力学的にモデリングした結果を示し、滞留時間分布(RTD)を示すグラフである。

Claims (36)

  1. キャリアガス源と、
    蒸気反応物質用の固体原料が表面に被覆され、螺旋状の接触通路を有する支持体を有し、前記キャリアガスを前記螺旋状の接触通路中に案内する積み重ねられた複数のトレイであって、
    前記積み重ねられた複数のトレイの少なくとも1つは、案内用トレイであり、当該案内用トレイは、部分的な仕切りを備え、当該仕切りは、前記案内用トレイ内において少なくとも2つの円形の通路を部分的に画定し、
    各トレイは、前記螺旋状の接触通路における異なる垂直な階層を画定し、
    前記複数のトレイは、前記キャリアガスが前記螺旋状の接触通路に沿って前記蒸気反応物質と接触することを保証するように構成され、
    前記支持体は、前記キャリアガスの飽和を促進するように構成され、
    被覆された前記支持体は、共に層を形成し、前記支持体の上流に前記キャリアガス源が接続されている、複数のトレイと、
    前記支持体の下流に接続された原子層堆積(ALD)室と、
    飽和したキャリアガスのパルスを前記支持体から前記原子層堆積(ALD)室へ提供するように構成されるパルス化機構と、を備える基板処理システム。
  2. 前記支持体は、前記キャリアガスの飽和中に実質的に静止したままになるように構成される請求項1に記載のシステム。
  3. 前記複数のトレイは、前記螺旋状の接触通路内に前記キャリアガスを案内するように構成される請求項1に記載のシステム。
  4. 前記支持体は、さらに、100,000パルスを超えて前記キャリアガスが前記蒸気反応物質と繰り返し飽和することを促進するように形成され、各パルスは、0.1から10秒間続く請求項に記載のシステム。
  5. 前記キャリアガス源の下流かつ前記原子層堆積(ALD)室の上流に配置された昇華容器をさらに含み、前記支持体は、前記昇華容器内に配置され、前記固体原料の被覆は、層の体積に対する、曝される全表面積の比率が0.1cm−1を超える請求項1に記載のシステム。
  6. 前記層は、充填され流入出させ得る複数の支持エレメントからなる請求項1に記載のシステム。
  7. 前記支持体は、ビーズ、リング、シリンダおよびフィラメントからなる群から選択される形状を有する請求項1に記載のシステム。
  8. 前記固体原料の被覆は、層の体積に対する、曝される全表面積の比率が、1cm−1を超える請求項1に記載のシステム。
  9. 前記蒸気反応物質用の固体原料の蒸発を増加させ得る熱源をさらに備える請求項1に記載のシステム。
  10. 前記支持体を収容する容器をさらに備え、実質的に栓流の滞留時間分布の前記キャリアガスおよび蒸気反応物質を、前記容器中にパルス送りするように構成されている請求項1に記載のシステム。
  11. 床及び天井を有する昇華容器と、
    前記昇華容器内へ通じる入口ポートと、
    前記昇華容器から外へ通じる出口ポートと、
    前記昇華容器内に収容された蒸気反応物質用の固体原料と、
    前記蒸気反応物質用の固体原料の被覆が施された支持体を有する複数のトレイと、
    を備え、
    前記被覆は、前記支持体の体積に対する、曝される表面積の比率が、0.1cm−1を超え、
    前記複数のトレイは、前記床から前記天井まで延びる複数の階層を有する螺旋状の接触通路においてキャリアガスを案内するように構成される昇華装置。
  12. 前記蒸気反応物質用の前記固体原料の被覆は、塩化ハフニウム(HfCl)である請求項11に記載の装置。
  13. 前記蒸気反応物質用の前記固体原料の被覆は、塩化ジルコニウム(ZrCl)である請求項11に記載の装置。
  14. 対流伝達によって、前記支持体を通り前記出口ポートから前記蒸気反応物質を引き出すように構成されている請求項11に記載の装置。
  15. 前記昇華容器内に配置されたマニホールドをさらに含み、当該マニホールドは、前記固体原料が被覆された前記支持体と接触するような、前記昇華容器全体へのキャリアガスの分配を行うように形成される請求項11に記載の装置。
  16. 前記昇華容器は、前記入口ポートと、前記昇華容器の反対側の端部に配置された出口ポートとを有するように形成される請求項11に記載の装置。
  17. 前記昇華容器は、シリンダである請求項16に記載の装置。
  18. 前記支持体は、前記昇華容器内に充填され流入出させ得る支持エレメントからなる請求項11に記載の装置。
  19. 前記支持エレメントは、ビーズ、シリンダ、フィラメントおよびリングからなる群から選択される形状を有する請求項18に記載の装置。
  20. 前記蒸気反応物質用の固体原料で被覆された前記支持体は、管、コイル管、管束、フィルタ、および複数の交差プレート構造体からなる群から選択される請求項11に記載の装置。
  21. 前記支持体は、前記昇華容器の形状に実質的に適合するように構成される請求項20に記載の装置。
  22. 前記複数のトレイ、曲がりくねった前記接触通路を通って前記キャリアガスを案内するように構成される請求項11に記載の装置。
  23. 前記支持体は、実質的に不活性で熱伝導性のある支持体である請求項11に記載の装置。
  24. 前記支持体は、アルミナ(Al)、融解石英、ステンレス鋼、ハステロイ、ニッケル、炭化ケイ素(SiC)、窒化ホウ素(BN)からなる群から選択される材料を含む請求項23に記載の装置。
  25. 前記蒸気反応物質用の固体原料の蒸発を増加させることができる熱源をさらに備える請求項11に記載の装置。
  26. 蒸気反応物質を用いた基板処理方法であって、
    キャリアガスを入口ポートから容器内へ導入するステップと、
    前記蒸気反応物質で前記キャリアガスを繰り返し飽和させるために、固体原料からの十分な蒸気反応物質と十分接触するように、積み重ねられた複数のトレイによって画定される螺旋状の接触通路を通じて前記キャリアガスを案内するステップであって、
    前記積み重ねられた複数のトレイの少なくとも1つは、案内用トレイであり、当該案内用トレイは、部分的な仕切りを備え、当該仕切りは、前記案内用トレイ内において少なくとも2つの円形の通路を部分的に画定し、
    当該キャリアガスの飽和は、キャリアガスの100,000を超えるパルスの間続けられ、
    各パルスは0.1秒より長く続き、
    各トレイは、前記螺旋状の接触通路における異なる垂直な階層により画定される、前記キャリアガス案内ステップと、
    出口ポートを通って前記容器から前記キャリアガスをパルス送りするステップであって、連続したパルス同士の間の時間は、30秒を超えない前記パルス送りステップと、
    蒸気反応物質を運ぶ前記キャリアガスを原子層堆積(ALD)の反応室へパルス送りするステップと、を含む基板処理方法。
  27. 前記キャリアガス案内ステップは、前記固体原料で被覆された前記支持体中に前記キャリアガスを流すステップを含む請求項26に記載の方法。
  28. 前記支持体を、前記容器内の実質的静止位置へ挿入するステップをさらに含む請求項27に記載の方法。
  29. 前記固体原料は、固形粉末状である請求項26に記載の方法。
  30. 前記固体原料蒸気を運ぶ前記キャリアガスを化学気相成長(CVD)反応器へ導くステップをさらに含む請求項26に記載の方法。
  31. 記反応室から過剰の蒸気反応物質を除去するステップと、
    前記反応室に第2の反応物質をパルス送りするステップと、
    前記反応室から過剰な第2の反応物質を除去するステップと、
    をさらに含む請求項26に記載の方法。
  32. 充填ポートから前記容器内に複数の支持エレメントを注入するステップをさらに含み、前記支持エレメントは、前記固体原料で被覆されている請求項26に記載の方法。
  33. 原子層堆積(ALD)の反応室に流す蒸気反応物質を生成する昇華装置であって、
    昇華容器と、
    固形粉末状で前記昇華容器内に収容される、前記蒸気反応物質用の固体原料の層と、
    前記固体原料が直接接触する複数のトレイであって、
    実質的に螺旋状のキャリアガス用接触通路を設けることによって、蒸気反応物質と接触するような前記キャリアガスの案内を行うように形成され、
    かつ、前記昇華容器内に垂直に配置される複数の階層を含み、
    各階層は、1バッチ分の前記蒸気反応物質用の前記固体原料層を含む、複数のトレイと、
    前記複数のトレイにより提供される一体型接触通路の始めに配置された容器入口ポートと、
    前記キャリアガス案内構造体により提供される一体型接触通路の端部に配置された容器出口ポートと、
    を備え、
    前記キャリアガス案内構造体は、前記入口ポートから前記出口ポートまでを測定した直線距離の2.5倍を超える長さを有し実質的に前記螺旋状のキャリアガス用接触通路に沿って、前記キャリアガスと前記蒸気反応物質との接触を保証するように形成される昇華装置。
  34. 前記複数のトレイは、前記昇華容器の床から該昇華容器の天井まで延びるように形成されたフローガイドである請求項33に記載の昇華装置。
  35. 前記積み重ねられた複数のトレイの少なくとも1つは、案内用トレイであり、当該案内用トレイは、少なくとも1つの実質的に円形の通路を備え、隣接した前記トレイへ前記キャリアガスを流す前に、前記案内用トレイの周りを少なくとも200°で少なくとも一周させて前記キャリアガスを案内するように形成される請求項33に記載の昇華装置。
  36. 前記積み重ねられた複数のトレイの少なくとも1つは、案内用トレイであり、当該案内用トレイは、第2の部分的な仕切りを備え、当該仕切りは、前記案内用トレイ内において少なくとも2つの実質的に円形の通路を部分的に画定し、前記トレイ側壁と組み合わされた前記第2の部分的な仕切りは、隣接して積み重ねられたトレイに前記キャリアガスを流す前に、前記キャリアガスを、前記案内用トレイの周りに2周させて案内するように形成され、1周は、前記案内用トレイの周りに少なくとも200°である請求項33に記載の昇華装置。
JP2004524213A 2002-07-30 2003-07-29 基板処理システム、基板処理方法及び昇華装置 Expired - Lifetime JP4585852B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US40021002P 2002-07-30 2002-07-30
PCT/US2003/023843 WO2004011695A2 (en) 2002-07-30 2003-07-29 Sublimation system employing carrier gas

Publications (2)

Publication Number Publication Date
JP2005535112A JP2005535112A (ja) 2005-11-17
JP4585852B2 true JP4585852B2 (ja) 2010-11-24

Family

ID=31188666

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2004524213A Expired - Lifetime JP4585852B2 (ja) 2002-07-30 2003-07-29 基板処理システム、基板処理方法及び昇華装置

Country Status (7)

Country Link
US (2) US7122085B2 (ja)
EP (1) EP1525337A2 (ja)
JP (1) JP4585852B2 (ja)
KR (1) KR101183109B1 (ja)
AU (1) AU2003254266A1 (ja)
TW (2) TWI319593B (ja)
WO (1) WO2004011695A2 (ja)

Families Citing this family (417)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FI118805B (fi) * 2000-05-15 2008-03-31 Asm Int Menetelmä ja kokoonpano kaasufaasireaktantin syöttämiseksi reaktiokammioon
TWI273642B (en) * 2002-04-19 2007-02-11 Ulvac Inc Film-forming apparatus and film-forming method
US7374617B2 (en) 2002-04-25 2008-05-20 Micron Technology, Inc. Atomic layer deposition methods and chemical vapor deposition methods
US7601225B2 (en) 2002-06-17 2009-10-13 Asm International N.V. System for controlling the sublimation of reactants
US7300038B2 (en) * 2002-07-23 2007-11-27 Advanced Technology Materials, Inc. Method and apparatus to help promote contact of gas with vaporized material
US6921062B2 (en) 2002-07-23 2005-07-26 Advanced Technology Materials, Inc. Vaporizer delivery ampoule
US6936086B2 (en) * 2002-09-11 2005-08-30 Planar Systems, Inc. High conductivity particle filter
JP2007500794A (ja) * 2003-05-16 2007-01-18 エスブイティー アソーシエイツ インコーポレイテッド 薄膜蒸着エバポレーター
KR20050004379A (ko) * 2003-07-02 2005-01-12 삼성전자주식회사 원자층 증착용 가스 공급 장치
US7547363B2 (en) * 2003-07-08 2009-06-16 Tosoh Finechem Corporation Solid organometallic compound-filled container and filling method thereof
US7378129B2 (en) * 2003-08-18 2008-05-27 Micron Technology, Inc. Atomic layer deposition methods of forming conductive metal nitride comprising layers
US7156380B2 (en) 2003-09-29 2007-01-02 Asm International, N.V. Safe liquid source containers
US20060102079A1 (en) * 2004-11-15 2006-05-18 Glassman Timothy E Reducing variability in delivery rates of solid state precursors
US7488512B2 (en) 2004-11-29 2009-02-10 Tokyo Electron Limited Method for preparing solid precursor tray for use in solid precursor evaporation system
US7638002B2 (en) * 2004-11-29 2009-12-29 Tokyo Electron Limited Multi-tray film precursor evaporation system and thin film deposition system incorporating same
US7708835B2 (en) 2004-11-29 2010-05-04 Tokyo Electron Limited Film precursor tray for use in a film precursor evaporation system and method of using
US7484315B2 (en) * 2004-11-29 2009-02-03 Tokyo Electron Limited Replaceable precursor tray for use in a multi-tray solid precursor delivery system
DE102004062552A1 (de) * 2004-12-24 2006-07-06 Aixtron Ag Vorrichtung zum Verdampfen von kondensierten Stoffen
US7608549B2 (en) * 2005-03-15 2009-10-27 Asm America, Inc. Method of forming non-conformal layers
US7651570B2 (en) 2005-03-31 2010-01-26 Tokyo Electron Limited Solid precursor vaporization system for use in chemical vapor deposition
FI121341B (fi) 2006-02-02 2010-10-15 Beneq Oy Hopean suojapinnoitus
US7432195B2 (en) 2006-03-29 2008-10-07 Tokyo Electron Limited Method for integrating a conformal ruthenium layer into copper metallization of high aspect ratio features
US8951478B2 (en) * 2006-03-30 2015-02-10 Applied Materials, Inc. Ampoule with a thermally conductive coating
US7562672B2 (en) * 2006-03-30 2009-07-21 Applied Materials, Inc. Chemical delivery apparatus for CVD or ALD
US20080241805A1 (en) 2006-08-31 2008-10-02 Q-Track Corporation System and method for simulated dosimetry using a real time locating system
US7473634B2 (en) 2006-09-28 2009-01-06 Tokyo Electron Limited Method for integrated substrate processing in copper metallization
KR101480971B1 (ko) * 2006-10-10 2015-01-09 에이에스엠 아메리카, 인코포레이티드 전구체 전달 시스템
US8986456B2 (en) * 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
JP5045062B2 (ja) * 2006-10-30 2012-10-10 住友化学株式会社 固体有機金属化合物の供給方法
TWI535874B (zh) * 2006-12-13 2016-06-01 環球展覽公司 用於固相材料之改良蒸發方法
US7833353B2 (en) 2007-01-24 2010-11-16 Asm Japan K.K. Liquid material vaporization apparatus for semiconductor processing apparatus
US7678458B2 (en) * 2007-01-24 2010-03-16 Asml Holding N.V. Bonding silicon silicon carbide to glass ceramics
US7846256B2 (en) * 2007-02-23 2010-12-07 Tokyo Electron Limited Ampule tray for and method of precursor surface area
JP2009044023A (ja) * 2007-08-10 2009-02-26 Hitachi Kokusai Electric Inc 半導体装置の製造方法および基板処理装置
JP5141141B2 (ja) * 2007-08-23 2013-02-13 東京エレクトロン株式会社 気化器、気化器を用いた原料ガス供給システム及びこれを用いた成膜装置
US9034105B2 (en) * 2008-01-10 2015-05-19 American Air Liquide, Inc. Solid precursor sublimator
US20090317547A1 (en) * 2008-06-18 2009-12-24 Honeywell International Inc. Chemical vapor deposition systems and methods for coating a substrate
US8343583B2 (en) 2008-07-10 2013-01-01 Asm International N.V. Method for vaporizing non-gaseous precursor in a fluidized bed
CN102177271B (zh) * 2008-10-08 2014-05-21 株式会社爱发科 蒸发材料及蒸发材料的制造方法
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8012876B2 (en) * 2008-12-02 2011-09-06 Asm International N.V. Delivery of vapor precursor from solid source
KR101015277B1 (ko) * 2008-12-10 2011-02-15 삼성모바일디스플레이주식회사 증발원
JP5361467B2 (ja) * 2009-03-13 2013-12-04 東京エレクトロン株式会社 気化器
JP5501807B2 (ja) * 2009-03-31 2014-05-28 東京エレクトロン株式会社 処理装置
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8883270B2 (en) 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
KR101084275B1 (ko) * 2009-09-22 2011-11-16 삼성모바일디스플레이주식회사 소스 가스 공급 유닛, 이를 구비하는 증착 장치 및 방법
EP2496733B1 (en) * 2009-11-02 2021-08-04 Sigma-Aldrich Co. LLC Method for evaporation
KR101074810B1 (ko) * 2009-12-23 2011-10-19 삼성모바일디스플레이주식회사 캐리어 가스 공급 구조가 개선된 증착 장치 및 그것을 이용한 유기 발광 디스플레이 장치 제조방법
TWI557261B (zh) * 2010-04-19 2016-11-11 Asm美國公司 先質輸送系統
EP2590756B1 (en) * 2010-07-07 2020-11-04 Directed Vapor Technologies International, Inc. Method and apparatus for applying a coating at a high rate onto non-line-of-sight regions of a substrate
JP2012248803A (ja) * 2011-05-31 2012-12-13 Hitachi Cable Ltd 金属塩化物ガスの発生装置および金属塩化物ガスの発生方法、並びに、ハイドライド気相成長装置、窒化物半導体ウエハ、窒化物半導体デバイス、窒化物半導体発光ダイオード用ウエハ、窒化物半導体自立基板の製造方法および窒化物半導体結晶
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
KR20120139387A (ko) * 2011-06-17 2012-12-27 삼성디스플레이 주식회사 박막 증착 장치 및 이를 이용한 박막 증착 방법
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9238865B2 (en) 2012-02-06 2016-01-19 Asm Ip Holding B.V. Multiple vapor sources for vapor deposition
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
TWI622664B (zh) 2012-05-02 2018-05-01 Asm智慧財產控股公司 相穩定薄膜,包括該薄膜之結構及裝置,及其形成方法
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
WO2013181521A2 (en) 2012-05-31 2013-12-05 Advanced Technology Materials, Inc. Source reagent-based delivery of fluid with high material flux for batch deposition
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
DE102012215708A1 (de) * 2012-09-05 2014-03-06 Osram Opto Semiconductors Gmbh Vorratsbehälter für eine beschichtungsanlage und beschichtungsanlage
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
KR101599286B1 (ko) * 2014-01-17 2016-03-03 (주) 엠에이케이 소수성 표면 처리장치
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
KR101777777B1 (ko) * 2015-12-23 2017-09-26 주식회사 포스코 고속 코팅용 진공 증착 장치
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10483498B2 (en) * 2016-04-22 2019-11-19 Universal Display Corporation High efficiency vapor transport sublimation source using baffles coated with source material
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US20180047692A1 (en) * 2016-08-10 2018-02-15 Amkor Technology, Inc. Method and System for Packing Optimization of Semiconductor Devices
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US11926894B2 (en) 2016-09-30 2024-03-12 Asm Ip Holding B.V. Reactant vaporizer and related systems and methods
US10876205B2 (en) 2016-09-30 2020-12-29 Asm Ip Holding B.V. Reactant vaporizer and related systems and methods
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US20200131630A1 (en) * 2017-04-10 2020-04-30 Versum Materials Us, Llc Aerosol-free vessel for bubbling chemical precursors in a deposition process
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
JP6895372B2 (ja) * 2017-12-12 2021-06-30 東京エレクトロン株式会社 原料容器
KR20190072266A (ko) * 2017-12-15 2019-06-25 삼성전자주식회사 소스 가스 공급 장치 및 이를 구비하는 증착 장치
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
JP6839672B2 (ja) * 2018-02-06 2021-03-10 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11168394B2 (en) 2018-03-14 2021-11-09 CeeVeeTech, LLC Method and apparatus for making a vapor of precise concentration by sublimation
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11634812B2 (en) 2018-08-16 2023-04-25 Asm Ip Holding B.V. Solid source sublimator
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
KR102072924B1 (ko) * 2018-11-05 2020-02-03 (주)에이텍솔루션 고효율 반도체 증착용 기화기
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
JP7240881B2 (ja) * 2019-01-18 2023-03-16 Jx金属株式会社 塩化金属の昇華容器
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP6901153B2 (ja) * 2019-02-07 2021-07-14 株式会社高純度化学研究所 薄膜形成用金属ハロゲン化合物の固体気化供給システム。
JP6887688B2 (ja) * 2019-02-07 2021-06-16 株式会社高純度化学研究所 蒸発原料用容器、及びその蒸発原料用容器を用いた固体気化供給システム
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
US11788190B2 (en) 2019-07-05 2023-10-17 Asm Ip Holding B.V. Liquid vaporizer
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
JP7240993B2 (ja) * 2019-08-27 2023-03-16 東京エレクトロン株式会社 原料ガス供給システム及び原料ガス供給方法
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11624113B2 (en) 2019-09-13 2023-04-11 Asm Ip Holding B.V. Heating zone separation for reactant evaporation system
US11946136B2 (en) 2019-09-20 2024-04-02 Asm Ip Holding B.V. Semiconductor processing device
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
WO2021097258A1 (en) * 2019-11-14 2021-05-20 Entegris, Inc. Densified solid preforms for sublimation
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
DE102020212606A1 (de) 2020-10-06 2022-04-07 Karlsruher Institut für Technologie Struktur, Wärmetauscher umfassend eine Struktur, Wärmetauscheranlage umfassend einen Wärmetauscher, Verfahren zum Temperieren eines Partikelstroms, Verwendung einer Struktur zum Temperieren eines Partikelstroms, Verfahren zum Beschichten eines Substrats und Verfahren zum Auftrennen einer flüssigen Probe
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
CN112354797A (zh) * 2020-11-04 2021-02-12 中国电子科技集团公司第五十五研究所 一种可流水化作业的显示面板水胶灌胶贴合装置和方法
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11578406B2 (en) 2020-12-08 2023-02-14 Applied Materials, Inc. Ampoule for a semiconductor manufacturing precursor
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
US11584990B2 (en) 2021-07-02 2023-02-21 Applied Materials, Inc. Bottom fed sublimation bed for high saturation efficiency in semiconductor applications
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
KR20240016198A (ko) 2022-07-28 2024-02-06 에이에스엠 아이피 홀딩 비.브이. 반도체 제조 모니터링 프로세스

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4548138A (en) 1981-12-17 1985-10-22 York-Shipley, Inc. Fast fluidized bed reactor and method of operating the reactor
DE3339625A1 (de) 1983-11-02 1985-05-09 Philips Patentverwaltung Gmbh, 2000 Hamburg Vorrichtung zum anreichern eines traegergases mit dem dampf eines wenig fluechtigen stoffes
US4761269A (en) * 1986-06-12 1988-08-02 Crystal Specialties, Inc. Apparatus for depositing material on a substrate
US4747367A (en) * 1986-06-12 1988-05-31 Crystal Specialties, Inc. Method and apparatus for producing a constant flow, constant pressure chemical vapor deposition
DE3702923A1 (de) * 1987-01-31 1988-08-11 Philips Patentverwaltung Vorrichtung zum anreichern eines traegergases mit dem dampf eines wenig fluechtigen stoffes
US5092157A (en) 1987-07-08 1992-03-03 Thermedics Inc. Vapor collector/desorber with metallic ribbon
JPS6464314A (en) * 1987-09-04 1989-03-10 Mitsubishi Electric Corp Sublimator
DE3801147A1 (de) 1988-01-16 1989-07-27 Philips Patentverwaltung Vorrichtung zum erzeugen eines mit dem dampf eines wenig fluechtigen stoffes angereicherten gasstroms
JP2651530B2 (ja) * 1988-04-15 1997-09-10 住友化学工業株式会社 気相成長用有機金属化合物供給装置
US5252134A (en) 1991-05-31 1993-10-12 Stauffer Craig M Integrated delivery system for chemical vapor from non-gaseous sources for semiconductor processing
US6132492A (en) 1994-10-13 2000-10-17 Advanced Technology Materials, Inc. Sorbent-based gas storage and delivery system for dispensing of high-purity gas, and apparatus and process for manufacturing semiconductor devices, products and precursor structures utilizing same
FR2727691A1 (fr) 1994-12-01 1996-06-07 Framatome Sa Procede de revetement d'un substrat en metal ou alliage passivable, par une couche d'oxyde, et tube de gainage et grille-entretoise pour assemblage combustible revetus d'une couche d'oxyde
JPH0940489A (ja) * 1995-03-30 1997-02-10 Pioneer Electron Corp Mocvdの固体原料供給方法及び供給装置
US5553395A (en) * 1995-05-31 1996-09-10 Hughes Aircraft Company Bubbler for solid metal organic source material and method of producing saturated carrying gas
JPH1025576A (ja) * 1996-04-05 1998-01-27 Dowa Mining Co Ltd Cvd成膜法における原料化合物の昇華方法
US5674574A (en) 1996-05-20 1997-10-07 Micron Technology, Inc. Vapor delivery system for solid precursors and method regarding same
US6461982B2 (en) 1997-02-27 2002-10-08 Micron Technology, Inc. Methods for forming a dielectric film
JP3684797B2 (ja) 1997-12-04 2005-08-17 株式会社デンソー 気相成長方法および気相成長装置
JP3909792B2 (ja) 1999-08-20 2007-04-25 パイオニア株式会社 化学気相成長法における原料供給装置及び原料供給方法
TW576873B (en) * 2000-04-14 2004-02-21 Asm Int Method of growing a thin film onto a substrate
JPWO2002023614A1 (ja) * 2000-09-18 2004-01-22 東京エレクトロン株式会社 ゲート絶縁体の成膜方法、ゲート絶縁体の成膜装置、クラスターツール
US6718126B2 (en) 2001-09-14 2004-04-06 Applied Materials, Inc. Apparatus and method for vaporizing solid precursor for CVD or atomic layer deposition
US6720259B2 (en) * 2001-10-02 2004-04-13 Genus, Inc. Passivation method for improved uniformity and repeatability for atomic layer deposition and chemical vapor deposition
US6921062B2 (en) 2002-07-23 2005-07-26 Advanced Technology Materials, Inc. Vaporizer delivery ampoule

Also Published As

Publication number Publication date
TW200403721A (en) 2004-03-01
WO2004011695A3 (en) 2004-11-04
KR20050030963A (ko) 2005-03-31
AU2003254266A1 (en) 2004-02-16
AU2003254266A8 (en) 2004-02-16
KR101183109B1 (ko) 2012-09-24
WO2004011695A2 (en) 2004-02-05
TWI319593B (en) 2010-01-11
TW200952073A (en) 2009-12-16
JP2005535112A (ja) 2005-11-17
US20050072357A1 (en) 2005-04-07
US7122085B2 (en) 2006-10-17
EP1525337A2 (en) 2005-04-27
US20060216419A1 (en) 2006-09-28

Similar Documents

Publication Publication Date Title
JP4585852B2 (ja) 基板処理システム、基板処理方法及び昇華装置
JP2020183578A (ja) 浸漬チューブを備える薬剤供給容器
US6551929B1 (en) Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US7971861B2 (en) Safe liquid source containers
JP5548446B2 (ja) 化学気相堆積のための装置及び方法
AU2005245634B2 (en) Bubbler for constant vapor delivery of a solid chemical
US7485339B2 (en) Method for chemical vapor deposition capable of preventing contamination and enhancing film growth rate
KR100589053B1 (ko) 소스 공급 장치, 소스 공급 방법 및 이를 이용한 원자층증착 방법
KR102559965B1 (ko) 기판 처리 장치, 반도체 장치의 제조 방법 및 프로그램
EP0371796A2 (en) Apparatus and process for chemical vapor deposition
KR20110091584A (ko) 화학 기상 증착 유동물 유입구 부재 및 방법
Mousa et al. Modeling and experimental demonstration of high-throughput flow-through spatial atomic layer deposition of Al2O3 coatings on textiles at atmospheric pressure
US20220403512A1 (en) Bottom Fed Sublimation Bed for High Saturation Efficiency in Semiconductor Applications
US7584942B2 (en) Ampoules for producing a reaction gas and systems for depositing materials onto microfeature workpieces in reaction chambers
KR20030059199A (ko) 가스흐름에 의하여 회전구동되는 기재홀더를 갖는 cvd반응기
JP5649894B2 (ja) Ge−Sb−Te膜の成膜方法
US20010000160A1 (en) Method for treatment of semiconductor substrates
JP4571787B2 (ja) 固体有機金属化合物用充填容器およびその充填方法
US20210071301A1 (en) Fill vessels and connectors for chemical sublimators
JPH0663094B2 (ja) 有機金属化学的気相成長用元素水銀供給源
Valdesueiro et al. Enhancing the activation of silicon carbide tracer particles for PEPT applications using gas-phase deposition of alumina at room temperature and atmospheric pressure
KR20210017147A (ko) 가스 유입 장치 및 이를 이용한 기판 처리 장치
US11885024B2 (en) Gas introduction structure and processing apparatus
US20220081771A1 (en) Processing apparatus and processing method
US20220205088A1 (en) Container for efficient vaporization of precursor materials and method of using the same

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20060724

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20090729

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090805

RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7422

Effective date: 20090807

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20090807

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20091028

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20091105

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20091204

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20091208

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20091208

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20091211

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20091224

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100202

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20100428

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20100511

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20100602

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20100609

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20100630

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20100707

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100729

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20100824

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20100906

R150 Certificate of patent or registration of utility model

Ref document number: 4585852

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130910

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S802 Written request for registration of partial abandonment of right

Free format text: JAPANESE INTERMEDIATE CODE: R311802

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term