KR101183109B1 - 캐리어 가스를 이용하는 승화 시스템 - Google Patents

캐리어 가스를 이용하는 승화 시스템 Download PDF

Info

Publication number
KR101183109B1
KR101183109B1 KR1020057001614A KR20057001614A KR101183109B1 KR 101183109 B1 KR101183109 B1 KR 101183109B1 KR 1020057001614 A KR1020057001614 A KR 1020057001614A KR 20057001614 A KR20057001614 A KR 20057001614A KR 101183109 B1 KR101183109 B1 KR 101183109B1
Authority
KR
South Korea
Prior art keywords
delete delete
carrier gas
tray
vessel
sublimation
Prior art date
Application number
KR1020057001614A
Other languages
English (en)
Other versions
KR20050030963A (ko
Inventor
셰로에릭제이.
기븐스마이클이.
슈미트라이언
Original Assignee
에이에스엠 아메리카, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠 아메리카, 인코포레이티드 filed Critical 에이에스엠 아메리카, 인코포레이티드
Publication of KR20050030963A publication Critical patent/KR20050030963A/ko
Application granted granted Critical
Publication of KR101183109B1 publication Critical patent/KR101183109B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4481Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by evaporation using carrier gas in contact with the source material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4481Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by evaporation using carrier gas in contact with the source material
    • C23C16/4483Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by evaporation using carrier gas in contact with the source material using a porous body

Abstract

본 발명의 바람직한 실시예는 증기 반응물용 고체 소스 물질(7)이 코팅되는, 넓은 표면적의 지지 매체(60)를 가진 바람직한 특정 실시예를 포함하는 가이드 구조체를 이용한 승화 시스템을 제공한다. 바람직하게, 가이드 구조체는 증기 반응물용 고체 소스로 캐리어 가스가 용이하게 반복적으로 포화되도록 한다. 가이드 구조체를 이용하여 캐리어 가스를 포화시키는 방법도 제공된다.
Figure R1020057001614
승화 베드, 캐리어 가스, 플러그 플로우, 교반 탱크 반응기, 고체 소스, 지지 매체, 증기 반응물, 원자층 증착

Description

캐리어 가스를 이용하는 승화 시스템{SUBLIMATION SYSTEM EMPLOYING CARRIER GAS}
본 발명은 일반적으로 기판 제조 분야에 관한 것으로, 보다 구체적으로는 고체 소스 물질을 효과적으로 증발시키도록 설계된 반도체 처리 장치에 관한 것이다.
반도체 웨이퍼와 같은 기판 상에 집적회로를 제조 시, 화학적 증착("CVD")과 같은 화학 물질의 증착 및 보다 최근의 원자층 증착(atomic layer deposition; ALD)이 흔히 바람직하다. 적합한 소스 화학 물질이 확장됨에 따라, 자연 상태로는 실온 및 대기압 하에서 액체 또는 고체인 전구체 물질을 점진적으로 더욱 이용하게 되었다.
고체 소스 물질 또는 화학 물질 유래의 전구체를 이용하여 효과적으로 증착하기 위해서, 고체 소스 물질을 우선 증발시켜야 함은 물론이다. 이 목적을 달성하기 위해, 고체 소스 물질의 증발을 실행하는 데에 승화 장치가 사용된다. 또한, 증기압을 고체 소스 물질 이상으로 높이기 위해서 통상 그러한 장치와 함께 열원이 활용된다.
불행하게도, 승화 장치를 구성 요소로 구비한 기존의 반도체 처리 시스템은 증기 체적에 대한 고체 소스 표면적의 부적합한 비율 및 불충분한 증기/고체 접촉 시간을 포함하는 여러 가지 결점을 가진다. 현재의 처리 시스템은 캐리어 가스가 고체 소스 물질과 긴밀하게 접촉하지 않고 입구로부터 출구로 유출될 수 있게 하는 것이 보통이므로, 캐리어 가스가 고체 소스 증기로 포화되지 못한다. 그 외에도, 증기/고체 접촉 시간을 증가하고자 도모하는 종래의 승화 베드(bed)는 흔히 "터널링(tunneling)"을 일으키기 쉽다. 터널링은 가스가 분체의 벌크(bulk)를 통과하지 않고 우선적으로 저항이 낮은 경로를 따라 유동하는 경향에서 비롯되며, 그 결과 분체를 통한 터널이 넓어짐에 따라 가스 흐름에 노출되는 고체 전구체의 표면적이 점진적으로 작아진다. 따라서 승화 베드가 다량의 미증발 고체 소스 분체를 수용하고 있어도 캐리어 가스를 포화시키는 것이 점점 더 어려워진다.
본 발명은 개선된 반도체 처리 시스템을 제공한다. 예시된 실시예에서, 본 발명의 시스템은 증기 반응물용 고체 소스가 코팅되어 있는 표면을 가진 지지 매체(support medium)와 같은 가이드 구조체(guidance structure)를 포함한다. 예시된 가이드 구조체는 캐리어 가스를 증기 반응물용 고체 소스로 반복된 포화를 용이하게 하도록 구성된다.
본 발명의 일 측면에 따르면, 기판 처리 시스템은 캐리어 가스의 소스, 증기 반응물용 고체 소스가 코팅되어 있는 표면을 가진 지지 매체 및 상기 지지 매체의 하류에 위치한 반응 쳄버를 구비한다. 상기 지지 매체는 캐리어 가스 소스로부터 나오는 캐리어 가스가 지지 매체를 통과하게 안내하도록 구성되어 있다.
본 발명의 또 다른 측면에 따르면, 캐리어 가스의 소스 및 증기 반응물용 고 체 소스가 코팅되어 있는 유동가능한 지지 요소(flowable support element)가 승화 시스템에 제공된다. 상기 지지 요소는 일반적으로 비선형 접촉 경로로 캐리어 가스가 지지 매체를 통과하게 안내하도록 구성되어 있다.
본 발명의 또 다른 측면에 따르면, 승화 장치는 승화 용기, 상기 용기 내 증기 반응물용 고체 소스의 베드, 및 상기 고체 소스 물질의 베드로부터의 증기 반응물과 캐리어 가스가 접촉하게 안내하도록 구성된 가이드 구조체를 포함한다.
바람직한 실시예에 따르면, 상기 가이드 구조체는 구불구불한(winding) 접촉 경로를 제공함으로써 고체 베드의 표면적에 걸쳐 캐리어 가스를 분리하고 안내하도록 구성되어 있다. 상기 경로는 또한 길고 좁은 것이 바람직하다. 용기의 유입구(inlet port)는 접촉 경로의 시작 지점에 위치하고, 용기의 유출구(outlet port)는 접촉 경로의 종말 지점에 위치한다. 상기 캐리어 가스 가이드 구조체는 유입구에서 유출구까지 측정한 직선 거리보다 약 2.5배 더 길고, 실질적으로 분리되고 구불구불한 접촉 경로를 따라 캐리어 가스가 증기 반응물과 확실히 접촉하도록 되어 있다.
다른 바람직한 실시예에 따르면, 기판의 처리 방법 및 기판 표면 상에 층을 증착하는 원자층 증착(ALD) 공정을 실행하는 방법이 제공된다. 바람직하게, 이들 방법은 실질적으로 캐리어 가스를 전구체 증기로 포화시킨다. 바람직한 특정 실시예에서, 승화 용기 내에 설계에 따른 플러그 플로우(plug flow) 체류 시간 분포(residence time distribution)를 실질적으로 나타냄으로써 전구체 증기로 실질적으로 포화된 상태로 캐리어 가스의 실질적인 플러그 플로우가 승화 용기에서 배출 된다.
본 발명의 바람직한 실시예의 특징은 정밀하고 일정한 양의 반응물 증기가 높은 빈도로 증착 쳄버에 전달될 수 있다는 점이다. 바람직한 실시예의 또 다른 특징은, 여러 가지 다른 요인 중에서 "터널링" 문제를 회피하는 결과로서, 승화 용기 체적에 대한 노출된 고체 소스 표면적의 비율이 증가되는 점이다. 바람직한 실시예의 또 다른 특징은 증기/고체 접촉 시간이 증가되는 점이다. 바람직한 실시예의 또 다른 특징은 승화 베드의 사용 수명 기간에 걸쳐 비교적 균일한 가스 유동 저항이 가능한 점이다. 바람직한 실시예의 또 다른 특징은 전구체 증기로 실질적으로 포화된 캐리어 가스의 실질적 플러그 플로우 체류 시간 분포의 형성이다.
이러한 특징 및 그 밖의 특징의 개요를 이하에 설명하는 바람직한 실시예에서 보다 구체적으로 제시한다.
본 발명 및 종래 기술을 능가하여 얻어지는 이점을 종합하기 위해, 이상과 같이 본 발명의 특정 목적과 이점을 설명했다. 물론 상기 특징, 목적 또는 이점이 모두 본 발명의 어느 특별한 실시예에 따라 얻어질 수 있는 것은 아님을 이해해야 한다. 따라서, 예를 들면, 당업자는 본 발명이 본 명세서에 교시되거나 암시되는 다른 목적이나 이점을 반드시 성취하지 않고도 여기에 교시된 어느 하나의 이점 또는 여러 이점을 달성하거나 최적화하는 방식으로 구현되거나 수행될 수 있음을 인식할 것이다.
이러한 실시예는 모두 여기에 개시되는 본 발명의 범위 내에 포함되어야 할 것이다. 본 발명의 이들 실시예 및 그 밖의 구현예는 첨부하는 도면을 참조하여 이하에 제시하는 바람직한 실시예의 상세한 설명으로부터 당업자에게 용이하게 이해될 것이며, 본 발명은 개시된 어느 특정한 바람직한 실시예에 한정되지 않는다.
도 1은 캐리어 가스 소스와 증착 쳄버 사이에 위치한 용기를 구비한 기판 처리 시스템의 개략도이다.
도 2(A)는 본 발명의 실시예에 따른 승화 장치의 개략 단면도로서, 상기 장치는 고체 소스 코팅 비드로 충전된 용기를 포함한다.
도 2(B)는 도 2(A)의 고체 소스 코팅 비드를 확대한 도면이다.
도 2(C)는 도 2(B)의 하나의 고체 소스 코팅 비드를 확대한 도면이다.
도 2(D)는 본 발명의 다른 실시예에 따른 고체 소스 유동형 지지 요소를 확대한 도면이다.
도 3(A)는 본 발명의 또 다른 실시예에 따라, 증기 반응물용 고체 소스로 코팅된 필터 섬유를 가진 필터를 수용한 용기의 등각 절결도이다.
도 3(B)는 도 3(A)의 3B-3B 선을 따른 단면도이다.
도 3(C)는 도 3(B)에 도시한 필터의 단면을 확대한 도면으로, 필터를 형성하는 고체 소스 코팅 섬유를 개별적으로 나타낸다.
도 4(A)는 본 발명의 또 다른 실시예에 따라, 고체 소스 코팅된 다중 교차 판 구조체(multiple intersecting plate structure)를 수용한 용기의 등각 절결도이다.
도 4(B)는 도 4(A)의 4B-4B 선을 따른 단면도이다.
도 4(C)는 도 4(B)에 도시된 다중 교차 판 구조체의 일부를 확대한 도면으로, 다중 교차 판 구조체를 형성하는 고체 소스 코팅 판을 나타낸다.
도 5(A)는 본 발명의 또 다른 실시예에 따라 복수의 고체 소스 코팅 스크린을 가진 스크린 카트리지를 수용한 용기의 등각 절결도이다.
도 5(B)는 도 5(A)의 5B-5B 선을 따른 단면도이다.
도 5(C)는 도 5(B)에 도시된 스크린의 일부를 확대한 도면으로, 스크린을 형성하는 고체 소스 코팅 스크린 부재를 나타낸다.
도 6(A)는 본 발명의 또 다른 실시예에 따라, 고체 소스 코팅 튜브의 다발을 나타내는 사시도이다.
도 6(B)는 도 6(A)의 고체 소스 코팅 튜브의 다발을 사용하는 용기의 사시도이다.
도 6(C)는 도 6(A)에 도시된 고체 소스 코팅 튜브 4개를 확대한 사시도이다.
도 7(A)는 본 발명의 또 다른 실시예에 따라, 내부에 고체 소스가 코팅된 코일형 연속 튜브를 수용한 용기의 사시도이다.
도 7(B)는 도 7(A)에 도시된 실시예의 용기가 결여된 대안적 장치의 사시도이다.
도 7(C)는 도 7(A), 7(B)에 도시된 코일형 튜브의 확대 사시도로서, 상기 튜브는 내부에 고체 소스가 코팅되어 있다.
도 8(A)는 본 발명의 또 다른 실시예에 따라, 고체 소스 분체의 연속 베드 내에 나선형 유동 가이드를 사용한 용기의 측면 단면도이다.
도 8(B)는 도 8(A)의 8B-8B 선을 따른 최상부 단면도이다.
도 9는 본 발명의 또 다른 실시예에 따라, 증기 반응물용 고체 소스를 이용하는 방법의 플로차트이다.
도 10은 본 발명의 또 다른 실시예에 따라 구성된 고체 소스 용기의 사시도이다.
도 11은 도 10의 용기로부터 분리한 외부 컨테이너(container)의 사시도이다.
도 12는 도 10의 용기로부터 분리한, 복수의 밸브 및 가스관을 포함하는 덮개(lid)의 사시도이다.
도 13은 도 10의 용기로부터 분리한, 내부 구성 부품을 가상선(phantom line)으로 나타내는 고체 소스 용기의 사시도이다.
도 14는 도 10의 고체 소스 용기 내에 사용되는 하부 적층 트레이(stacking tray)의 사시도이다.
도 15는 도 14에 도시한 하부 적층 트레이의 평면도이다.
도 16은 도 10의 고체 소스 용기 내에 사용되는 하부 적층 트레이의 사시도이다.
도 17은 도 16에 도시한 하부 적층 트레이의 평면도이다.
도 18은 조립 과정을 나타내는, 도 10에 도시한 용기의 분해 사시도이다.
도 19는 도 10에 도시한 용기의 부분 절결 사시도이다.
도 20(A)는 본 발명의 실시예에 따라, 2차 부분 분할기(partial divider)를 가진 하부 적층 트레이의 상측 전방에서 본 개략적 사시도이다.
도 20(B)는 도 20(A)에 도시한 하부 적층 트레이와 함께 사용하는 2차 부분 분할기를 가진 상부 적층 트레이의 상측 전방에서 본 개략적 사시도이다.
도 20(C)는 도 20(B)에 도시한 트레이의 대안적 장치에 따라, 2차 부분 분할기를 가진 상부 적층 트레이의 평면도이다.
도 21은 본 발명의 실시예에 따라, 기판 표면에 층을 증착하기 위해 원자층 증착(ALD) 공정을 실행하는 방법에 대한 플로차트이다.
도 22(A)는 이상적 플러그 플로우 반응기에서, 시간에 대한 캐리어 가스 중 전구체의 포화 농도(Csat)를 예시하는 그래프이다.
도 22(B)는 이상적 교반 탱크 반응기에서, 시간에 대한 캐리어 가스 중 전구체의 포화 농도(Csat)를 예시하는 그래프이다.
도 23은 플러그 플로우 모델 및 교반 탱크 모델을 포함하는 여러 가지 분산 모델에 대한 응답 곡선을 나타내는 그래프이다.
도 24는 도 15 내지 도 20(B)에 도시한 용기 실시예의 유체 기계적 모델링 결과를 도표화한 그래프로서, 체류 시간 분포(RTD)를 나타낸다.
기판 표면에 박막을 성장시키기 위한 증착 방법으로는 여러 가지가 있다. 이들 방법에는 진공 증착법, 분자빔 에피택시(Molecular Beam Epitaxy; MBE), 화학 증착(CVD)의 변형(저압 및 유기금속 CVD, 플라즈마 강화 CVD를 포함), 및 보다 최 근에는 원자층 증착(ALD)라 지칭되는 원자층 에피택시(ALE)가 포함된다.
ALE 또는 ALD는, 반응 또는 처리 쳄버 내에 위치시킨 기판에 전구체 종(precursor species)을 순차적으로 도입하는 것을 기초로 하는 증착 방법이다. 성장 메커니즘은 기판의 활성 자리에 하나의 전구체를 흡수하는 것에 의존한다. 조건은, 하나의 펄스에서 단일층만이 형성됨으로써 공정이 자동종료형(self-terminating)이거나 포화형(saturative)이 되도록 하는 것이다. 예를 들면, 제1 전구체는, 제1 전구체의 추가 흡수를 방지하는 흡수된 종에 잔류한 리간드를 포함할 수 있다. 온도는 전구체 응축 온도보다 높고 열분해 온도보다 낮게 유지됨으로써, 제1 전구체는 거의 변화 없는 기판(들) 상에 화학흡착(chemisorption)된다. 일반적으로, 초기의 이 흡수 단계 다음으로 1차 배기 또는 퍼징 단계가 이어지는데, 이 때 과량의 제1 전구체 및 생성 가능한 반응 부산물이 반응 쳄버로부터 제거된다. 제2 전구체는 흡수된 종과 반응할 수 있고, 그 결과 원하는 박막의 단일층이 형성된다. 예를 들면, 제2 전구체는 리간드 교환 공정에서 이미 흡수된 종의 말단 리간드(terminating ligand)를 교체할 수 있다. 또 다른 태양에서, 중간체 반응물이 앞서 흡수된 종의 리간드를 제거할 수 있으며, 그에 따라 다음 번 반응물이 나머지 단일층과 직접 반응하거나 거기에 흡수될 수 있다. 이러한 성장은 흡수된 제1 전구체의 전량이 소비되었을 때 종료된다. 제2 전구체의 초과량 및 생성 가능한 반응 부산물은 이어서 제2 배기 또는 퍼지 단계에 의해 제거된다. 상기 사이클은 막이 원하는 두께로 성장되도록 반복될 수 있다. 사이클은 보다 더 복잡할 수도 있다. 예를 들면, 상기 사이클은 2원, 3원, 4원 화합물 등의 제조를 위해 퍼 지 및/또는 배기 단계에 의해 분리된 3회 이상의 반응물 벌스를 포함할 수 있다.
ALE 방법 및 ALD 방법은 예를 들면 핀란드 특허공보 제52,359호 및 제57,975호, 미국특허 제4,058,430호 및 제4,389,973호에 기재되어 있으며, 이들 문헌은 참고로서 본 명세서에 포함된다. 이들 방법을 구현하는 데 적합한 장치는, 예를 들면, 미국특허 제5,855,680호, 핀란드 특허 제100,409호, Material Science Report 4(7)(1989), p.261, 및 Tyhjiotekniikka(진공 기술에 대한 핀란드 출판물), ISBN 951-794-422-5, PP.253-261에 기재되어 있으며, 이들 문헌은 참고로서 본 명세서에 포함된다. 핀란드 에스포 소재 ASM Microchemistry Oy사는 상품명 ALCVDTM 하에 그러한 ALD 공정용 장치를 공급한다. 또한 ASM America사도 상품명 Pulsar® 하에 그러한 ALD 장치를 공급한다.
일부 ALD 반응기에서, 전구체의 일부 또는 전부가 초기에 컨테이너 내에 고체 상태로 저장될 수 있다. 컨테이너 내에서, 고체 전구체가 기체 또는 증기 상태로 바뀌도록 전구체는 가열된다. 일반적으로, 캐리어 가스는 증발된 전구체를 반응기에 전송하는 데 이용된다. 캐리어 가스는 통상 불활성 가스(예; 질소)이며, 퍼징 단계에서 사용되는 것과 동일한 가스일 수 있다.
터널링 및 부적합한 고체/기체 접촉을 포함하는 기존의 승화 장치가 가진 전술한 문제점은, 원자층 증착("ALD")에서 이용하는 조건과 같이, 각각의 펄스 처리된 유동에서 실질적으로 포화되거나 적어도 예측가능한 전구체를 반복적으로 정밀하게 정량하고 전달하는 승화 장치의 능력을 크게 중요시하는, 비정상 상태(non- steady state) 기판 제조 조건에 의해서 더 악화된다. 다시 말하면, ALD 반응기는 각각의 펄스의 수명 기간에 걸쳐, 공지되고 제어되며 재현가능한 농도에 짧은 시간 동안 기판을 노출시킨다.
ALD를 이용하는 특별한 일 실시예에서, 캐리어 가스 유동은 캐리어가 통과하여 흐르게 한 다음 용기 체적을 분리함으로써, 용기를 통해 일정한 주파수로 펄스 처리된다. 펄스 처리된 캐리어 가스 유동에 고유한 비정상 상태 조건은 종래의 승화 장치 설계를 이용할 경우, 특별한 문제를 초래한다. 예를 들면, 고체 소스 증화 베드를 통한 절대 가스 유동(absolute gas flow)이 능동적으로 제어되지 않고 2개 이상의 대체 경로의 상대적 저항에 의존할 경우, 가스 유동은 승화 베드의 형상이 변함에 따라 변동되어 유동 저항에 변화를 초래할 것이다. 승화 베드를 통한 절대 가스 유동의 변동은 펄스당 전구체 함량의 변동과 그에 따른 웨이퍼 상의 증착층의 불균일 증착을 초래할 수 있고, 따라서 불량 웨이퍼를 초래할 수 있다. 예컨대, 앞에서 언급한 바와 같이, 분체와 같은 전구체 고체 물질로만 단순히 충전된 용기로부터 형성되는 승화 베드는 채널링(channeling) 또는 "터널링" 문제를 가질 수 있다. 터널링은 상기 베드가 소비됨에 따라 캐리어 가스가 접촉하는 고체 소스 표면적의 감소를 통한 캐리어 가스 포화의 감소를 초래한다. 단지 전구체 고체 물질만 이용하여 베드를 형성하면, 시간이 지남에 따라 베드가 수축되거나 개별적인 전구체 그레인의형상이 변하기 때문에, 시간 경과와 함께 베드의 저항도 변동될 수 있다.
고체 소스 물질이 코팅되는 지지 매체 표면에 관하여 높은 "표면적"이란, 동 일한 용기에 있다고 가정한 자유 액체 표면에 대하여, 용기의 보이드 체적(void volume)에 대한 코팅된 노출 표면적의 비율이 높은 지지 매체를 의미한다.
"유동가능한 지지 요소"란 비드, 실린더, 필라멘트, 또는 링과 같이, 고체 전구체로 코팅될 수 있고 용기에 쏟아 넣거나 용기에서 쏟아 낼 수 있는 요소를 의미한다. 각각의 유동가능한 지지 요소는 터널링을 방지하고 적합한 횡방향 혼합을 보장하도록 칼럼 직경(예; 폭)의 약 1/8 미만의 공칭 사이즈를 갖는 것이 바람직하다.
"고정된 매체"는 유동되지 않는 매체이며, 처리 과정중에 정지된 상태로 잔류하는 것을 의미한다.
"캐리어 가스 접촉 경로"는 캐리어 가스가 일반적으로 고체 소스 장치를 통해 잰행하는 경로로서, 캐리어 가스를 고체 소스 물질 표면과 접촉시키는 경로이다.
도 1을 참조하면, 캐리어 가스 소스(4)와 증기 증착 챔버(5) 사이에 직렬로 위치한 용기(1)를 가진 승화 장치를 포함하는 기판 처리 시스템을 이용하는 본 발명의 실시예가 도시되어 있다. 예시된 증착 쳄버(5)는 화학 증착(CVD) 쳄버일 수 있지만, 펄스 발생 밸브, 튜브, 가스 소스 및 적절한 제어 회로 또는 소프트웨어를 포함하는 펄싱 기구(도시되지 않음)을 포함하는 원자층 증착(ALD) 쳄버인 것이 바람직하다. ALD 쳄버는 펄스당 하나 이하의 단일층을 남기는 프로세스 증기의 펄스를 교대로 발생하고 자동 제한하도록 구성되는 것이 바람직하다. 각각의 반응물에 대해서는 혼합 및 그로 인한 CVD형 반응의 위험성을 최소화하도록 분리된 유입 경로가 제공되는 것이 바람직하다. 승화 용기(1)는 용기(1)의 유입구(2)에 연결된 가스 라인에 의해 캐리어 가스 소스(4)에 결합되어 있는 한편, 증착 쳄버(5)는 용기(1)의 유출구(3)에 연결된 가스 라인에 의해 승화 용기(1)에 결합되어 있다.
대안적 실시예에서, 증기 반응물을 쳄버에 운반하는 데에 캐리어 가스를 이용하지 않는다. 그 대신, 이 실시예에서는 증기압과 반응기 압력간의 압력차에 의해 유발되는 대류형 유동에 의해 희석되지 않은 증기 반응물을 처리 쳄버에 유입시킨다. 숙련된 기술자는 여기에서 설명하는 대안적 실시예에서, "유동" 또는 "캐리어 가스 유동"을 통한 증기 반응물의 이송은 캐리어 가스의 압력 변화(ΔP) 또는 화학 반응기 압력차에 의해 야기될 수 있음을 이해할 것이다. 어느 경ㅇ에나, 고체 소스 용기로부터의 유동은 ALD에 전형적인 펄싱 조건(즉, 펄스 지속 시간은 0.1~1.0초 수준, 사이클 지속 시간은 1초 내지 1분 수준)에서 실질적으로 포화되는 것이 바람직하다.
또 다른 대안적 태양에서, 얻어지는 희석 혼합물을 배출하기에 앞서 캐리어 가스와 증기 반응물의 혼합물에 부가적 가스가 첨가된다. 그러한 희석은 용기 유출구(3)와 증착 쳄버(5) 사이에서 이루어지는 것이 바람직하다. 증기상 반응물에 대한 응용에 따라, 증착이 아닌 반응(예; 에칭, 게터링(gettering) 등)이 반응 쳄버 하류에서 일어날 수 있음을 알아야 한다.
도 2(A)를 참조하면, 가이드 구조체로서 코팅된 지지 매체를 활용하는 반도체 처리 시스템의 승화 장치(29)의 실시예가 도시되어 있다. 예시된 실시예에서, 지지 매체는 승화용기(1) 내에 팩킹된 비드(6)를 포함하는 "유동가능한" 지지 요소 로 형성되어 있다. 이들 비드는 캐리어 가스에 대해 굴곡이 있는(tortuous) 경로를 제공하도록 되어 있다. 유입구(2)는 캐리어 가스에 대한 입구가 되고, 유출구(3)는 비드(6)를 통과한 캐리어 가스에 대한 출구가 된다. 승화 용기(1)는 또한 지지요소의 교체를 용이하게 하기 위한 충전구(fill port)(8)를 구비하는 것이 바람직하다. 유입구(2)와 유출구(3)는 승화 용기(1)의 주축(primary axis)을 구획하는 것이 바람직하다. 예시된 실시예에서, 승화 용기(1)는 실린더의 일단에 위치한 유입구(2) 및 반대쪽 단부에 위치한 유출구(3)를 구비한 실린더이지만, 숙련된 기술자는 상기 용기가 임의의 적합한 형상을 취할 수 있음을 이해할 것이다. 그 밖에, 승화 용기(1)는 또한 비드(6)에 대한 고체 소스 코팅(7)(도 2(B))의 증발을 실현하고 제어하기 위해, 용기(1)를 둘러싸는 예시된 저항식 가열 요소와 같이, 히터(26)가 설치되어 있는 것이 바람직하다. 승화 용기(1)는 또한 유입구(2)와 유출구(3)에 분배 다기관(manifold)(도시되지 않음)을 포함하는 것이 바람직하며, 다기관은 코팅된 지지 매체와의 균일한 접촉을 위해 용기 전체에 걸쳐 캐리어 가스를 보다 균일하게 분배하도록 구성된다. 여기서는 가열 요소로서 용기의 벽 내에 예시되어 있지만, 가열은 여러 가지 형태를 취할 수 있다. 일 실시예에서, 승화 용기는 진공 쳄버 내에서 복사 방식으로 가열된다. 이러한 복사형 가열 시스템에 대한 보다 상세한 사항은 2001년 5월 14일에 출원한 미국특허 출원 제09/854,706호에서 찾을 수 있으며, 그 개시 내용은 전체가 참고로서 포함된다.
도 2(B)는 도 2(A)에 도시된 승화 용기(1)의 일부분을 확대한 도면이다. 비드(6)는 캐리어 가스를 위한 많은 수의 잠재적 가스 접촉 경로를 제공하여 캐리어 가스가 고체 소스 코팅의 넓은 표면적에 접촉하는 것을 보장함으로써, 캐리어 가스가 펄스화 유동 형태로 베드를 가로지르는 동안 증기 반응물로 거의 틀림없이 포화될 수 있도록 구성되고 충전된다. 당업자는 무한히 긴 펄스가 용기를 통한 연속 유동을 형성함을 이해할 것이다.
도 2(C)에 도시된 바와 같이, 각각의 지지 요소, 여기서는 비드(6)가 증기 반응물 코팅(7)을 위한 고체 소스로 코팅된다. 비드(6)의 폭 또는 직경(D)은, 코팅된 지지요소의 형상 및 그에 따라 승화 베드의 전체 유동 저항이 코팅(7)이 침식되는 동안 시간이 지남에 따라 크게 변동되지 않도록 하기 위해, 고체 소스 코팅(7)의 두께(C)보다 상당히 큰 것이 바람직하다. 동시에, 각 지지 요소의 체적은 지나치게 커서 지지요소에 의해 형성되는 지지 매체의 전체 표면적이 희생되지 않아야 한다. 비드 직경(D)에 대한 코팅 두께(C)의 비(C/D)는 0.01~0.3 범위가 바람직하고, 0.05~0.15 범위가 더 바람직하다. 비드 체적은 전체 용기 체적에 좌우된다. 예를 들면, 대형 용기(직경이 12인치이고 높이가 12인치)에 있어서 비드 체적과 입자의 체적이 전체 용기 체적의 분획으로 생각될 경우, 바람직한 입자수의 최대치는 10,000이고 최소치는 ~100이다. 이러한 바람직한 비율은 5×10-5< V비드/ V베드<0.01이고, 보다 바람직하게는 0.0002와 0.005 사이이다.
비드(6)는 알루미나(Al2O3) 또는 용융 실리카와 같이 모두 비활성인 물질을 포함하는 것이 바람직하고, 스테인레스강, 하스텔로이, 니켈, 질화붕소(BN), 기타 적합한 물질과 같이 열전도성인 것이 바람직하다. 가장 바람직하게는, 지지 요소 가 화학적으로 비활성이고 전도성인 실리콘카바이드(SiC)를 포함하는 것이다.
도 2(D)는 도 2(A)에 도시된 승화 용기의 대안적 태양을 예시한다. 비드가 아니고, 고체 소스 코팅(7)으로 코팅된 실린더(10)를 이용하는 승화 베드의 확대도가 예시되어 있다. 팩킹된 실린더(10)의 이 형태도 캐리어 가스가 펄스화 유동 형태로 베드를 가로지르는 동안 고체 소스 코팅의 큰 표면적에 접촉하는 것을 보장하기 위해 무수한 잠재적 가스 접촉 경로를 형성한다. 당업자는 무한히 긴 펄스가 용기를 통한 연속 유동을 형성함을 이해할 것이다.
또 다른 대안적 태양에서, 도 2(A)에 도시된 코팅되고 유동가능한 지지 요소(6)는 펠렛, 구, 필라멘트, 또는 링 형태를 갖는다. 화학 촉매 산업은 유사한 기하학적 형태(비드, 펠렛, 구, 링 등)을 가지며 각각 촉매 물질로 코팅되어 있고, 또한 여기에 제시되는 바람직한 실시예의 대안적 태양을 실행하기 위해 적절한 기하학적 지지 요소를 제공하는 유동가능한 지지요소를 사용한다. 이들 지지 매체는 캐리어 가스를 위한 비선형 접촉 경로를 제공하도록 배열되는 것이 바람직하다. 이들 지지 요소는 다공성 구조와 비다공성 구조를 모두 포함할 수 있다. 다공성 지지 요소를 이용하는 태양에서, 기공(pore)은 코팅되었을 때 응축된 고체 소스 물질로 완전히 채워지게 되지 않을 마크로포어(macropore)인 것이 바람직하다.
도 3(A)를 참조하면, 승화 장치(29)에서, 승화 용기(1) 내에 담겨진 고체 소스 코팅 필터(11)의 형태로 되어 있는 고정형 코팅 지지 매체를 이용하는 본 발명의 실시예가 도시되어 있다. 상기 필터(11)는 증기 반응물 코팅을 위한 고체 소스가 충분히 고갈되면 필터(11)를 효율적으로 교체할 수 있으면서도 승화 용기(1)를 실질적으로 채울 수 있도록 되어 있는 것이 바람직하다. 도 3(B)는 용기(1)의 부분적 단면도를 나타내고, 도 3(C)는 도 3(B)에 도시된 필터(11)의 확대 단면도를 나타낸다. 필터(11)는 필터 섬유(12)로 형성되고, 캐리어 가스가 증기 반응물로 확실히 포화되도록 하기 위해, 각각의 필터 섬유(12)는 증가된 표면적과 무수히 많은 잠재적 가스 접촉 경로(9)를 제공하도록 증기 반응기(또는 고체 전구체)(7)로 코팅되어 있는 것이 바람직하다. 코팅 두께에 대한 섬유 폭의 비율은 유동가능한 지지 요소에 관해 이하에서 설명하는 범위 내에 들어가는 것이 바람직하다. 또한, 용기(1)는 고체 소스 코팅(7)의 증발을 제어하기 위해 히터(26)를 구비하는 것이 바람직하다.
도 4(A)는, 다중 교차 판 구조체(13)의 형태로 되어 있고, 각각의 판(14)은 증기 반응물용 고체 소스로 코팅되어 있는 코팅된 고정형 지지 매체를 승화 장치(29)에 이용하는 본 발명의 실시예를 나타낸다. 다중 교차 판 구조체(13)는 승화 용기(1)의 내부 체적을 실질적으로 채우는 방식으로 승화 용기(1) 내에 위치하는 것이 바람직하다. 도 4(B)는 도 4(A)에서 이용되는 개별적 판(14)의 레이아웃에 대한 부분 단면도이다. 판(14)은 유출구(3)에서 캐리어 가스의 불환전한 포화의 가능성을 감소시키기 위해 실질적으로 동일한 표면적과 서로에 대해 동일한 상대적 저항을 가진 평행한 터널(24)을 제공하도록 되어 있다(도 4(C) 및 설명을 참조할 것). 도 4(A) 및 4(B)에 도시된 판(14)으로 형성되는 각각의 평행 터널(24)은 다중 교차 판 구조체(13)의 길이(도 4(A) 참조) 만큼 연장되고, 분리된 캐리어 가스 접촉 경로를 나타낸다. 또한, 용기(1)는 고체 소스 코팅(7)의 증발을 증가시키고 제어하기 위해 히터(26)를 구비하는 것이 바람직하다.
도 4(A)에 도시된 맞물린 판 구조체의 대안적 태양은 직교하는 판(14)의 패턴을 이용하지 않고, 삼각형의 중첩 패턴과 같이 90도보다 크거나 작은 판의 교차를 이용한다.
도 4(C)는 판(14)의 표면에 부착된 고체 소스 코팅(7)을 보다 명확히 예시하기 위해 도 4(B)에 도시된 다중 교차 판 구조체의 일부분을 확대한 도면이다. 도면에 나타난 바와 같이, 맞물린 판들은 분리된 평행 터널(24)을 제공하도록 되어 있고, 이들 터널은 증기 반응물용 고체 소스로 코팅된 표면에 캐리어 가스가 밀접하게 접촉하도록 충분히 좁은 것이 바람직하다. 바람직한 실시예에서, 판(14)은 예를 들면 고체 소스로 포화된 증기에 노출시켜 응축함으로써 고체 소스 물질로 코팅되며, 석영으로 형성되어 있다.
또 다른 태양에서, 더욱 뒤얽힌(convoluted) 유동 접촉 경로를 캐리어 가스에 제공하기 위해, 판에 의해 형성된 잠재적 가스 유동 접촉 경로는 판의 평행이 아닌 배열(예; 오프셋 또는 서로 엇갈린 형태)과 같은 변형을 통해 또는 판의 정면에 배치된 홀을 통해 비선형으로 할 수 있다. 특정한 배열에서, 판은 일반적으로 다공성 재료로 구성된다.
도 5(A)를 참조하면, 용기(1) 내에 배치한 스크린 카트리지(15)의 형태로 코팅된 지지 매체를 승화 장치(29)에 이용하는 본 발명의 실시예가 도시되어 있다. 스크린 카트리지(15)는 바람직하게 캐리어 가스 유동의 주경로에 수직으로 적층되어 있는 다중 스크린(16)으로 이루어지는 것이 바람직하다. 각각의 스크린(16)은 제거 가능한 것이 바람직하고, 승화 용기에는 이러한 목적에서 하나 이상의 밀봉식 도어 또는 해치(도시되지 않음)이 제공됨으로써 증기 반응물의 고체 소스가 소진된 상류 스크린을 제거하고 교체하는 한편, 하류의 소진되지 않은 스크린(16)은 보수 작업 또는 보충 작업중에 정위치에 잔류할 수 있다. 또한, 승화 용기(1)는 고체 소스 코팅(7)의 증발을 증가시키고 제어하기 위해 히터(26)(용기의 벽에 도시되어 있음)를 구비하는 것이 바람직하다(도 5(B)).
도 5(B)는 도 5(A)에 도시된 스크린(16)의 단면도이다. 각각의 스크린(16)은 스크린 섬유(17)로 이루어져 있다. 도 5(C)에 나타낸 확대도는 개별적 스크린 섬유(17)에 부착되어 있는 고체 소스 코팅(7)을 예시하기 위해 도 5(B)에 도시된 스크린(16)의 일부분을 나타낸다. 스크린 섬유(17)는 도 5(C)에 도시된 바와 같이 스크린 섬유(17)를 함께 직조하는 방식으로 균일하게 중첩된 패턴으로 배열되는 것이 바람직하다.
도 6(A)는 가스 흐름 가이드 구조체로서 코팅된 고정 지지 매체를 이용하여, 도면상에서 튜브(19)에 의해 형성되는 대체로 평행을 이루고 분리된 가스 접촉 경로를 형성하는 본 발명의 또 다른 실시예를 나타낸다. 튜브(19)는 도 6(B)에 도시된 바와 같이, 튜브 다발(bundle)(18)로 배열되고 승화 용기(1) 내에 설치되는 것이 바람직하다. 승화 용기(1)에는 좁은 유입구(2)로부터 가스를 안내하여 모든 튜브(19)를 통해 고르게 분배하고, 마찬가지로 유출구(3)에서 가스를 포집하고 한 곳에 모으는 다기관(도시되지 않음)이 설치되는 것이 바람직하다. 당업자는 그러한 다기관(도시되지 않음)이 본 명세서에 제시된 어느 실시예에서나 승화 용기를 가로 질러 가스 흐름의 분배를 향상할 수 있음을 이해할 것이다. 또한, 승화 용기(1)는 고체 소스 코팅(7)(도 6(C))의 증발을 제어하기 위해 히터(26)를 구비하는 것이 바람직하다. 도 6(C)는 튜브(19)의 내면 상의 고체 소스 코팅(7)을 예시하기 위해 도 6(A) 및 6(B)의 튜브 다발(18)을 형성하는 튜브(19)를 확대한 사시도를 나타낸다.
도 7(A)를 참조하면, 가스 흐름 가이드 구조체로서 도면상 코일형 튜브(20)인 코팅된 고정 지지 매체를 이용하는 본 발명의 실시예가 도시되어 있다. 코일형 튜브(20)는 바람직하게 승화 용기(1) 내측에서 유입구(2)와 유출구(3) 양측에 결합되어 있다. 코일형 튜브(20)는 캐리어 가스가 코일형 튜브(20)를 통과하여 이동하는 동안 접촉하게 되는 한 가닥의 고체 소스 코팅된 접촉 경로(도시되지 않음)의 길이를 최대로 하기 위해 조밀하게 코일을 이루도록 되어 있는 것이 바람직하다. 또한, 승화 용기(1)는 고체 소스 코팅(7)의 증발을 제어하기 위해 히터(26)를 구비하는 것이 바람직하다. 대안적 실시예에서, 코일형 튜브(20)가 도 7(B)에 도시된 바와 같이 승화 용기(1) 내에 수용되지 않지만, 이 경우에도 히터(26)를 구비하도록 되어 있는 것이 바람직하다.
도 7(C)는 도 7(A) 및 7(B)에서 이용한 코일형 튜브의 확대도를 나타낸다. 코일형 튜브(20)의 내부는 고체 소스 코팅(7)으로 코팅되어 있으며, 코일형 튜브(20)의 전장에 걸쳐 균일하게 코팅된 것이 바람직하다.
코일형 튜브(20)는, 시간이 지나면서 유입구로부터 고체가 소비될 때 ALD의 일반적인 캐리어 가스 유동에서(예를 들면, 50~5000 sccm의 캐리어 및 화학 물질 유동 또는 5~500 sccm의 순수 화학 물질 유동), 가스(캐리어 포함 또는 불포함) 기류가 튜브 전장의 불과 약 20% 이하의 거리를 이동한 후에도 실질적으로 포화(예들 들면, 주어진 온도에서 화학적 포화 증기압의 >95%)되도록 설계되는 것이 바람직하다. 이에 따라 승화 용기는 5배에 상당한 체류 시간을 가질 수 있는데, 이 체류 시간은 PFR의 경우, 많은 분산 모델 반응기 또는 층류 반응기가 95%를 넘는 변환/포화를 이룰 수 있는 시간이다. 지지 매체의 벤딩 결과로서 내부 고체 소스 코팅(7)이 손상되는 것을 피하기 위해, 코일형 튜브(20)는 승화 용기(1) 내에 정밀하게 맞추어지도록 되어 있는 것이 바람직하다. 특별한 일 실시예에서, 코일형 튜브는 증발 및 응축 기술을 통해 염화하프늄(HfCl4)으로 코팅된다. 일 실시예에서, 코일형 튜브(20) 각각의 내경은 약 0.25인치 내지 약 2인치이고, 코팅 두께는 약 0.025인치 내지 약 0.25인치이다. 상기 튜브의 유효 전장은, 펄스화 유동 조작에 대해 선택되는 펄스 시간보다 긴 시간 동안 연속적으로 흐를 경우, 용기 유동의 체류 시간이 5보다 커지도록 선택되는 것이 바람직하다. 절대 길이는 화학적 승화율, 가스 유량, 용기 압력, 반응기 압력, 용기 온도 등의 요인에 좌우된다. 바람직한 특정 실시예에서, 이러한 요인을 감안하여 승화 용기는 ALD 조건 하에서 캐리어 가스의 실질적인 포화가 이루어지도록 설계된다.
본 발명은 반도체 웨이퍼를 처리하는 반도체 처리 시스템으로서 특히 적합하지만, 본 발명은 숙련된 기술자가 이해할 수 있는 바와 같이, 매우 다양한 기판을 처리하기 위한 기판 처리 시스템에 관해서 적용성을 가짐을 알아야 한다.
고체 소스 물질의 코팅을 가진 가스 유동 가이드 구조체를 이용하는 본 발명의 실시예는 여러 가지 기술 중에서 승화와 응축 기술, 정전 분말 코팅, 용매 증발, CVD, ALD, 기타 당업자에게 공지된 기술 등의 다양한 처리를 통해 이러한 고체 소스 코팅을 형성시킬 수 있다. 하나의 태양에서, 고체 소스 코팅이 염화지르코늄(ZrCl4)이고, 대안적 태양에서는 고체 소스 코팅이 염화하프늄(HfCl4)이다. 특정의 바람직한 실시예에 사용하기 위한 튜브는 또한 증기 반응물용 고체 소스의 원자층 증착(ALD)을 통해 튜브 자체의 내벽에 직접 코팅될 수도 있으며, 이러한 내용은 199년 1월 17일에 공개된 특허문헌 WO 99/229924에 개시되어 있고, 이러한 목적의 참고로서 본 명세서에 포함된다.
바람직한 실시예는 또한 산화알루미늄(Al2O3) 또는 알루미나, 및 이산화규소(SiO2)와 같은 비활성 물질, 및 여러 가지 중에서 실리콘카바이드(SiC)와 같은 열 전도성 물질로 이루어진 고체 소스 코팅된 가이드 구조체를 이용한다.
고체 소스 코팅을 가진 지지 매체를 이용하는 실시예에서, 코팅된 지지 매체가 점유하는 체적에 대한 캐리어 가스에 노출되는 코팅 표면적의 비율은 일반적으로 실시예에 따라 변동된다. 예를 들면, 비제한적으로, 이 비율은 도 6(A)의 실시예인 경우에 약 1 cm-1보다 큰것이 바람직하고, 약 2 cm-1보다 큰것이 더욱 바람직하다. 도 3(A)의 실시예인 경우에 상기 비율은 약 5 cm-1보다 큰것이 바람직하고, 약 10 cm-1보다 큰것이 더욱 바람직하다. 도 7(A)의 실시예인 경우에 상기 비율은 약 0.1 cm-1보다 큰것이 바람직하고, 약 0.2 cm-1보다 큰것이 더욱 바람직하다.
다른 바람직한 실시예에서, 코팅 두께와 코팅된 지지 매체에 의해 형성되는 개구부(opening)의 크기의 비율은, 각각의 캐리어 가스 펄스를 실질적으로 포화시키기 위해 고체 전구체 표면적을 증가시키고 캐리어 가스 접촉 경로를 연장함에 있어 중요한 고려 사항이다. 예를 들면, 코팅 튜브 또는 튜브으 다발을 이용하는 실시예에서, 코팅의 두께와 코팅 튜브 개구부의 직경의 비율은 바람직하게 약 0.01 내지 약 0.30이고, 보다 바람직하게는 약 0.05 내지 약 0.15이다. 코팅 판을 이용하는 특정한 바람직한 실시예에서, 교차하는 코팅 판에 의해 형성되는 개구구의 폭과 코팅 두께의 비율은 바람직하게 약 0.01 내지 약 0.30이고, 보다 바람직하게는 약 0.05 내지 약 0.3이다. 교차하는 코팅 섬유를 이용하는 바람직한 실시예에서, 중요한 비율은 유동가능한 요소에 대한 전술한 비율들이다.
고체 소스 물질로 코팅된 고정 매체를 이용하는 실시예는, 상기 고정 매체를 승화 용기에 삽입하는 동안 고정 매체를 벤딩함으로써 야기되는 고체 소스 코팅에 대한 잠재적 손상을 방지하기 위해서, 승화 용기에 긴밀하게 맞추어지도록 설계되는 것이 바람직하다.
도 8(A)는 기판 제조 시스템에서 가스 유동 가이드 구조체로서 유동 가이드(22)를 이용하는 승화 장치(29)의 실시예를 나타낸다. 종래의 태양과 유사하게 승화 용기(1)는, 바람직하게 분말상 고체 소스 화합물 형태인 고체 소스 물질(21)의 단일 연속층 또는 베드를 가진다. 유동 가이드(22)는 가스 유동 접촉 경로(9)와 같이, 고체 소스 물질(21)과 굴곡이 있는 접촉 경로에서 접촉하도록 캐리어 가스를 안내하는 것이 바람직하다. 유동 가이드(22)는 용기(1)의 바닥으로부터 천장까지의 거의 전구간에 연장되는 것이 바람직하고, 완전히 전구간에 연장되는 것이 보다 바람직하다. 캐리어 가스는 유입구(2)에서 승화 용기에 유입되어, 도면상 기준 선분 E로 표시된 유입구(2)와 유출구(3)간의 직선 거리보다 실질적으로 더 긴 거리, 보다 바람직하게는 직선 거리의 적어도 2배 거리인 고체 소스 접촉 경로를 지난다. 기준 선분 E는 유동 가이드(22)가 없는 상태에서 캐리어 가스가 이동할 수 있는 바람직하지 않은 고체 소스/가스 접촉 경로를 나타내며, 상기 바람직하지 않은 접촉 경로는 불량한 전체적인 고체 소스 표면적 접촉을 초래한다. 또한, 승화 용기(1)는 분말상 고체 소스(21)의 증발을 제어하기 위해 히터(26)를 구비하는 것이 바람직하다. 도면에서 히터는 용기(1)의 내벽에 도시되어 있지만, 용기(1)를 수용하는 저압 쳄버 내의 복사형 히터인 것이 보다 바람직하다.
도 8(B)는 도 8(A)에 도시된 실시예의 단면도이다. 유동 가이드(22)는, 도면에 나타낸 나선형 접촉 경로(9)와 같이, 단일형이면서 굴곡이 있는 캐리어 가스 유동 접촉 경로를 제공하는 것이 바람직하다. 유동 가이드(22)는 캐리어 가스가 유입구(2)와 유출구(3) 사이에서 직접 이동되는 것을 방지함으로써 캐리어 가스가 밀접하게 접촉하게 되는 고체 소스 표면적을 증가시킨다. 그러한 직접 유동은 오직 기준 선분 E 근방에 위치한 분말상 고체 소스 물질의 표면으로부터 나오는 증기 반응물과 캐리어 가스가 접촉하도록 할 뿐이다. 예시된 실시예는 연장된 캐리어 가스 경로를 제공하고 용기(1) 내에서의 체류 시간을 증가시키면서도, 분말상 고체 소스(21)의 교체를 용이하게 하기 위해 단일 베드의 단순성을 유지한다. 유리하게는, 예시된 유동 가이드(22)는 탈착 가능하며, 이에 따라 최소의 노력과 비용으로 기존 승화 용기의 개장(retrofit)이 가능하다.
도 9는 고체 소스 물질로부터 나오는 증기 반응물로 캐리어 가스를 포화시키는 단계를 포함하는 본 발명에 따른 구조체를 이용하는 방법을 나타낸다. 증기 반응물용 고체 소스는, 바람직하게, 고체 소스 물질의 연속 가열에 의해 증발된다(단계 100). 고체 소스 물질은, 고체의 증기압이 0.1~100 Torr가 되도록 고체 소스 물질의 가열에 의해 증발되는 것이 바람직하다. HfCl4의 경우에, 이것은 140℃~265℃의 온도 범위에 대체로 상응한다. 캐리어 가스는 증기 반응물(제1 반응물)용 고체 소스의 코팅을 가진 지지 매체를 통해 유동된다(단계 110). 캐리어 가스는 고체 소스 코팅된 지지 매체를 통하여 유동되는 동안 증발되는 제1 반응물로 실질적으로 포화된다(단계 120). 바람직하게, 캐리어 가스를 고체 소스로부터의 반응물 증기로 포화시키기 위해서, 캐리어 가스가 통과하는 동안(예를 들면 연속 유동 또는 펄싱 도중) 고체 소스 물질의 충분히 넓은 면적에 접촉하도록 안내된다. 이어서, 포화된 증기는 반응 쳄버에 유입되는데(단계 130), 여기서 자동제한되고 포화형인 반응(예; 단일층 이하의 화학흡착)이 일어난다. 이 시점에서, 승화 용기를 캐리어 가스 유동과 분리시키거나(예; 용기 유출구로부터의 유동이 잠시 정지됨), 캐리어 가스를 용기를 통한 유동 경로로부터 용기의 바이패스로 전환시킬 수 있다. 계속해서, 퍼지 A 도중에 반응 쳄버로부터 과량의 제1 반응물을 제거한다(단계 140). 이어서, 제2 반응물을 반응 쳄버 내에 펄스시킨다(단계 150). 이 때의 제2 반응물은 제1 반응물과 상이하고, 제1 반응물의 원천인 승화 용기를 원천으로 하지 않는 것이 바람직하다. 제2 반응물은 증기 반응물용 고체 소스를 원천으로 한, 앞서 흡수된 종과 상호 반응하도록 선택되는 것이 바람직하지만, 단일층 이하의 화학흡착을 초래할 수도 있다. 다음에, 퍼지 B 도중에 반응 쳄버로부터 과량의 제2 반응물을 제거한다(단계 160). 이 때 반응 쳄버 내에서 추가적 반응이 소망되는 경우, 즉 증착이 충분한 두께로 이루어지지 않은 경우, 원하는 두께가 증착될 때까지 단계 110부터 단계 160까지 반복된다. 충분한 두께가 증착된 경우, 공정은 종료된다(단계 170). 이상 설명한 바와 같이, 도 9는 2 반응물 ALD 공정의 예만을 제시하고 있지만, 2 반응물 초과(또는 미만)인 경우를 포함하는 ALD 공정도 바람직한 특정 실시예에서 이용할 수 있다.
도 10 내지 17은 본 발명의 또 다른 실시예에 따른 고체 소스 용기(200)를 예시한다. 유리하게, 상기 용기(200)는 주문 코팅된 지지 구조체가 아닌 상업적으로 입수할 수 있는 형태의 고체 소스 분말과의 넓은 접촉 면적을 제공할 수 있다. 물론 도 10 내지 17의 용기(200)를 본 명세서에 기재된 구조체와 함께 이용할 수도 있음을 이해할 것이다. 단일 개방형 구조체 내에서 복수의 베드를 통해 구불구불하거나 뒤얽힌 경로가 제공되며, 개방형 구조체는 새로운 고체 소스 물질로 용기(200)를 재투입할 필요가 있을 때 재장전 공정을 상당히 단순화한다.
먼저 도 10 내지 12를 참조하면, 용기(200)는 외측 컨테이너(205) 및 덮개 부품(210)을 포함한다. 고체 소스 물질의 장전 및 제거를 용이하게 하기 위한 내 측 컨테이너를 이용하는 유사한 시스템은 공동 소유의 핀란드 특허출원 FI 20001166(출원일; 2000년 5월 15일) 및 대응 미국특허 출원 제2001/0042523호(공개일; 2001년 11월 22일)(이하 "Kesala"라 지칭함)에 개시되어 있고, 이들 문헌은 참고로서 본 명세서에 포함된다. 예시된 실시예에서, 외측 컨테이너(205)는 덮개(210)를 외측 컨테이너(205)와 볼트 체졀할 수 있도록 되어 있는 플랜지(207)를 포함하지만, 당업자는 컨테이너(205) 상에 탈착 가능하게 덮개(210)를 밀폐하는 여러 가지 다른 방법을 이해할 것이다. 예시된 실시예의 덮개(210)는 제거 가능하며, 입구 도관(inlet conduit)(215)와 출구 도관(220)뿐 아니라 보수 또는 재투입을 위해 용기(200)를 개방할 때 사용하는 복수의 수동식 분리 밸브(222, 224, 226)를 포함한다. 용기에 투입하기 위해 덮개가 제거되며, 상기 복수의 밸브는 유동을 용기를 통과시키거나 용기에서 인출하거나 용기 주위로 안내하는 데 사용된다.
도 13 내지 18을 참조하면, 고제 소스 물질의 단일 베드를 유지하기 위한 단일의 내측 컨테이너 또는 도가니 대신에 예시된 실시예는 복수의 고체 소스 베드를 통한 분리형의 길고 구불구불한 경로를 제공하며, 단일의 외측 컨테이너(205) 내에 이 구조체를 제공한다. 개별적으로 재투입되는 쳄버 대신에 상기 베드는 단순히 상호 연결에 의해 분리형의 구불구불한 유동 경로를 형성하게 되어 있는 복수의 적층 트레이로 제공된다. 예시된 실시예에는 4개의 트레이, 즉 상부 3개의 트레이(230)와 하부 트레이(240)가 적층되어 있다. 트레이의 수는 승화 속도, 캐리어 유동 등의 파라미터에 따라 변동될 수 있다.
도 14 및 15를 참조하면, 각각의 상부 트레이(230)는 가스 유동의 통과를 막 고 트레이(230)의 전체 높이로 연장되는 견고한 분할기(solid divider)(231) 및 가스 유동의 통과를 허용하는 부분 분할기(partial divider)(232)를 포함한다. 바람직하게, 부분 분할기는 가스 유동을 자유롭게 통과시키면서 다량의 전구체 입자를 유지하도록 되어 있는 스크린(233)을 포함한다. 예시된 실시예에서, 스크린(233)은 부분 분할기(232)의 상단 부위를 가로질러 연장되고, 견고한 패널이 부분 분할기(232)의 높이를 마감한다. 환형 테두리(rim)(234)도 상부 트레이(230)의 높이까지 연장된다. 견고한 분할기(231)는 부분 분할기(232)와 함께 고체 소스 물질을 유지하기 위한 주격실(main compartment)(235)(도시되지 않음) 및 트레이(230)의 하부 표면에서 개방되어 있는 외부 채널 격실(236)을 구획한다. 예시된 상부 트레이(230)는 또한 중앙 채널(238)(가스 유입 파이프를 수납하기 위함), 그 상면에 설치된 복수의 페그(peg)(239) 및 그 밑에 또 다른 페그를를 수용하기 위한 저면 상에 형성된 복수의 대응 홀(도시되지 않음)을 포함하는 중앙 코어(237)를 가진다. 조작 관점에서 잘 알 수 있는 바와 같이, 중앙 코어(237)의 하면 상의 홀은 상면 상의 페그(239)에 관하여 회전 방식으로 어긋남으로써 구불구불한 유동 경로를 구획하도록 복수의 트레이를 서로 포개어 적절히 정렬시킨다. 바람직한 특정 실시예에서, 유동이 노출되는 주격실의 코너는 예각을 이룬 코너로 인한 유동의 정체를 최소화하기 위해 둥글게 형성되어 있다.
도 16 및 17을 참조하면, 하단의 트레이(240)는 가스 유동의 통과를 막고 트레이(240)의 전체 높이로 연장되는 견고한 분할기(241) 및 가스 유동의 통과를 허용하는 부분 분할기(242)를 포함한다. 바람직하게, 부분 분할기(242)는, 이하에서 도 18 및 19에 대한 설명을 통해 잘 알 수 있는 바와 같이, 위에 놓인 상부 트레이(230)의 가운데에서 단순히 중앙 채널(238)에 대한 개구부를 제공한다. 환형 테두리(244)도 상부 트레이(240)의 높이까지 연장된다. 상기 테두리(244), 견고한 분할기(241) 및 부분 분할기(242)는 함께 고체 소스 물질(도시되지 않음)을 유지하기 위한 주격실(245) 및 외부 채널 격실(246)을 구획한다. 바람직한 실시예에서, 고제 소스 물질은 채널 격식(246) 높이까지만 주격실(245)을 채운다. 대안적 실시예에서, 고체 소스 물질은 주격실 높이의 1/3 내지 2/3 만큼 충전된다. 예시된 하부 트레이(240)도 채널 격실(246)이 돌출되어 들어간 중앙 코어(247), 그 상면에 설치된 복수의 페그(249) 및 외측 컨테이너(205)로부터 돌출되는 바닥의 페그를 수용하기 위한 저면 상의 대응하는 복수의 홀(도시되지 않음)을 가진다(도 10 및 11 참조).
용기(200)는 도 18의 분해도 및 도 19의 조립된 단면도에 나타낸 바와 같이 조립된다. 상부 트레이(230) 및 하부 트레이(240) 각각에 대한 주격실(235, 245)은 바람직하게는 분말 형태인 고체 전구체로 장입된다. 하부 트레이(240) 및 복수의 상부 트레이(230)는 서로 포개어 적층되고 외측 컨테이너(205) 내에 장착된다. 트레이(230, 240)는 페그(239, 249) 및 대응하는 홀에 의해 정렬되어, 바람직하게는 적어도 주격실 주위로 200°(최대 약 355도)의 랩(lap)을 유동시키는 각각의 트레이에 가스가 유입되고, 이어서 중첩되어 있는 상부 트레이(230)의 채널 격실(236)에까지 유입된다. 다음에, 덮개(210)가 닫히고 외측 컨테이너(205) 위에서 밀봉되고, 덮개로부터 연장되는 중앙 파이프는 상부 트레이(230)의 중앙 채널(238) 을 통해 아래쪽으로 연장되어 하부 트레이(240)의 채널 격실(246) 내부로 개방된다. 이러한 장입 및 조립 조작은 글로브 박스(glove box)에서 행해지는 것이 바람직하다. 바람직한 특정 실시예에서, 통상 스프링 또는 그와 유사한 장치(도시되지 않음)가 트레이 전부를 바이어스(bias)하여 중앙 코어로부터 상이한 레벨로 누설되는 것을 방지하도록 하부 트레이(240) 밑에 설치된다.
저작시, 유입 파이프를 통해 비활성 가스가 제공되고, 수평 방향으로, 바람직하게는 트레이에서 수직 방향으로 배출되기 전에 트레이(230, 240) 각각의 주격실의 200° 이상, 바람직하게는 350° 이상의 호(arc)를 통해 길고 구불구불한 유동 루트를 지나게 된다. 예시된 실시예에서, 상부 트레이(230)의 정렬된 중앙 채널(238)을 통해 아래로 연장되어 하부 트레이(240)의 채널 격실(246) 내부로 개방되는 중앙 입구(215)를 통해 비활성 캐리어 가스가 제공된다. 비활성 가스는 주격실(245) 내의 고체 전구체를 통해 구불구불 진행하여 마침내 중첩된 상부 트레이(230)의 하부 표면에 있는 개구부에 당도한다. 이 개구부는 캐리어 가스와, 캐리어 가스가 운반하는 증발된 전구체를 중첩된 상부 트레이(230)의 채널 격실(236) 내부로 통과시키고, 여기로부터 가스는 스크린(233)(도 14 참조)을 통과하여 주격실(235)에 유입된다. 가스는 중첩된 상부 트레이(230) 등의 하부 표면에 있는 개구부에 당도하기 전에 200° 이상의 호 및 바람직하게는 350° 이상의 호를 통해 상기 주격실(235) 내의 고체 전구체를 통과하여 구불구불 진행한다. 최상층 트레이(230)에서, 가스는 출구 도관(220)을 통해 배출된다. 물론 상기 유동 경로는 원할 경우 반전될 수 있음을 이해할 것이다.
이상과같이 캐리어 가스가 바람직하게 각각의 트레이에서 한 방향으로만(즉, 약 1 랩) 진행하는 바람직한 실시예에서, 캐리어 가스의 펄스는 원하는 펄스 지속에 대해 필요한 것보다 적어도 1X 더 길되 바람직하게는 펄스 지속 시간보다 5X 더 긴 체류 시간으로, 캐리어 가스 접촉 경로를 따라 고체 전구체와 캐리어 가스를 접촉시킴으로써 전구체 증기로 실질적으로 포화된다. 숙련된 기술자는 본 명세서에 개시된 바를 통해, 주격실의 보이드 체적(void volume) 높이가 고체 표면으로부터 채널 상단까지 확산되는 시간이 가스 체류 시간보다 짧도록 형성되어야 함을 이해할 것이다.
보이드 체적 높이는 트레이를 통과하는 캐리어 가스의 유량에 의존한다. 최단 경로 길이는 유체(캐리어 및 증기)의 체류 시간이 펄스화 유동 모드에서의 원하는 펄스 시간보다 길고 바람직하게는 연속 유동(또는 매우 긴 펄스) 조작중의 체류 시간의5배보다 더 길어지도록 정의되는 것이 바람직하다. 예를 들면, 가스 속도가 1.3 m/초인 경우, 유동 채널의 길이는 펄스화 모드에서 실질적으로 포화된 펄스가 반응기에 보내어지도록 적어도 1.3m인 것이 바람직하고, 보다 바람직하게는 실질적인 포화를 보장하도록 6.5m의 길이(5×1.3)이다. 펄스화 모드에서 펄스간의 시간이 너무 짧으면, 증기상이 갖는 시간이 너무 짧아서 승화되는 고체로부터 보충될 수 없기 때문에 포화미달(sub-saturation)이 초래될 수 있다. 1.3 m/초의 가스 속도는 800 sccm의 캐리어 유량 및 채널 단면적에 의존한다. 상기 유량이 감소되면, 길이 또한 비례하여 축소된다. 불과 200 sccm의 실질적으로 포화된 N2 가스가 ALD 반응기 설계에 대해 요구된다면, 그에 따라 최소의 바람직한 길이가 축소될 것이다.
최대 용기 체적(분체 또는 트레이 없음)은 직경 12인치 및 높이 16인치로서, 비어 있는 용기 체적이 3×107 ㎣가 되는 것이 바람직하다. 초기 고체 소스의 충전량은 이 체적의 50%를 넘는 것이 바람직하다. 트레이 최소 체적 및 충전 퍼센트는 도 20(A) 내지 20(C)에 도시된 실시예와 동일한 것이 바람직하다.
도 20(A) 내지 20(C)에 나타낸 바람직한 실시예에서, 도 18에 나타낸 용기 시스템에 분할된 트레이(328, 329, 330) 중 하나 이상이 사용된다. 도 20(A)는 분할된 하부 트레이(328)를 나타내고 도 20(B)는 상부 트레이(329)를 나타낸다. 도 20(A) 및 20(B)를 참조하면, 분할된 트레이(330)는, 주격실(235)의 형태로 단일 경로를 갖는 트레이(230)(도 15)와 대조적으로, 주격실을 제1 경로(340)와 제2 경로(342)로 부분적으로 분할하는(수평 방향으로) 2차 부분 분할기(334)를 포함한다. 2차 부분 분할기(334)는 캐리어 가스 유동(331)을 트레이 주위로 한 방향으로 진행하도록 안내하되, 제1 트레이 경로(340)에서는 바람직하게 적어도 약 200° 호(보다 바람직하게는 적어도 300°)를 이루어 진행한 다음, 180° 방향 전환하고, 제2 트레이 경로(342)에서는 반대 방향으로 적어도 약 200° 호(보다 바람직하게는 적어도 300°)를 이루어 진행한 후 트레이(330)를 빠져나가게 한다. 제1 트레이 경로(340)는 2차 부분 분할기(334)의 갭(344) 만큼 제2 트레이 경로(342)에 연결되어 있고, 2차 부분 분할기는 제1 트레이 경로(340)의 끝부분을 제2 트레이 경로(342) 의 시작 부분과 연결시켜 준다. 상기 갭(344)에서는 캐리어 가스 유동(331)이 약 180°의 "유턴(U-turn)"하도록 안내되어 제2 트레이 경로(342)로 진행을 시작하도록 방향 전환시키는 위치이다. 바람직한 특정 실시예에서, 유동이 갭(344) 근방에서 노출되는 코너는 예각을 이룬 코너로 인한 유동의 정체를 최소화하기 위해 둥글게 형성되어 있다. 입구 도관은, 바람직하게 제2 트레이 경로(342)의 단부에 위치한 입구(350)와 출구(354)에 분체가 들어가는 것을 실질적으로 방지하도록 연장되어 있는 여과판(355)을 가진, 제1 트레이 경로(340)의 시작 위치에 있는 유입구(350) 내에 공급한다. 출구(354)는 캐리어 가스 유동(331)이 출구 도관(도시되지 않음)을 통해 제2 트레이 경로(342)로부터 중첩된 트레이(도시되지 않음)로 빠져 나가는 출구 역할을 한다.
도 20(A)에 도시된 하부 분할된 트레이(328)에서, 입구 도관은 중앙에서 트레이에 들어가서 가스를 제1 경로(340)으로 채널링한다. 도면에는 직선형 입구 도관으로 나타냈지만, 다른 바람직한 실시예에서 입구 도관은 2차 부분 분할기(334)에 의해 구획되는 바람직한 나선형 경로로 캐리어 가스 유동을 선회시키기 위해 곡선으로 되어 있다. 출구(354)는 중첩된 상부 트레이(329)의 입구 도관으로 캐리어 가스 유동(31)을 채널링한다. 도 20(B)를 참조하면, 입구 도관이 입구(350) 내에 공급하면, 캐리어 가스 유동(331)은 트레이 주위로 한 방향으로, 제1 트레이 경로(340) 상에서는 바람직하게 적어도 약 200° 호(보다 바람직하게는 적어도 300°)를 이루어 진행한 다음, 방향 전환하고, 제2 트레이 경로(342) 상에서는 반대 방향으로 적어도 약 200°(보다 바람직하게는 적어도 300°)를 이루어 진행하도록 채널 링된다.
도 20(C)에 도시된 실시예도, 2차 부분 분할기(334)는 캐리어 가스 유동(331)을 트레이 주위로 한 방향으로 진행하도록 안내하되, 제1 트레이 경로(340)에서는 바람직하게 적어도 약 200° 호(보다 바람직하게는 적어도 300°)를 이루어 진행한 다음, 방향 전환하여, 제2 트레이 경로(342)에서는 반대 방향으로 적어도 약 200°(보다 바람직하게는 적어도 300°)를 이루어 진행한 후 트레이(330)를 빠져나가게 한다. 필터(333)는 제2 트레이 경로(342)의 끝부분에 있는 출구(354) 앞에 제2 트레이 경로(342)의 끝부분에 위치한다. 출구(354)는 출구 도관(도시되지 않음)을 통해 제2 트레이 경로(342)로부터 중첩된 트레이(도시되지 않음)로 빠져 나가는 출구 역할을 한다.
다른 실시예에서, 도 20(A) 내지 20(C)에 도시된 트레이는 캐리어 가스 유동의 방향이 반전되도록, 예를 들면, 상기 트레이에서 제1 트레이 경로는 가장 안쪽 경로이고 제2 트레이 경로는 가장 바깥 경로가 되도록, 또는 그 반대가 되도록 구성 요소가 배열되는 구성이다. 도 20(A) 내지 20(C)에 도시된 트레이를 이용함으로써 승화 베드의 유효 길이가 증가되며(도 15에 도시된 트레이에 비해) 결과적으로 평균 체류 시간이 증가됨을 알아야 한다.
미국특허 제6,270,839호와 대조적으로, 상기 굴곡이 있는 경로 또는 뒤얽힌 경로는 각각의 트레이에 있는 고체 소스 물질의 벌크를 통해 수평 방향으로 구부러져 있다. '839 특허에 개시된 구조는, 대조적으로, 각 카트리지의 길이를 따라 수평 방향으로 유동시키는 것에 대해 언급된 바 없다. '839 특허에 교시된 유동 경 로는 각 카트리지의 환을 따라 어느 지점에서나 동일한 저항을 가짐으로써, 상기 환을 따라 하나 이상의 분리된 지점에서 여전히 채널링이 초래될 수 있다.
전술한 실시예의 바람직한 설비는 또한 승화 장치(29) 내에 위치한 히터(도시되지 않음)를 이용한다. 히터는 고체 소스 전구체의 증기압을 형성하는 데 필요한 온도 이상으로 용기(1) 내에 고체 소스 전구체를 유지하는 데 이용된다. 앞에서 언급한 바와 같이, 바람직한 실시예에서, 열원은 복사형 히터를 포함하며, 배기된 승화 장치(29) 내에 설치된다. 복사 에너지를 용기(1)에 반사하기 위해 승화 장치(29)에는 반사기(reflector)(도시되지 않음)를 활용하는 것이 바람직하다. 승화 장치의 히터에 관한 보다 구체적 사항은 미국특허 가출원 제09/854.706호(출원일; 2001년 5월 14일), 발명의 명칭 "반응 쳄버 내에 기상 반응물을 공급하는 방법 및 장치"의 특허문헌을 참고할 수 있고, 이의 개시 내용은 이 목적에서 참고로서 본 명세서에 포함된다.
도 21은 기판 표면에 층을 증착하기 위한 원자층 증착(ALD) 공정을 실행하는 방법을 나타낸다. 이 공정에서, 용기를 통과하는 캐리어 가스의 유동은 반응물의 증기압이 용기 내에서 간헐적으로 증가되도록 중단된다. 캐리어 가스가 전구체 증기로 실질적으로 포화되도록, 캐리어 가스를 승화 용기 내의 고체 전구체 소스로부터의 전구체 증기와 접촉시킨다(단계 400). 실질적으로 포화된 캐리어 가스는 용기로부터 도관을 통해 기판 처리 쳄버로 채널링된다(단계 410). 이어서 실질적으로 포화된 캐리어 가스는 기판 처리 쳄버 내에 펄싱된다(단계 420). 용기에서 나온 실질적으로 포화된 캐리어 가스의 유동은 정디되고(단계 430), 이 동안 밀폐된 용기 내에서 증발은 계속되며, 실질적으로 포화된 캐리어 가스는 실질적으로 비활성 가스와 함께 쳄버로부터 퍼징(purging)된다(440). 도 21에 제시된 방법의 바람직한 실시예에서, 전구체 증기로 실질적으로 포화된 캐리어 가스의 펼스를 생성하는 단계는 0.1~100 Torr의 증기압을 형성하기 위해 고체 전구체를 가열하는 단계를 포함한다.
펄싱, 정치 및 퍼징 단계는 사이클을 포함하며, 상기 사이클은 층을 증착하는 동안 적어도 2회 반복된다. 바람직하게, 승화 용기의 재투입 주기는 반응 쳄버를 퍼징하는 데 필요한 시간보다 짧거나, 유동을 정지시키는 단계(또는 처리 쳄버로부터 승화 용기를 분리하는 단계)와 실질적으로 포화된 캐리어 가스를 기판 처리 쳄버 내로 다음 번 펄싱하는 단계 사이에 100 밀리초 내지 5초이다. 바람직하게, 사이클에서의 각 펄싱의 지속 시간은 적어도 50 밀리초, 보다 바람직하게는 0.1~10초이고, 각 사이클이 완결횐 후 기판 표면은 전구체 증기의 흡수된 종으로 실질적으로 포화된다. 즉 흡수된 전구체가 기판 상 이용할 수 있는 모든 반응 자리를 차지하여 공간적 방해의 원인이 된다. 바람직하게, 각각의 펄스는 적어도 100,000 펄싱 사이클 동안 실질적으로 포화된다. 보다 바람직하게는, 각각의 펄스는 적어도 500,000 사이클 동안 실질적으로 포화된다.
도 20에 도시된 방법은 단일 반응물 각각에 대한 사이클을 예시함을 알아야 한다. 도시된 방법 단계는 도 9의 관점(제1 및 제2 반응물을 이용함)에서 2개 이상의 상이한 반응물을 교대하는 단계를 포함하도록 용이하게 조절될 수 있다.
바람직한 일 실시예에서, 사이클당 하나 이사의 전구체의 단일층이 증착된 다. 보다 바람직하게, 각 사이클은 약 1~5Å의 두께를 갖는 층을 증착시킨다. 일 실시예에서, 캐리어 가스가 제2 전구체 증기로 실질적으로 포화되도록 제2 고체 전구체 소스로부터의 제2 전구체 증기를 가진 제2 캐리어 가스가 이용된다. 보다 일반적으로, 제2 전구체는 NH3, O2 등의 천연 가스상 물질이다.
바람직하게, 승화 용기 설계는 용기 내부에서 실질적으로 플러그 유동 체류 시간 분포를 형성한다. 이것은 용기의 주축을 따라 흐르는 동안 포화 캐리어 가스를 생성하는 데 도움이 되며, 이는 ALD 처리에 있어서 유리하다. 바람직하게, 전구체 증기로 실질적으로 포화된 캐리어 가스의 펄스를 생성하는 단계는 가스 접촉 경로를 따라 그 폭보다 훨씬 긴 채널 길이로 고체 전구체 소스와 캐리어 가스를 접촉시키는 단계를 포함한다. 바람직한 일 실시예에서, 전구체 증기로 실질적으로 포화된 캐리어 가스의 펄스를 생성하는 단계는 지지 매체를 코팅하는 고체 전구체 소스와 캐리어 가스를 접촉시키는 단계를 포함한다.
전술한 실시예의 바람직한 설비는, 캐리어 가스가 각각의 펄스 길이에 걸쳐 포화시킬 증기 반응물을 충분히 탈취하도록 캐리어 가스가 접촉하는 고체 소스 표면적의 양을 최대로 만들도록 되어 있는 캐리어 가스 가이드 구조체를 구비한다. 이러한 반복된 포화는, 예를 들면 증기 반응물이 웨이퍼 트렌치(trench) 내부로 확산되어 들어가는 높은 애스펙트비 구조체에서, 각각 0.1초 이상, 보다 바람직하게는 약 30초 이하로 지속되는 펄스로 캐리어의 100,000 펄스를 넘는 동안 캐리어 가스의 포화가 계속되는 작업 조건 하에서도 일어난다. 열원은 바람직하게 증기 반 응물용 고체 소스에 대한 증기압을 증가시킨다. 바람직하게, 캐리어 가스 가이드 구조체는, 유입구로부터 유출구까지 측정된 직선 거리의 약 2배보다 긴 길이를 가진 길고 구불구불한 접촉 경로를 따라 캐리어 가스가 증기 반응물과 확실히 접촉하도록 되어 있다. 보다 바람직하게, 캐리어 가스 가이드 구조체는 유입구로부터 유출구까지 측정된 직선 거리의 약 2.5배보다 긴 길이, 더욱 바람직하게는 4배의 길이를 가진 비선형(예를 들면, 구불구불하고 분리된) 접촉 경로를 따라 캐리어 가스가 증기 반응물과 확실히 접촉하도록 되어 있다.
바람직한 실시예는 승화 베드의 개방된 보이드 체적이 큰 결과로서 캐리어 가스의 전도율(conductance rate)을 용이하게 높이는 한편, 동시에, 고체 소스 물질이 고갈되는 동안 승화 베드의 전도가 시간 경과에 따라 크게 변동되지 않도록 한다. 바람직한 특정 실시예에서, 원자층 증착(ALD) 조건 하에서, 지지 매체 또는 가이드 구조체는 또한 연속된 펄스들 사이의 시간이 0.400초를 넘을 때 캐리어 가스가 증기 반응물로 반복적으로 포화되는 것을 용이하게 한다.
여기에서 설명하는 승화 용기의 구성을 고려할 때, 다른 변수가 모두 일정하게 유지될 경우, 각 펄스의 지속 시간의 증가는 승화 용기가 캐리어 가스를 반복적으로 포화시키는 능력을 낮추는 경향이 있음을 알아야 한다. 또한, 사이클 수를 증가시키는 것도 승화 용기가 캐리어 가스를 반복적으로 포화시키는 능력을 낮추는 경향이 있다. 그러나, 여기에 개시된 바람직한 실시예는, 비교적 긴 지속 시간의 펄스 하 및/또는 비교적 많은 수의 펄스 후에도, 캐리어 가스를 증기 반응물로 실질적으로 포화시킬 수 있다. 달리 말하면, 바람직한 실시예는,종래의 승화 베드를 단시간에 고갈시켜 포화되지 않은 캐리어 가스 및 불량한 증착을 초래하는 조건 하에서 캐리어 가스를 증기 반응물(고체 소스로부터)로 포화시킬 수 있다. 비교 시, 여기에 개시된 바람직한 실시예는 일반적 ALD 조건 하에서 뿐 아니라, 상대적으로 극단적인 ALD 조건 하에서도, 예를 들면 동일한 반응물의 매우 많은 수의 펄스 및/또는 매우 긴 펄스 및/또는 펄스간 매우 짧은 시간으로도 증기 반응물로 캐리어 가스를 실질적으로 포화시킬 수 있다.
연속된 펄스들 사이의 시간은, 상기 기판 처리 시스템이 한번에 웨이퍼 1개씩 처리하도록 되어 있는지 또는 한번에 여러 개의 웨이퍼(예; 배치 공정) 및/또는 대형 기판(예; 평판 디스플레이)을 처리하도록 되어 있는 지 여부에 부분적으로 의존한다. 단일 웨이퍼 반응 쳄버를 이용하는 실시예에서, 동일 반응물의 연속된 펄스들 사기의 시간은 바람직하게 30초 미만이고, 보다 바람직하게는 10초 미만이다. 일반적으로 펄스 지속 시간은 2 반응물 사이클에 대해, 사이클 시간의 3%~40% 미만, 보다 일반적으로는 사이클 시간의 10%~25%이다. 배치 공정의 경우 및/또는 대형 기판을 처리하는 경우에, 사이클은 2~5분에 달하는 높은 범위일 수 있다. 그러나, 숙련 기술자는 여기에 기재된 고체 소스 용기가 임의의 ALD 장치의 설계 및 상업적으로 구할 수 있는 펄싱 기퀀스에 대해서 실질적인 포화를 용이하게 함을 이해할 것이다. 이러한 긴 사이클의 결과로서, 여기에 개시된 승화 용기 파라미터는 일반적 펄스 지속 시간을 사이클 길이(예; 3%~40% 또는 10%~25%)로 유지하면서, 이러한 긴 공정 시간을 감당하도록 스케일된다.
본 발명의 바람직한 실시예를 설계하고 성능을 최적화함에 있어서, 승화 베 드의 "유효 베드 거리"도 고려된다. "실제 베드 거리"란, 고체 소스 물질이 고갈되어 있는지 여부에 무관하게, 캐리어 가스가 최초로 고체 소스 화학 물질과 접촉하는 지점부터, 일반적으로 캐리어 가스가 승화 베드로부터 채널링되는 지점인, 캐리어 가스가 더 이상 고체 소스 물질과 접촉하지 않는 지점까지의 거리이다. 실제 베드 거리는, 시간이 지남에 따라 고체 소스 물질이 고갈되는 동안 길이가 감소되는 유효 베드 거리와는 대조적으로, 일정하게 유지되고 베드의 사용 수명 동안 변하지 않는다. 작업 시, 캐리어 가스가 승화 베드의 일단에 도입되면, 가스는 베드의 길이를 따라 이동하는 동안 고체 소스 물질로부터 증기 반응물을 탈취한다. 정상류(steady flow) 조건을 가정하면, 캐리어 가스용 입구에 근접하게 위치한 고체 소스 물질은 승화 베드를 따라 먼 위치에 있는 고체 소스 물질에 앞서 고갈되는 반면, 캐리어 가스가 유입되는 지점에서 가장 먼 위치에 있는 고체 소스 물질은 가장 늦게 고갈된다. 가스 유량이 고정되어 있으면, 특정한 유효 베드 위치 또는 포화 위치에서, 캐리어 가스는 고체 소스로 포화될 것이다. 베드에서 이 위치를 벗어난 위치에서는 어디에서든 캐리어 가스는 포화될 것이다. 베드는 정상 상태 조건 하에서 고갈되기 때문에, 이러한 포화 위치는 통상 시간이 지남에 따라 고체가 침식되는 동안 캐리어 가스 출구에 근접하게 변위되지만, 우효 베드 거리의 출발점과 포화 지점간의 거리는 일정한 유량과 온도에서 캐리어 가스가 더이상 포화될 수 없는 상태에 이르도록 베드가 고갈될 때까지 일정하게 유지된다. 본 발명의바람직한 실시예는, 고갈이 용기의 하류 말단에 접근할 때까지 포화를 유지하면서, 시간이 지남에 따라 이러한 베드 고갈 패턴을 가능하게 설계되어 있다. 바람직한 실시예 는 또한 전구체 증기로 캐리어 가스가 실질적으로 포화되는 결과를 가져온다. 캐리어 가스는, 출구에서 용기 조건(예; 온도 및 압력) 하에서 물질의 포화 증기압의 90% 내지 100%를 함유할 경우 전구체 증기로 실질적으로 포화된 것으로 간주된다.
플러그 플로우 혼합 상태에 합리적으로 접근하도록, 즉 실질적인 플러그 플로우를 형성하도록 바람직한 실시예를 설계하고 최적화함에 있어서, 다음의 식이 유용하다. 정상 상태에서, 베드 위치의 함수로서 농도[C(z)]는 하기 식으로 주어진다:
Figure 112005005311694-pct00001
(식 1)
상기 식에서, Q는 가스의 체적 유량, A는 유동의 단면적, k는 고체 물질 승화의 속도 상수(1차 반응으로 가정함)이고, 식(1)을 이용하여 zC가 결정된 후, 임의 위치에서의 캐리어 농도를 알 수 있거나, 원하는 농도에 대한 물리적 길이(z)를 알 수 있다.
Figure 112005005311694-pct00002
(식 2)
승화 베드는 물리적 길이가 zC를 초과하여 캐리어 가스가 포화에 용이하게 도달하도록 설계되는 것이 바람직하다.
바람직하게, 승화 베드는 또한 안전 여유(safety margin)을 갖도록 되어 있다. 부가적 길이는 반응 속도 표현에서의 불활실적 또는 플러그 플로우 반응기 이상화 용도를 감당하고, 또한 시간 경과에 따른 물질 소비를 감당한다. 안전 여유 는 또한 일반적 제조 공정을 위해 의도하여 설계 유량보다 높은 가스 유량에서 캐리어 가스 유동의 포화를 유지할 수 있게 한다. 예를 들면, 본 발명의 바람직한 실시예는, 1 사이클이 약 1초 수준이라 할 때, 캐리어 가스가 수천 사이클, 보다 바람직하게는 수십만 사이클 동안 최대 캐리어 유량의 1.25배로 반복적으로 포화되도록 설계되어 있는 유효 베드 길이를 가진 승화 베드를 이용한다. 바람직하게, 상기 구조체는, 단일 웨이퍼 반응기(예; 웨이퍼 크기 300mm)와 함께 사용될 때 0.020 slm 당량을 넘는 순수 전구체(및 캐리어와 화학 물질에 대한 것의 약 10×), 보다 바람직하게는 0.040 slm을 넘는 순수 전구체(캐리어 유동과 함께 0.4 slm), 배치 웨이퍼 반응기와 함께 사용될 때에는 보다 바람직하게 1 slm을 넘는 순수 전구체에 대한 펄스를 유지한다. 소망스럽게, 상기 구조체는 각 펄스가 약 0.1~10초 동안 지속된다고 할 때 연속되는 100,000 펄스 초과 동안 증기 반응물용 고체 소스로 캐리어 가스를 반복적으로 포화시킬 수 있도록 선택된다.
바람직한 특정 실시예에서, 승화 베드는 플러그 플로우로 알려진 이상적 체류 시간 분포에 접근하는 유동을 형성할 수 있다. 이상적 플러그 플로우란, 반경 방향으로 유체 입자가 무한 혼합되고, 축 방향(유동 방향)으로는 혼합되지 않음을 의미한다. 또한, 이상적 플러그 플로우는 유동 방향에 수직인 채널을 가로 질러 균일한 속도 프로파일을 의미하며, 체류 시간이 일정함을 의미한다. 예를 들면, 교대하는 적색 슬라이스(red slice) 및 튜브를 통해 이동하는 녹색 슬라이스(green slice)를 가진 이상적 플러그 플로우 반응기 튜브에서, 상기 2색 슬라이스는 색상의 교환 없이 튜브를 출입한다. 도 22(A)는 이상적 플러그 플로우 반응기(또는 승 화 용기)에서 시간 경과에 따른 캐리어 가스 중 전구체의 포화 농도(Csat)를 예시하는 그래프를 나타낸다. 도 22(A)의 그래프는 플러그 플로우 반응기(1차 승화 속도로 가정)에서의변환(즉, 순수 캐리어 가스에서 포화 캐리어 가스로의 변환)에 대한 다음 식을 나타낸다:
Figure 112005005311694-pct00003
(식 3)
상기 식에서, Cf는 용기 출구에서의 전구체 농도이고, Csat는 물질의 포화 농도이고, k는 상수이며, τ는 시간이다.
유체 혼합 모델의 다른 극단적 예는 무한 확산도(infinite diffusivity) 또는 완전 혼합이 얻어지는 교반 탱크(stirred tank) 모델이다. 도 22(B)는 이상적 교반 탱크에 대한 용기 출구에서의 전구체 농도의 그래프를 나타낸다. 도 22(B)의 그래프는 다음 식을 나타낸다:
Figure 112005005311694-pct00004
(식 4)
유체 혼합에 대한 상기 두 가지 극단적 이상화(즉, 플러그 플로우 및 교반 탱크)는 서로 비교될 수 있다. 동일한 유량에 대해, τ는 반응기 체적에 비례한다. kτ의 값을 4.0으로 선택하면, 표화도는 교반 탱크 이상화에 비해 PFR 혼합을 이용한 반응기에서 훨씬 높다는 것을 쉽게 알 수 있다. 본질적으로, PFR은 순수 캐리어가 포화된 캐리어로 변환되는 가장 높은 공지된 변환율을 가능하게 한다.
물론, 이것은 이상적인 것이며 실제로는 완벽한 플러그 플로우 또는 이상적 교반 탱크와 같은 것은 없다. 그러나, 여기에 개시된 바람직한 특정 실시예는 플 러그 플로우에 근접한 체류 시간 분포(RTD)를 갖는다. 이상적인 플러그 플로우는 다음 식을 특징으로 한다:
D l /uL = 0,
상기 식에서 D l 은 반응기의 유효 확산도이고, u는 유동의 축 방향 속도이고, L은 용기 또는 반응기 길이이다.
비교에서, 이상적 교반 탱크 모델은 다음 식을 특징으로 한다:
D l /uL = ∞.
이들 실시예가 플러그 플로우에 접근하는 정도는 하기 식 5와 같은 분산 모델식을 이용하고, 실험적으로 수집된 용기 응답 곡선에 대한 D/uL을 용기 입구에서의 농도의 단계적 변화에 맞춤으로써 결정될 수 있다.
Figure 112005005311694-pct00005
(식 5)
플러그 플로우 및 교반 탱크를 포함하는 여러 가지 분산 모델에 대한 응답 곡선을 도 22에 나타낸다. 예를 들어, 바람직한 실시예의 실제 승화용기 체류 시간 응답을 결정하는 한 가지 방법은 승화 용기 또는 반응기가 헬륨(He)으로 충전되어 있을 때 N2의 펄스 중에 보낸 다음 질량 분광분석기로 He 농도 변화를 측정하는 것이다. 바람직한 실시예는 실질적인 플러그 플로우 양태의 정도를 나타냄으로써 실질적인 플러그 플로우를 생성하며, 이것은 실제 체류 시간 응답이 D l /uL <0.25인 분산 모델 반응 용기 또는 층류(laminar flow) 반응기와 유사한 응답 곡선을 나타내는 튜브형 반응기(또는 트레이를 이용하는 승화 베드)에서 관찰되는 체류 시간 응답과 실질적으로 같을 때, 본 발명의 목적을 위해 정의되며, 유체의 어느 것도 평균 체류 시간의 절반 미만인 체류 시간을 갖지 못한다.
모델 플로우 반응기에 관한 부가적인 상세한 사항은 Smith, J.M., Chemical Engineering Kinetics, 3rd Edition, McGraw-Hill Book Company, p.268-291(1981)에서 찾을 수 있으며, 그 내용은 본 목적을 위해 참고로서 포함된다.
바람직한 실시예에 따르면 전구체 증기로 실질적으로 포화되는 캐리어 가스가 얻어진다. 캐리어 가스는 승화 용기 또는 "반응기" 내에서 상기 조건(예; 온도 및 압력) 하에서 가능한 전구체 증기의 최대량을 약 90% 내지 100% 범위로 함유할 경우 실질적으로 포화되었다고 간주된다. 순전히 플러그 플로우 설비에 한정되지 않는 바람직한 특정 실시예는, 전구체 증기로 실질적으로 포화된 캐리어 가스의 다중, 연속형 펄스의 생성을 가능하게 하도록 이상화에 충분히 근접한 다른 모델(예; 일련의 교반 탱크, 층류 반응기 또는 D l /uL 값이 낮은 분산 반응기)에 따라 구성된다.
고체 소스로 코팅된 유동 가능한 지지 부재를 가들 채운 상태로 이용하는 바람직한 특정 실시예에서는, 플러그 플로우 반응기(PFR) 또는 D l /uL 값이 낮은 분산 반응기에 근접한 성능이 얻어진다. 바람직하게, 원통형의 기하학적 형태를 가진 충전층 반응기(packed bed reactor)는 패킹(굴곡이 있는 경로)이 반경(R) 방향으로 는 매우 양호한 혼합을 제공하지만 길이 방향으로는 혼합이 거의 안되기 때문에 플러그 플로우에 매우 가깝다. 충전층 반응기에 관한 부가적 상세 사항은 Smith, J.M., Chemical Engineering Kinetics, 3rd Edition, McGraw-Hill Book Company, p.554-563(1981)에서 찾을 수 있으며, 그 내용은 본 목적을 위해 참고로서 포함된다.
다음의 계산은 도 10 내지 20(C)에 도시된 안내된 분체 베드의 파라미터를 고려할 때 유용하다. 하기 식은 또한 확산형 질량 전달 시간과 채널 높이 및 체류 시간을 비교하는 데 유용하다.
고체 소스 표면으로부터 채널의 상단까지, 즉 채널 높이에 걸쳐 확산형 질량 전달 시간은 다음과 같이 표현된다:
Figure 112005005311694-pct00006
(식 6)
상기 식에서서 H는 채널(기상)의 높이이고, D12는 확산 계수이다. τdiff는 고체 표면에서 채널 상단이 90%의 농도에 도달할 때의 HfCl4의 농도이다.
채널을 따른 가스의 체류 시간은 하기 식으로 주어진다:
Figure 112005005311694-pct00007
(식 7)
다음과 같은 조건: 즉, 150 Torr, 200℃, N2 800 sccm 및 HfCl4의 종, 및 채널 치수: W~13mm, H~7mm, L~2000mm(채널 중심선), 단면에서의 평균 속도는 약 1.3 m/s이고 확산 계수 D12는 8.44~10-5 ㎡/s로 추정할 경우에, 다음을 구할 수 있다:
Figure 112005005311694-pct00008
(식 8)
Figure 112005005311694-pct00009
(식 9)
온도가 160℃로 변하면 유량은 300 sccm으로 바꾸고 확산 시간은 변하지 않지만 체류 시간은 4.4초로 증가된다.
실시예 1
본 발명의 바람직한 실시예에서, 유효 베드 길이는 승화 용기의 길이를 비례 증가할 필요 없이 크게 증가된다. 유효 길이의 이러한 증가는 고체 소스 물질로 코팅된 지지 요소 및 유동 가이드를 포함한 가이드 구조체에 의해 용이해지며, 각각의 가이드 구조체는 비교적 짧은 거리(캐리어 가스 입구와 출구 사이의 직선 거리로 측정된 것)에서 캐리어 가스를 포화시키도록 설계된 접촉 경로를 통해 캐리어 가스를 채널링하고 캐리어 가스를 승화되는 고체 소스 물질의 넓은 면적에 노출시키도록 되어 있다.
고체 소스 코팅된 비드 또는 구(sphere)에 의해 가능해지는 잠재적 승화 베드 파라미터의 비제한적 실시예가 표 1에 이어진다.
[표 1]
비다공질 구 및 HfCl4 코팅을 이용한 잠재적 승화 베드 파라미터
Figure 112005005311694-pct00010
표 1을 참조하면, 구의 직경에 비해 낮은 코팅 두께, 비교적 높은 베드 다공도(즉, 보이드 분획) 및 고체의 총 표면적을 포함하는 바람직한 실시예의 특징이 수치상으로 예시된다.
실시예 2
바람직한 특정 실시예에서, 승화 베드는 실질적으로 플러그 플로우를 생성할 수 있다. 즉 이상적 플러그 플로우에 접근한다. 바람직한 실시예를 실질적인 플러그 플로우를 유동시키도록 구성하는 것의 이점 중 하나는 이상적 플러그형 유동 체류 시간 분포(또는 플러그 플로우 혼합 양태)가 시간에 대해 일정하게 유지되는 용기 출구에서의 농도를 용기 체류 시간(V/Q, 여기서 V는 용기 체적이고 Q는 체적 유량임) 이하에 달성한다는 점이다. 반응기의 체류 시간을 펄스 시간보다 길게 하면, 전체 펄스 길이는 Csat에 머문다. 따라서, 반응기 또는 용기(분체 또는 지지 매체로 코팅된 전구체를 수용하는)가 길고 및/또는 복잡한 형태(예; 코일형 경로, 나선형 안내 경로, 코팅 비드를 통과하는 굴곡이 있는 경로 등)이면, 체류 시간이 길다. 유리하게는, ALD를 이용하는 바람직한 실시예에서, 용기에 유입되는 유동의 각 펄스는 전구체 증기로 포화된 캐리어 가스의 "슬라이스"를 밀어낼 것이다. 예를 들면, 용기를 통과하는 유량이 1000 ㎤/분(sccm)이고, 채널이 2.5cm×2.5cm이며, k가 0.1/초이면, 80cm의 길이는 30초의 체류 시간을 제공한다. 동일한 조건 하에서 다른 승화 반응기 길이에 의해 달성되는 포화 퍼센트가 하기 표 2에 제시된다. 80cm 반응기가 30초 이상 유동되더라도, 플러그 플로우 분포는 여전히 최고도의 포화를 제공한다. 승화가 1차 공정이라고 가정하면, 플러그 플로우 반응기(PFR)는, 가장 뚜렷하게 교반 체적에 비하여, 하기 표 3에 제시된 반응기 설계에 대해 최고도의 변환율을 제공하거나 또는 포화에 접근한다. 따라서, 실시예가 PFR에 접근하도록 시도하여실질적인 플러그 플로우를 생성하는 것은 바람직하며, 그것은 축방향 분산/혼합을 최소화한 상태에서 물질에 대해 단일 방향으로 가스를 이동시킴으로써 달성된다.
실제로는 승화 속도의 차수는, 캐리어 가스 접촉 경로가 충분히 길면, 경우에 따라 1차로부터 변화되어 정상류(즉, 용기를 분리하지 않고 일정한 펄스) 하에서도 100% 포화를 달성할 수 있게 된다. 그러나, 동역학(kinetics)의 차수가 불변이라고 가정하여도, 본 발명에서 개시된 실시예의 플러그 플로우를 이상화함으로써 변환율(또는 포화)이 90% 초과 또는 99% 보다도 커지도록 임계 튜브 길이 또는 캐리어 가스 접촉 경로(및 얻어지는 V/Q)를 규정할 수 있다.
[표 2]
여러 가지 반응기 길이에 대한 포화 퍼센트
Figure 112005005311694-pct00011
[표 3]
1차 동역학에 대한 변환 대 체류 시간 분포
Figure 112005005311694-pct00012
실시예 3
도 15 내지 20(B)에 제시된 바람직한 실시예를 모델링하고, 선반을 구비한 용기에 대한 체류 시간 분포(RTD) 곡선을 나타내는 도 24의 도표로 그 결과를 제시한다. 도 24에 제시된 도표는 충전 용기 실시예의 유체 기계적 모델링의 결과로서, RTD가 이상적 PFR의 경우와 매우 근접하다는 것을 나타낸다. 개별적 데이터 좌포가 하기 표 4에 제시되어 있다. 도표로 나타낸 결과는 Dl/uL이 매우 낮은 분산 모델과 실질적으로 동일하다. 다시 말하면, 얻어진 곡선이 도 23의 플러그 플로우 곡선의 형상과 유사하다. 이 도표의 곡선은 입구에서의 농도의 층계 함수 변화에 대한 용기의 응답을 나타낸다. 이것은 J(세타(theta)) 또는 체류 시간 분포(RTD) 함수이다. 이 함수의 종래 정의는 세타 미만의 체류 시간을 가진 유출 스트림의 분획이다.
CO는 입구에서의 트레이서 유체 단계의 농도이고, C는 출구에서의 그 농도이다. 시간이 제로일 때, 반응기에는 트레이서 유체가 없지만, 반응기에 유입되는 유체는 농도 CO를 갖도록 변화된다. C/CO는 0 내지 1 범위의 무차원 그룹이다. 도 23에 나타낸 그래프는 1을 달성하기 위한 평균 체류 시간의 수(무차원 또는 스케일을 가진)로 환산한 걸리는 시간 및 곡선의 형상을 나타낸다. 도 24에 나타낸 도표는 승화 용기(또는 유체를 운반하는 임의의 체적)의 혼합 양태를 나타내는 표준 방식이다.
[표 4]
충전 용기 실시예의 유체 기계적 모델링의 결과
Figure 112005005311694-pct00013
Figure 112005005311694-pct00014
도 24에 나타낸 도표는 충전 용기 실시예의 유체 기계적 모델링의 결과이며, RTD가 이상적 PFR의 경우와 매우 근접하다는 것을 나타낸다. 도 23의 RTD와 비교하면, PFR에 매우 근접하며, Dl/uL = 8.4×10-5/(1.3*2)≒3.2×10-5를 갖는다. 유리하게는, 특정한 충전층의 바람직한 실시예의 C/CO가 0.0으로부터 0.96(세타/세타 평 균)에 달할 때까지 변동이 시작되지도 않는다. Dl/uL 0.002의 곡선은 이미 C/CO 0.5 위에 있다. 상기 도표의 눈금이 0에서 2.0으로 변하면, 도 23과도 24의 비교는 더욱 분명하다.
바람직한 실시예의 특징은, 다른 요인 중에서도, "터널링" 문제를 피한 결과로서, 향상된 증기/고체 접촉 시간 및 향상된 고체 소스 표면적 대 증기 체적의 비이다. 바람직한 실시예의 또 다른 특징은 높아진 증기/고체 접촉 시간이다. 바람직한 실시예의 또 다른 특징은 승화 베드의 수명 기간에 걸쳐 비교적 균일한 가스 유동 저항이 가능한 점이다. 바람직한 특정 실시예의 또 다른 특징은 승화 용기 내 전구체로 실질적으로 포화된 캐리어 가스 유동의 생성이며, 이것은 실질적으로 플러그 플로우 혼합 양태를 나타내는 것이다. 이러한 특징은 각각 고체 소스 물질을 재투입함이 없이, 증가된 펄스의 수에 걸쳐 각 펄스에서 캐리어 가스를 포화시키는 능력에 바람직하게 기여한다. 따라서, 각 펄스에서 예측 가능한 양의 반응물이 제공됨으로써, 반도체 처리, 특히 ALD에 대한 훨씬 유력한 제어가 가능하다.
이상과 같이 본 발명을 바람직한 특정 실시예에 결부하여 개시했지만, 당업자는 본 발명이 구체적으로 제시된 실시예 외에도 다른 대안적 구현예 및/또는 본 발명의 이용 및 본 발명의 자명한 변형으로 연장됨을 이해할 것이다. 그러므로, 여기에 개시된 본 발명의 범위는 전술한 특정 실시예에 의해 한정되지 않으며, 이어지는 청구의 범위의 정당한 해것에 의해서만 판정되어야 한다.

Claims (75)

  1. 삭제
  2. 삭제
  3. 삭제
  4. 삭제
  5. 삭제
  6. 삭제
  7. 삭제
  8. 삭제
  9. 삭제
  10. 삭제
  11. 삭제
  12. 삭제
  13. 삭제
  14. 삭제
  15. 삭제
  16. 삭제
  17. 삭제
  18. 삭제
  19. 삭제
  20. 삭제
  21. 삭제
  22. 삭제
  23. 삭제
  24. 삭제
  25. 삭제
  26. 삭제
  27. 삭제
  28. 삭제
  29. 삭제
  30. 삭제
  31. 삭제
  32. 반응 쳄버를 통하여 유동시킬 반응물 증기를 생성하는 승화 장치로서,
    승화 용기;
    상기 용기 내에 수용된 고체 분말 형태인, 증기 반응물용 고체 소스의 베드;
    상기 승화 용기 내에 배치되며, 상기 고체 소스의 베드와 직접 접촉되어 있는 캐리어 가스 가이드 구조체, 여기서 상기 캐리어 가스 가이드 구조체는 상기 캐리어 가스를 위한 나선형 경로를 제공함으로써 상기 캐리어 가스를 상기 증기 반응물과 접촉하도록 안내하도록 되어 있고, 상기 캐리어 가스 가이드 구조체는 상기 나선형 경로의 레벨을 부분적으로 한정하는 복수의 적층된 트레이(stacked tray)를 추가로 포함하며, 상기 복수의 적층된 트레이들은 하나의 하부 트레이 및 복수의 상부 트레이들을 포함하며, 상기 상부 트레이들은 상기 상부 트레이들을 통과하여 연장되는 수직 채널을 포함함;
    상기 복수의 상부 트레이들 중 최상단의 트레이 위에 위치한 용기 유입구;
    상기 상부 트레이들의 수직 채널을 통하여 상기 용기 유입구로부터 아래로 연장되는 수직 입구 도관(vertical inlet conduit), 여기서 상기 수직 입구 도관은 가스를 상기 용기 유입구로부터 상기 하부 트레이, 및 상기 캐리어 가스 가이드 구조체에 의해 제공되는 단일형(unitary) 접촉 경로의 시작 지점으로 운반하도록 되어 있고, 상기 단일형 접촉 경로는 나선형 경로를 포함함; 및
    상기 캐리어 가스 가이드 구조체에 의해 제공되는 단일형 접촉 경로의 말단 지점에 위치한 용기 유출구
    를 포함하고,
    여기서 상기 캐리어 가스 가이드 구조체는, 상기 유입구에서 상기 유출구까지의 직선 거리의 2.5배를 넘는 길이를 가진, 나선형 경로를 따라, 상기 캐리어 가스와 상기 증기 반응물의 접촉을 확보하도록 되어 있는,
    승화 장치.
  33. 제32항에 있어서,
    상기 가이드 구조체가, 승화 용기의 바닥으로부터 승화 용기의 천장까지 연장되도록 되어 있는 유동 가이드인 것을 특징으로 하는 승화 장치.
  34. 제32항에 있어서,
    상기 트레이들은 각각 고체 소스의 베드를 수용하는 것을 특징으로 하는 승화 장치.
  35. 삭제
  36. 제32항에 있어서,
    상기 복수의 적층된 트레이 중 적어도 하나는 적어도 하나의 원형인 경로를 포함하는 안내된 트레이이고, 상기 안내된 트레이는 상기 캐리어 가스를 인접한 트레이에 채널링하기 전에 상기 안내된 트레이 주위로 200°이상의 하나 이상의 랩(lap)에서 상기 캐리어 가스를 안내하도록 되어 있는 것을 특징으로 하는 승화 장치.
  37. 제32항에 있어서,
    상기 복수의 적층된 트레이 중 적어도 하나는 안내된 트레이의 적어도 2개의 원형인 경로를 부분적으로 한정하는 2차 부분 분할기(partial divider)를 포함하는 안내되는 트레이이고, 상기 2차 부분 분할기는 트레이 측벽과 함께 상기 가스를 인접한 적층된 트레이에 채널링하기 전에 상기 안내된 트레이 주위로 2 랩에서 상기 캐리어 가스를 안내하도록 되어 있고, 상기 랩은 각각 상기 안내된 트레이 주위로 200°이상인 것을 특징으로 하는 승화 장치.
  38. 제32항에 있어서,
    상기 승화 장치는 반응 쳄버 및, 상기 용기로부터 캐리어 가스의 펄스를 상기 반응 쳄버에 공급하도록 구성된 펄싱 기구와 결합하며, 상기 반응 쳄버는 원자층 증착(ALD) 쳄버를 포함하는 것을 특징으로 하는 승화 장치.
  39. 제32항에 있어서,
    상기 상부 트레이들 각각은, 상기 캐리어 가스가 나선형 경로를 따라 유동함에 따라, 상기 캐리어 가스를 인접한 하부의 트레이로부터 상부의 트레이로 수직으로 유동하도록 하는 하부 개구부를 갖는 것을 특징으로 하는 승화 장치.
  40. 제39항에 있어서,
    상기 상부 트레이들의 하부 개구부들은 수직으로 정렬되지 않은 것을 특징으로 하는 승화 장치.
  41. 제32항에 있어서,
    상기 상부 트레이들의 적어도 하나는 분할기 및 부분 분할기를 포함하며,
    상기 분할기는 상기 상부 트레이의 전체 높이로 연장되며, 상기 상부 트레이에 의하여 한정되는 상기 캐리어 가스 유동 경로의 시작 지점을 한정하고,
    상기 부분 분할기는 상기 상부 트레이의 전체 높이로 연장되며, 상기 상부 트레이에 의하여 한정되는 상기 캐리어 가스의 유동 경로를 따라 배치되고,
    상기 부분 분할기는 상기 부분 분할기의 상단부를 가로질러 연장된 스크린을 포함하고, 상기 스크린은 전구체 입자들을 유지하도록 되어 있는 것을 특징으로 하는 승화 장치.
  42. 삭제
  43. 삭제
  44. 삭제
  45. 삭제
  46. 삭제
  47. 삭제
  48. 삭제
  49. 삭제
  50. 삭제
  51. 삭제
  52. 삭제
  53. 삭제
  54. 삭제
  55. 삭제
  56. 삭제
  57. 삭제
  58. 삭제
  59. 삭제
  60. 삭제
  61. 삭제
  62. 삭제
  63. 삭제
  64. 삭제
  65. 삭제
  66. 삭제
  67. 삭제
  68. 삭제
  69. 삭제
  70. 삭제
  71. 삭제
  72. 삭제
  73. 삭제
  74. 삭제
  75. 삭제
KR1020057001614A 2002-07-30 2003-07-29 캐리어 가스를 이용하는 승화 시스템 KR101183109B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US40021002P 2002-07-30 2002-07-30
US60/400,210 2002-07-30
PCT/US2003/023843 WO2004011695A2 (en) 2002-07-30 2003-07-29 Sublimation system employing carrier gas

Publications (2)

Publication Number Publication Date
KR20050030963A KR20050030963A (ko) 2005-03-31
KR101183109B1 true KR101183109B1 (ko) 2012-09-24

Family

ID=31188666

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020057001614A KR101183109B1 (ko) 2002-07-30 2003-07-29 캐리어 가스를 이용하는 승화 시스템

Country Status (7)

Country Link
US (2) US7122085B2 (ko)
EP (1) EP1525337A2 (ko)
JP (1) JP4585852B2 (ko)
KR (1) KR101183109B1 (ko)
AU (1) AU2003254266A1 (ko)
TW (2) TW200952073A (ko)
WO (1) WO2004011695A2 (ko)

Families Citing this family (417)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FI118805B (fi) * 2000-05-15 2008-03-31 Asm Int Menetelmä ja kokoonpano kaasufaasireaktantin syöttämiseksi reaktiokammioon
TWI273642B (en) * 2002-04-19 2007-02-11 Ulvac Inc Film-forming apparatus and film-forming method
US7374617B2 (en) 2002-04-25 2008-05-20 Micron Technology, Inc. Atomic layer deposition methods and chemical vapor deposition methods
US7601225B2 (en) 2002-06-17 2009-10-13 Asm International N.V. System for controlling the sublimation of reactants
US7300038B2 (en) * 2002-07-23 2007-11-27 Advanced Technology Materials, Inc. Method and apparatus to help promote contact of gas with vaporized material
US6921062B2 (en) * 2002-07-23 2005-07-26 Advanced Technology Materials, Inc. Vaporizer delivery ampoule
US6936086B2 (en) * 2002-09-11 2005-08-30 Planar Systems, Inc. High conductivity particle filter
JP2007500794A (ja) * 2003-05-16 2007-01-18 エスブイティー アソーシエイツ インコーポレイテッド 薄膜蒸着エバポレーター
KR20050004379A (ko) * 2003-07-02 2005-01-12 삼성전자주식회사 원자층 증착용 가스 공급 장치
US7547363B2 (en) * 2003-07-08 2009-06-16 Tosoh Finechem Corporation Solid organometallic compound-filled container and filling method thereof
US7378129B2 (en) * 2003-08-18 2008-05-27 Micron Technology, Inc. Atomic layer deposition methods of forming conductive metal nitride comprising layers
US7156380B2 (en) * 2003-09-29 2007-01-02 Asm International, N.V. Safe liquid source containers
US20060102079A1 (en) * 2004-11-15 2006-05-18 Glassman Timothy E Reducing variability in delivery rates of solid state precursors
US7484315B2 (en) * 2004-11-29 2009-02-03 Tokyo Electron Limited Replaceable precursor tray for use in a multi-tray solid precursor delivery system
US7488512B2 (en) * 2004-11-29 2009-02-10 Tokyo Electron Limited Method for preparing solid precursor tray for use in solid precursor evaporation system
US7638002B2 (en) 2004-11-29 2009-12-29 Tokyo Electron Limited Multi-tray film precursor evaporation system and thin film deposition system incorporating same
US7708835B2 (en) 2004-11-29 2010-05-04 Tokyo Electron Limited Film precursor tray for use in a film precursor evaporation system and method of using
DE102004062552A1 (de) * 2004-12-24 2006-07-06 Aixtron Ag Vorrichtung zum Verdampfen von kondensierten Stoffen
US7608549B2 (en) * 2005-03-15 2009-10-27 Asm America, Inc. Method of forming non-conformal layers
US7651570B2 (en) 2005-03-31 2010-01-26 Tokyo Electron Limited Solid precursor vaporization system for use in chemical vapor deposition
FI121341B (fi) 2006-02-02 2010-10-15 Beneq Oy Hopean suojapinnoitus
US7432195B2 (en) 2006-03-29 2008-10-07 Tokyo Electron Limited Method for integrating a conformal ruthenium layer into copper metallization of high aspect ratio features
US7562672B2 (en) * 2006-03-30 2009-07-21 Applied Materials, Inc. Chemical delivery apparatus for CVD or ALD
US8951478B2 (en) * 2006-03-30 2015-02-10 Applied Materials, Inc. Ampoule with a thermally conductive coating
US20080241805A1 (en) * 2006-08-31 2008-10-02 Q-Track Corporation System and method for simulated dosimetry using a real time locating system
US7473634B2 (en) 2006-09-28 2009-01-06 Tokyo Electron Limited Method for integrated substrate processing in copper metallization
KR101480971B1 (ko) * 2006-10-10 2015-01-09 에이에스엠 아메리카, 인코포레이티드 전구체 전달 시스템
US8986456B2 (en) * 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
JP5045062B2 (ja) * 2006-10-30 2012-10-10 住友化学株式会社 固体有機金属化合物の供給方法
WO2008076350A1 (en) * 2006-12-13 2008-06-26 Universal Display Corporation Improved evaporation process for solid phase materials
US7833353B2 (en) * 2007-01-24 2010-11-16 Asm Japan K.K. Liquid material vaporization apparatus for semiconductor processing apparatus
US7678458B2 (en) * 2007-01-24 2010-03-16 Asml Holding N.V. Bonding silicon silicon carbide to glass ceramics
US7846256B2 (en) 2007-02-23 2010-12-07 Tokyo Electron Limited Ampule tray for and method of precursor surface area
JP2009044023A (ja) * 2007-08-10 2009-02-26 Hitachi Kokusai Electric Inc 半導体装置の製造方法および基板処理装置
JP5141141B2 (ja) * 2007-08-23 2013-02-13 東京エレクトロン株式会社 気化器、気化器を用いた原料ガス供給システム及びこれを用いた成膜装置
US9034105B2 (en) * 2008-01-10 2015-05-19 American Air Liquide, Inc. Solid precursor sublimator
US20090317547A1 (en) * 2008-06-18 2009-12-24 Honeywell International Inc. Chemical vapor deposition systems and methods for coating a substrate
US8343583B2 (en) 2008-07-10 2013-01-01 Asm International N.V. Method for vaporizing non-gaseous precursor in a fluidized bed
US20110189498A1 (en) * 2008-10-08 2011-08-04 Ulvac, Inc. Evaporating material and method of manufacturing the same
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8012876B2 (en) * 2008-12-02 2011-09-06 Asm International N.V. Delivery of vapor precursor from solid source
KR101015277B1 (ko) * 2008-12-10 2011-02-15 삼성모바일디스플레이주식회사 증발원
JP5361467B2 (ja) * 2009-03-13 2013-12-04 東京エレクトロン株式会社 気化器
JP5501807B2 (ja) * 2009-03-31 2014-05-28 東京エレクトロン株式会社 処理装置
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8883270B2 (en) 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
KR101084275B1 (ko) * 2009-09-22 2011-11-16 삼성모바일디스플레이주식회사 소스 가스 공급 유닛, 이를 구비하는 증착 장치 및 방법
EP3922751A1 (en) * 2009-11-02 2021-12-15 Sigma-Aldrich Co. LLC Solid precursor delivery assemblies and related methods
KR101074810B1 (ko) * 2009-12-23 2011-10-19 삼성모바일디스플레이주식회사 캐리어 가스 공급 구조가 개선된 증착 장치 및 그것을 이용한 유기 발광 디스플레이 장치 제조방법
TWI557261B (zh) * 2010-04-19 2016-11-11 Asm美國公司 先質輸送系統
WO2012006433A2 (en) * 2010-07-07 2012-01-12 Directed Vapor Technologies International, Inc. Method and apparatus for applying a coating at a high rate onto non-line-of-sight regions of a substrate
JP2012248803A (ja) * 2011-05-31 2012-12-13 Hitachi Cable Ltd 金属塩化物ガスの発生装置および金属塩化物ガスの発生方法、並びに、ハイドライド気相成長装置、窒化物半導体ウエハ、窒化物半導体デバイス、窒化物半導体発光ダイオード用ウエハ、窒化物半導体自立基板の製造方法および窒化物半導体結晶
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
KR20120139387A (ko) * 2011-06-17 2012-12-27 삼성디스플레이 주식회사 박막 증착 장치 및 이를 이용한 박막 증착 방법
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9238865B2 (en) 2012-02-06 2016-01-19 Asm Ip Holding B.V. Multiple vapor sources for vapor deposition
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9029253B2 (en) 2012-05-02 2015-05-12 Asm Ip Holding B.V. Phase-stabilized thin films, structures and devices including the thin films, and methods of forming same
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
CN104487608A (zh) 2012-05-31 2015-04-01 高级技术材料公司 基于源试剂的用于批量沉积的高物质通量流体的输送
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
DE102012215708A1 (de) * 2012-09-05 2014-03-06 Osram Opto Semiconductors Gmbh Vorratsbehälter für eine beschichtungsanlage und beschichtungsanlage
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
KR101599286B1 (ko) * 2014-01-17 2016-03-03 (주) 엠에이케이 소수성 표면 처리장치
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
KR101777777B1 (ko) * 2015-12-23 2017-09-26 주식회사 포스코 고속 코팅용 진공 증착 장치
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10483498B2 (en) * 2016-04-22 2019-11-19 Universal Display Corporation High efficiency vapor transport sublimation source using baffles coated with source material
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US20180047692A1 (en) * 2016-08-10 2018-02-15 Amkor Technology, Inc. Method and System for Packing Optimization of Semiconductor Devices
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10876205B2 (en) 2016-09-30 2020-12-29 Asm Ip Holding B.V. Reactant vaporizer and related systems and methods
US11926894B2 (en) 2016-09-30 2024-03-12 Asm Ip Holding B.V. Reactant vaporizer and related systems and methods
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
JP7028955B2 (ja) * 2017-04-10 2022-03-02 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー 堆積プロセスにおける化学前駆体のバブリングのためのエーロゾルフリー型ベッセル
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
JP6895372B2 (ja) * 2017-12-12 2021-06-30 東京エレクトロン株式会社 原料容器
KR20190072266A (ko) * 2017-12-15 2019-06-25 삼성전자주식회사 소스 가스 공급 장치 및 이를 구비하는 증착 장치
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
WO2019142055A2 (en) 2018-01-19 2019-07-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
JP6839672B2 (ja) * 2018-02-06 2021-03-10 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11168394B2 (en) * 2018-03-14 2021-11-09 CeeVeeTech, LLC Method and apparatus for making a vapor of precise concentration by sublimation
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US11634812B2 (en) 2018-08-16 2023-04-25 Asm Ip Holding B.V. Solid source sublimator
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
KR102072924B1 (ko) * 2018-11-05 2020-02-03 (주)에이텍솔루션 고효율 반도체 증착용 기화기
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
JP7240881B2 (ja) * 2019-01-18 2023-03-16 Jx金属株式会社 塩化金属の昇華容器
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP6901153B2 (ja) * 2019-02-07 2021-07-14 株式会社高純度化学研究所 薄膜形成用金属ハロゲン化合物の固体気化供給システム。
JP6887688B2 (ja) * 2019-02-07 2021-06-16 株式会社高純度化学研究所 蒸発原料用容器、及びその蒸発原料用容器を用いた固体気化供給システム
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
US11788190B2 (en) 2019-07-05 2023-10-17 Asm Ip Holding B.V. Liquid vaporizer
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
JP7240993B2 (ja) * 2019-08-27 2023-03-16 東京エレクトロン株式会社 原料ガス供給システム及び原料ガス供給方法
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11624113B2 (en) 2019-09-13 2023-04-11 Asm Ip Holding B.V. Heating zone separation for reactant evaporation system
US11946136B2 (en) 2019-09-20 2024-04-02 Asm Ip Holding B.V. Semiconductor processing device
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US20210147977A1 (en) * 2019-11-14 2021-05-20 Entegris, Inc. Densified solid preforms for sublimation
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
DE102020212606A1 (de) 2020-10-06 2022-04-07 Karlsruher Institut für Technologie Struktur, Wärmetauscher umfassend eine Struktur, Wärmetauscheranlage umfassend einen Wärmetauscher, Verfahren zum Temperieren eines Partikelstroms, Verwendung einer Struktur zum Temperieren eines Partikelstroms, Verfahren zum Beschichten eines Substrats und Verfahren zum Auftrennen einer flüssigen Probe
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
CN112354797A (zh) * 2020-11-04 2021-02-12 中国电子科技集团公司第五十五研究所 一种可流水化作业的显示面板水胶灌胶贴合装置和方法
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11578406B2 (en) 2020-12-08 2023-02-14 Applied Materials, Inc. Ampoule for a semiconductor manufacturing precursor
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
US11584990B2 (en) * 2021-07-02 2023-02-21 Applied Materials, Inc. Bottom fed sublimation bed for high saturation efficiency in semiconductor applications
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
KR20240016198A (ko) 2022-07-28 2024-02-06 에이에스엠 아이피 홀딩 비.브이. 반도체 제조 모니터링 프로세스

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH11168092A (ja) * 1997-12-04 1999-06-22 Denso Corp 気相成長方法および気相成長装置
JP2002004054A (ja) * 2000-04-14 2002-01-09 Asm Microchemistry Oy 基板上に薄膜を成長させる方法

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4548138A (en) 1981-12-17 1985-10-22 York-Shipley, Inc. Fast fluidized bed reactor and method of operating the reactor
DE3339625A1 (de) 1983-11-02 1985-05-09 Philips Patentverwaltung Gmbh, 2000 Hamburg Vorrichtung zum anreichern eines traegergases mit dem dampf eines wenig fluechtigen stoffes
US4747367A (en) * 1986-06-12 1988-05-31 Crystal Specialties, Inc. Method and apparatus for producing a constant flow, constant pressure chemical vapor deposition
US4761269A (en) * 1986-06-12 1988-08-02 Crystal Specialties, Inc. Apparatus for depositing material on a substrate
DE3702923A1 (de) * 1987-01-31 1988-08-11 Philips Patentverwaltung Vorrichtung zum anreichern eines traegergases mit dem dampf eines wenig fluechtigen stoffes
US5092156A (en) 1987-07-08 1992-03-03 Thermedics Inc. Vapor collector/desorber with tube bundle and metal foil
JPS6464314A (en) * 1987-09-04 1989-03-10 Mitsubishi Electric Corp Sublimator
DE3801147A1 (de) 1988-01-16 1989-07-27 Philips Patentverwaltung Vorrichtung zum erzeugen eines mit dem dampf eines wenig fluechtigen stoffes angereicherten gasstroms
JP2651530B2 (ja) * 1988-04-15 1997-09-10 住友化学工業株式会社 気相成長用有機金属化合物供給装置
US5252134A (en) 1991-05-31 1993-10-12 Stauffer Craig M Integrated delivery system for chemical vapor from non-gaseous sources for semiconductor processing
US6132492A (en) 1994-10-13 2000-10-17 Advanced Technology Materials, Inc. Sorbent-based gas storage and delivery system for dispensing of high-purity gas, and apparatus and process for manufacturing semiconductor devices, products and precursor structures utilizing same
FR2727691A1 (fr) 1994-12-01 1996-06-07 Framatome Sa Procede de revetement d'un substrat en metal ou alliage passivable, par une couche d'oxyde, et tube de gainage et grille-entretoise pour assemblage combustible revetus d'une couche d'oxyde
JPH0940489A (ja) * 1995-03-30 1997-02-10 Pioneer Electron Corp Mocvdの固体原料供給方法及び供給装置
US5553395A (en) * 1995-05-31 1996-09-10 Hughes Aircraft Company Bubbler for solid metal organic source material and method of producing saturated carrying gas
JPH1025576A (ja) * 1996-04-05 1998-01-27 Dowa Mining Co Ltd Cvd成膜法における原料化合物の昇華方法
US5674574A (en) 1996-05-20 1997-10-07 Micron Technology, Inc. Vapor delivery system for solid precursors and method regarding same
US6461982B2 (en) 1997-02-27 2002-10-08 Micron Technology, Inc. Methods for forming a dielectric film
JP3909792B2 (ja) * 1999-08-20 2007-04-25 パイオニア株式会社 化学気相成長法における原料供給装置及び原料供給方法
US20040053472A1 (en) * 2000-09-18 2004-03-18 Hideki Kiryu Method for film formation of gate insulator, apparatus for film formation of gate insulator, and cluster tool
US6718126B2 (en) 2001-09-14 2004-04-06 Applied Materials, Inc. Apparatus and method for vaporizing solid precursor for CVD or atomic layer deposition
US6720259B2 (en) * 2001-10-02 2004-04-13 Genus, Inc. Passivation method for improved uniformity and repeatability for atomic layer deposition and chemical vapor deposition
US6921062B2 (en) 2002-07-23 2005-07-26 Advanced Technology Materials, Inc. Vaporizer delivery ampoule

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH11168092A (ja) * 1997-12-04 1999-06-22 Denso Corp 気相成長方法および気相成長装置
JP2002004054A (ja) * 2000-04-14 2002-01-09 Asm Microchemistry Oy 基板上に薄膜を成長させる方法

Also Published As

Publication number Publication date
AU2003254266A8 (en) 2004-02-16
KR20050030963A (ko) 2005-03-31
US20060216419A1 (en) 2006-09-28
US20050072357A1 (en) 2005-04-07
WO2004011695A2 (en) 2004-02-05
WO2004011695A3 (en) 2004-11-04
JP4585852B2 (ja) 2010-11-24
TW200952073A (en) 2009-12-16
AU2003254266A1 (en) 2004-02-16
US7122085B2 (en) 2006-10-17
EP1525337A2 (en) 2005-04-27
TW200403721A (en) 2004-03-01
TWI319593B (en) 2010-01-11
JP2005535112A (ja) 2005-11-17

Similar Documents

Publication Publication Date Title
KR101183109B1 (ko) 캐리어 가스를 이용하는 승화 시스템
US11377732B2 (en) Reactant vaporizer and related systems and methods
US20180094351A1 (en) Reactant vaporizer and related systems and methods
US7156380B2 (en) Safe liquid source containers
KR20200020608A (ko) 고체 소스 승화기
JP2020183578A (ja) 浸漬チューブを備える薬剤供給容器
CA2566944C (en) Bubbler for constant vapor delivery of a solid chemical
JP2009531535A (ja) 薄膜の広範囲多層原子層の化学蒸着処理のための装置および方法
EP0371796A2 (en) Apparatus and process for chemical vapor deposition
KR20090086548A (ko) 전구체 전달 시스템
CN110079789A (zh) 用于化学气相沉积的进气口元件及其制造方法
US20220403512A1 (en) Bottom Fed Sublimation Bed for High Saturation Efficiency in Semiconductor Applications
US7584942B2 (en) Ampoules for producing a reaction gas and systems for depositing materials onto microfeature workpieces in reaction chambers
US20050249873A1 (en) Apparatuses and methods for producing chemically reactive vapors used in manufacturing microelectronic devices
KR20210032279A (ko) 반응물 증발 시스템용 가열 구역 분리
JP4571787B2 (ja) 固体有機金属化合物用充填容器およびその充填方法
US20210071301A1 (en) Fill vessels and connectors for chemical sublimators
KR100378497B1 (ko) 처리기용 가스 분배 시스템 및 반도체 기판의 처리 방법
JP3909022B2 (ja) 固体有機金属化合物用充填容器
KR102531696B1 (ko) 전구체 컨테이너
US11584990B2 (en) Bottom fed sublimation bed for high saturation efficiency in semiconductor applications
KR101001369B1 (ko) 고체화합물의 기화용 가열장치

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20150819

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20160818

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20170818

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20180816

Year of fee payment: 7