TW200952073A - An improved sublimation bed and substrate processing system - Google Patents

An improved sublimation bed and substrate processing system Download PDF

Info

Publication number
TW200952073A
TW200952073A TW098121433A TW98121433A TW200952073A TW 200952073 A TW200952073 A TW 200952073A TW 098121433 A TW098121433 A TW 098121433A TW 98121433 A TW98121433 A TW 98121433A TW 200952073 A TW200952073 A TW 200952073A
Authority
TW
Taiwan
Prior art keywords
sublimation
carrier gas
solid
flow
container
Prior art date
Application number
TW098121433A
Other languages
English (en)
Inventor
Eric J Shero
Michael E Givens
Ryan Schmidt
Original Assignee
Asm Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Asm Inc filed Critical Asm Inc
Publication of TW200952073A publication Critical patent/TW200952073A/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4481Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by evaporation using carrier gas in contact with the source material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4481Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by evaporation using carrier gas in contact with the source material
    • C23C16/4483Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by evaporation using carrier gas in contact with the source material using a porous body

Landscapes

  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)

Description

200952073 六、發明說明: 【發明所屬之技術領域】 本發明是有關於一種基板的製造,且特別是有關於一 種半導體製造設備,可以高效率地汽化固態原料。 【先前技術】 在比如是半導體晶圓之基板上製造積體電路的領域 中’化學氣相沉積(chemical vapor deposition,CVD)與最近 的原子層沉積(atomic layer deposition,ALD)均是常使用的 製程。而化學原料的膨脹會增加在室溫下及在大氣壓力下 係為液體或固艘之先驅(precursor)物質的消耗。 爲了要增加使用固體原料之先驅物質的沉積效率,必 須要先將固體物質氣化。要達到此目的,可以使用昇華設 備藉以氣化固體原料’而一般會配合熱源使用’藉以增加 固體原料之氣化壓力。 然而’目前具有昇華設備的半導體製程系統具有許多 的缺點,比如是具有不適當的固體原料表面積除以蒸氣體 積的比值及較差的蒸氣/固體接觸時間。在氣流處理系統 内,載氣(carrier gas)係在不充分接觸於固體原料的情況 下,從入口連接部流到出口連接部,因此固體原料蒸氣並 不會飽合於載氣中。爲了增加蒸氣/固體接觸時間,傳統的 昇華基座一般會具有通道效應(tunneling),而通道效應起因 於氣體係傾向於沿著低阻力之路徑流動,而不會流過大塊 的粉末,當經過粉末的通道變寬時,會逐漸地減少固體之 先驅物質暴露於氣流中的表面積。而即使昇華基座包括有 11926pifl 4 200952073 多種未氣化的固體物f粉末,亦是甚難飽合於載氣中。 【發明内容】 4 了改善上述問題,本發明提出一種半導體製造系 在實施例卜該系統包括—導引結構,比如是支揮媒 在支撐媒介之一表面上塗佈有用於氣化反應的固體原 料。藉由^丨結構可以使隨原料之蒸氣_直飽合於載氣 中,用以進行氣體反應。
—一種基板製造系統包括一載氣源、一支撐媒介及一反 應室’支_介具有—表面,—氣體反應物之固體原料係 位於該支㈣介之該表面上,而反應室連接於該支擇媒介 的下游端。支撐齡係適於引導魏,並 源流經支撐媒介。 -種昇㈣統包括―載氣源及多铸動支擇構件所 成,一氣體反應物之固體原料係覆蓋於浮動支撐構件 =藉由浮動支賴件可㈣導載氣在非線性的接觸通道 上流經支樓媒介。 -哉Hi裝置包括—昇華容器一固體原料基座及, 太载乳導引、、·《構’固體原料基座係位於該昇華容器内,載 j引結構可以料載氣接觸位在固體補基座上之固體 原料。 r 導。構係為分隔的結構,並且可以引導載氣流 原 的表面,藉以提供f曲的接觸通道。在較 的情況下,接觸通道係為長且窄的。容^^連接部, 係位於載氣導引結構之接騎道的起始處,而容器出口連 H926pifl 5 200952073 =,係位於載氣導引結構之接觸通道的終點處。藉由載 ί姓引構可以使载氣在非線性的接觸通道上接觸於固體 ,、;’接觸通道的長度係大於兩倍的容11人口連接部轉 器出口連接部之間的距離。 、本發明還提供製作基板的方法及原子層沈積製程的 以沈積—原子層於—基板上。氣體先驅物係飽和 於^中’在較佳的情況下’載氣的流動係符合柱塞流時 〇 間分佈’並且飽和的載氣可以從昇華容II流出,氣體先驅 物係飽和於載氣中。 本發明可以高頻率地傳送容量穩定且準確的氣體反 應物到4:積至巾。並J_,藉由本發明的設計’可以增加固 體原料暴露出的表面積除以支律媒介之體積所得的比值, 因此可以避免通道效應的問題。再者,藉由本發明的設計, T以增加载軋接觸於固體先驅物的時間,並且可以確保在 昇華,座的使用壽命内,具有較穩定的氣流滯留分佈,並 且載氣的流動可以符合柱塞流時間分佈。
為讓本發明之上述和其他目的、特徵、和優點能更明 顯易ΙΪ,下文特舉一較佳實施例,並配合所附圖式,作詳 細說明如下: 【實施方式】 有許多氣相沉積方法可以沉積薄膜於基板的表面 上’這些方法包括真空氣相沉積、分子束磊晶沉積 (Molecular Beam Epitaxy ’ MBE),或其他的各種化學氣相 >儿積方式(包括低壓有機金屬化學氣相沉積及電漿加強型 11926pifl 6 200952073 化學氣相沉積)及原子層蟲晶沉積(Atomic Layer Epitaxy, ALE)等,其中原子層磊晶沉積系與最近的原子層沉積 (atomic layer deposition,ALD)技術有關。 ALE或ALD的沉積方法係連續地輸入先驅物質(比如 是第一先驅物或第二先驅物)於位在反應室中的基板上。沉 積的機制係將先驅物質吸附於基板的主動表面上,而在每 一反應過程(pulse)並不會超過一層,因此這個方法是可以 自我停止的或飽合的。舉例而言,第一先驅物可以包含有 ❹ 配位基Gigands),位在已吸附基板上的物質上,藉以避免 再吸附第一先驅物,而溫度係高於先驅物的凝結溫度,而 低於熱分解的溫度,因此可以使得第一先驅物藉由化學吸 附的方式吸附於基板上的過程係保持在良好狀態。在進行 吸附製程之後,可以進行第一排出(evacuati〇n㈤啊_) 步驟使得過多的第―先驅物及可能的反應副產品可以從 反應室中排出。接著可以將第二先驅物輸入於反應室中, 而第二先驅物可以與已吸附於基板上的物質反應,因此可 ❹以產生所要的單層薄膜。舉例而言,在配位基置換的過程 中,第二先驅物可以置換先前吸附於基板上之物質的最終 配位,。而另外-種機制是利用一中間反應物去除先前吸 物質的配位基,然後再利用另-反應物與所 剩下的早壯構反應或㈣在_下的單層結構上。因 此i必須要耗費大量的第一先驅物吸附於基板上之後,第 驅物之成長機制才會停止。而在接下來的第二排出過 程中,必解錢過量的第二先驅物及可能的反應副產 11926ptfl 7 200952073 品。如此必須一直重複上述的步驟才能夠使薄膜長成所要 的厚度,因此象程上疋非常複雜的。比如要經過三次或是 更多次的反應過程(pulse),藉以生成二元、三元或四元之 化合物’而反應過程之間均會進行排出過程。 在芬蘭專利公告第52,359號、第57,975號及美國專 利公告第4,058,430號、第4,389,973號均有揭露ALE或 ALD的沉積方法’這些專利案均作為本案之參考資料。而 適用於這些方法的設備在美國專利第5,855,680號、芬蘭 專利第 100,409 號、Material Science Report 4(7) (1989) ρ·261,及Tyhjiotekniikka(針對真空技術的芬蘭出版書), ISBN 951-794-422-5,pp. 253-261 均有揭露,這些内容均 作為本案之參考資料。ASM Microchemistry 〇y,Espoo, Finland有提供用於ALD製程的設備,商標係為 ALCVD™ ’而ASM America也有提供ALD製程的設備, 商標係為Pulsar®。 在一些ALD反應器中’部分或全部之先驅物係以固 態的形式貯存於容器中。在容器内’可以將先驅物加熱, 使得固態的先驅物可以轉化成氣體狀態,而利用載氣可以 傳送氣態的先驅物到反應器中,而此載氣可以是相同於用 在排出過程中的氣髏,其中載氣一般係為惰性氣體,比如 是氮氣。 目前昇華設備會面臨到如前所述的問題,包括通道效 應的問題及不適當的蒸氣/固體接觸狀態’若是在不穩定的 狀態下比如利用原子層沉積(ALD)的方法進行基板的製程 H926pifl 8 200952073 時’會使得上述所面臨的問題更惡化。而昇華設備是否能 夠在每次的沉積過程中重複地且準確地量測出大致上飽合 的或可預測的先驅物含量及是否能夠重複地且準確地傳送 這些先驅物,對原子層沉積的品質有重要的影響性。換句 話說,在每次沉積過程中,ALD反應器可以將基板暴露在 已知濃度的、濃度在控制狀態下的及濃度可複製的蒸氣中 一段短暫的期間。 Φ
在應用ALD的實施例中,載氣會依照一正常頻率傳 送到容器中,再從容器中離開。如果使用傳統的昇華設備, 類似脈膊跳動的載氣流動所帶來的不穩定情況,均會導致 嚴重的問題。舉例而言’當驗不受限制地流動到固體原 料昇,基麟’此使驗是在靴主馳制的狀態下,且 不會受到兩個或多個路徑的阻力所影響,這 昇華基座的形狀而改變,而氣流阻力亦會隨之改變會= 當氣流不受關賴_㈣基糾,這項科㈣因素 會導致在每次沉積的過程中先驅物的含量均不同,使得沉 =不,句地沉積在晶圓上,因此晶圓的良率會降 東的固二如上所述’昇華基座係由填人有比如是粉 3„先驅物之容器所構成,這時昇華基座會有通 i U =昇華基座會被消耗,並且受到通道效應的影 二’载亂_於_原料的表面積會減少,此 少固 =料蒸氣在載氣中的飽合程度。當㈣基座^固體原 時,則昇華基座的阻力會隨著時間而改 變’亦即昇華基齡隨鱗_削、,且各觸先驅物粒 11926pifl 9 200952073 子會隨著時間而改變形狀。 料會覆蓋在支㈣介的表面上,而相較於自由 液體表面在相同的容器内之情況下,所謂大的“表面積,, 係指支撑媒介具有較高的比值,此比值係由已覆蓋之固體 原料暴露於外的表面積除以容器之㈣部分之體積而得。
浮動支撐構件係指固體先驅物可以覆蓋的構 件,此構件可以裝人到容n中,其形狀比如是珠子狀的、 圓柱狀的、線條狀的或環狀的,並且此構件亦可以從容器 中移開。在齡的情況下’每-軸支_件係小於約& 的圓柱直徑或寬度,藉以避免通道效應的發生,及確保適 當側面混合的情況。 ' 固疋媒介係指不能浮動的媒介,亦即係指在製造 過程中係為固定形式之媒介。 “載氣接觸通道”係指載氣經過固體原料裝置的路 徑’且當載氣經過此路徑時,載氣係接觸於固體原料表面。
請參照第1圖’其緣示依照本發明一較佳實施例之具 有昇華設備之基板製造系統的示意圖。昇華設備具有一容 器1 ’連通於載氣源4與蒸汽沉積室5之間,蒸汽沉積室5 可以是一化學氣相沉積(CVD)室,在較佳的情況是原子層 沉積(ALD)室,其包括一脈衝機構(未繪示),而脈衝機構包 括脈衝閥、管路、氣體源及適當的控制電路或軟體。在較 佳的情況下,原子層沉積室可以在每一次沉積過程(pulse) 中,交替製造蒸氣的使用及自我停止(self-limiting)地沉積 製造蒸氣’藉以形成單層的結構或少於單層的結構。在較 H926pifl 10 200952073 2的下爲了每一次的反應,可以將輸入管路分開, ,以=混。的風險及由此而料的化學氣相沉積之反 f ㈣路’可减昇華容器1連接至載氣源4, 八中此氣體官路係連接至昇華容器1之人π連接部。而藉 由另一氣體管路’可以使蒸汽沉積室5連接至昇華容器卜 其中此氣體管路係連接至昇華容器1之出口連接部。 ❿
在另-較佳實施例中’亦可以不需利用載氣來傳送反 應爭氣到蒸汽_室中。藉由蒸氣壓力與反應器壓力之間 的壓力差所形_職氣流,可錢未稀騎蒸氣反應物 1人積Ϊ中。熟悉該項技藝者應知透過“氣流‘‘或 載軋氣流來傳送蒸氣反應物的過程,會受到載氣壓力 (ΔΡ)及化學反應器壓力差所影響。在較佳的情況,由固體 源容器所流出的氣流係為飽合的狀態,藉以進行ALD之 沉積過程。(母一次沉積過程係進行秒到i秒之間而 每次沉積過程之間係隔1秒到1分鐘之間) 在其他的較佳實施例中,在輸出所想要的稀釋混合物 之前,可以加入另一氣體於載氣中及混合的蒸氣反應物 中’此稀釋的過程可以在容器出口連接部3與蒸汽沉積室 5之間進行。值得注意的是,除了沉積反應之外,比如是 蝕刻步驟及吸氣步驟等,可以在反應室的下游進行,視氣 相反應的應用而定。 請參照第2A圖’其繪示依照本發明一較佳實施例之 半導體製造系統之昇華設備29的示意圖,昇華設備29係 利用一覆蓋有固體原料之支撐媒介作為導引裝置。在本實 11926pifl 11 200952073 施例中,支撐媒介係由“浮動支撐構件”所構成,“浮動 支撐構件”比如是珠子ό,係裝入於昇華容器工中,藉由 這些珠子6可以形成彎曲的通道,容許載氣通過。載氣系 由入口連接部2進入,在經過珠子6之後,會從出口連接 部3流出。在較佳的情況下,昇華容器丨具有一填入口 8,
〇 用以置換這些支撐構件。入口連接部2與出口連接部3习 以定義出昇華容器i的主轴,昇華容器i係為圓筒^的稽 式,其中入口連接部2係位在圓筒狀之昇華容器丨的一侧 而出口連接部3係位在圓筒狀之昇華容器丨的另一側,费 而熟悉該項技藝者應知昇華容器丨的形狀可以是任何適洽 的形狀。昇華容器i具有—加熱器26,藉以控制覆蓋在辑 子6上之固體原料7(如第2C圖所示)的氣化,而阻熱構 係環繞在昇華容器1昇華容器1的周圍。昇華容器i包相 分散的歧管(ManifoldX未繪示),位在入口連接部2及出口 連接部3 ’可以使載氣較均勻地流過昇華容器丨,使得 可以均勻地接觸覆蓋有_原料7之支_介。在本實施 =中’加熱器係位在昇華容器!之外壁内,然而本發明的 應用並不限於此’任何形柄可以進行加熱的步驟,比如 I以使昇華容器1在真空室内進行補加熱的步驟其中 在2·年5月14日所提出之美國專利申 、 號有揭露·加齡統之詳_容,而;^ 本案的參考資料。 第2Β圖繪不第2Α圖φ显兹六>獎!认从 由玫子6中昇華容的放大示意圖。箱 珠子 域數量極多的餘通道9,使得載氣可以 U926pifl 12 200952073 大面積地接觸於覆蓋於珠子6上的固體原料,藉以形成飽 合的蒸氣反應物,而在每次流動過程中,此飽合的蒸氣反 應物會流過昇華基座上。熟悉該項技藝者應知若是在無限 長的時間下進行沉積製程,則飽合的蒸氣反應物會一直流 過昇華容器1。 如第2C圖所示,固體原料7可以覆蓋於比如是珠子 6之支撐構件上,而固體原料7可以形成氣體反應物,藉 ©以進行沉積製程。在較佳的情況下,珠子6的寬度或直徑 D係大致上大於覆蓋之固體原料7的厚度c,可以確保覆 蓋之支撐構件的形狀,因此昇華基座之整個流動阻力並不 會隨著時間及固體原料的消耗作大幅度的變動。支撐構件 的體積並不會太大,因此由支撐構件所形成之支撐媒介可 以具有較大的表面積。在較佳的情況下,C/D之比值係藉 於〇_〇1到0.3之間’比如是介於〇.〇5到0.15之間。珠子 的體積係取決於整個昇華容器的體積,舉例而言,珠子的 體積及粒子的體積會受到整個昇華容器之體積影響,就大 ® 的昇華容器(12英吋的直徑及12英吋的高度)而言,粒子的 數目比如係藉於10,000到100之間,而珠子體積除以基座 體積所得之比值係介於5x10-5到0.01之間,在較佳的情 況下’係介於0.0002到0.005之間。 珠子6包括有活性不佳的材質,比如是氧化鋁(A12〇3) 或熔融的硅石(silica) ’在較佳的情況下,珠子6還包括有 導熱性佳的材質,比如是不鏽鋼、赫斯特合金(hastdl〇y)、 鎳、硼氮化合物(BN)或其他適合的材質。而支撐構件亦可 11926pifl 13 200952073 的·化合物(sic)。 °以形成數量極多的載氣通道9 容在;咖時間下進=程==ΐ 在另一較佳實施例中,如第 ^ 件6比如是藥丸狀的形式、球所:之洋動酬 是環狀的形式等。然而,在化=;=:形式、或 子狀的、藥丸狀的、球狀的或環^f構件(比如是珠 本實=何= 、-動丄f 以使载氣在非線性的路庐上 l是===:“蓋製程時::: 在較佳的情況下,過渡器u可以裝設並填人於昇== 11926pifl 14 200952073 内’當作為蒸氣反應物沉積之用的固體原料用盡時,可以 快速的置換過濾器11。第3B圖繪示昇華容器1之部分區 域的剖面示意圖,而第3C圖繪示在第3B圖中過濾器11 之放大剖面示意圖。過濾器11比如是由過濾器纖維12所 構成’過濾器纖維12覆蓋有可以作為蒸氣反應物之固體原 料覆蓋物7(或是固體先驅物質),藉以增加氣流接觸通道9 的表面積及氣流接觸通道9的數目,使得蒸氣反應物能飽 合於載氣中。纖維寬度除以覆蓋厚度所得之比值係可以在 ❹一範圍内,接下來在討論浮動支撐構件時,會提及此比值 的範圍。另外’在較佳的情況下,昇華容器i具有一加熱 器26,藉以控制固體原料覆蓋物7之氣化過程。 請參照第4A圖,覆蓋有固體原料之固定媒介比如是 多交錯隔板結構13的形式,每一交錯隔板14均覆蓋有固 體原料’可以作為氣體反應物之用。在較佳的情況下,多 父錯隔板結構13係位在昇華容器1内,亦即多交錯隔板結 構13可以裝配於昇華容器1之内部空間中。第4B圖繪示 G 第4A圖中每一交錯隔板14之出口端的剖面示意圖。藉由 交錯隔板14可以形成多個平行通道24,且每一平行通道 24具有相等的表面積及阻力,藉以減少在出口連接部3的 載氣有不元全飽合的現象發生(請參照第4C圖及其相關說 明)。請參照第4A圖及第4B圖,由交錯隔板14所形成的 每-平打通道24均可以是㈣的載氣接觸通道,其係依照 多交錯隔板結構13之長度方向延伸(如第4A圖所示)。再 者,在較佳的情況下,昇華容器1還具有-加熱器26,藉 11926pifl 15 200952073 以增進及控制覆蓋於交錯隔板14上之固體原料7的氣化。 然而,本發明之多交錯隔板結構並不限於使交錯隔板 14垂直切斷而連結,而交錯隔板14之間的角度可以大於 或小於90度,舉例而言,多交錯隔板結構之剖面比如是三 角形重疊的圖案。 第4C圖係為第4B圖中多交錯隔板結構之部分區域的 放大適意圖。固體原料7係覆蓋於交錯隔板14之表面上, 而值得注意的是,在交錯隔板14連結之後,可以構成平行 通道24,而平行通道24必須要甚窄,使得載氣可以在高 接觸比率的情況下,接觸覆蓋有固體原料之交錯隔板14 的表面上,使得固體原料會形成氣體反應物。比如可以將 交錯隔板14暴露在具有飽合之固體原料的蒸汽中,藉以形 成該固體原料於晶圓上。而交錯隔板14比如是由石英所製 成。 在另外的較佳實施例中’為了要使載氣能夠在更彎曲 的載氣接觸通道中流動’由交錯隔板所構成的氣流接觸通 道可以是非線性的形式’此時交錯隔板比如是非平行的排 列’亦即可以是間隔(offset)排列或是交錯(staggered)排 列’或者可以藉由在交錯隔板之表面上增設孔洞來達成上 述目的,此時交錯隔板可以是由多孔性材質所製成。 請參照第5A圖’在昇華裝置29中,覆蓋有固體原料 之支撐媒介比如是簾幕筒15的形式,其係位在昇華容器1 中。簾幕筒15包含有多個簾幕16,而簾幕16係在載氣流 動路徑上豎立地堆疊。昇華容器1具有至少一密封開口(未 11926pifl 16 200952073 繪示)’藉以置換每一簾幕16,而在位於上游的簾幕16上, 作為氣體反應物之固體原料係為接近用盡的狀態,必須要 進行置換的動作;而在位於下游的簾幕16上,作為氣體反 應物之固體原料係為未用盡的狀態,當在置換接近用盡狀 態的簾幕16時,這些未用盡狀態的簾幕16依然可以保留 在簾幕筒15内之適當位置上。再者,在較佳的情況下,昇 華容器1還具有一加熱器26(位在昇華容器1之外殼内), 藉以增進及控制覆蓋於簾幕16上之固體原料7的氣化(如 ❹第5b圖所示)。 第5B圖繪示第5A圖中簾幕16之剖面示意圖。每一 簾幕16均具有簾幕纖維π,而第5C圖係為第5B圖中簾 幕16部分的放大示意圖,如第5C圖所示,固體原料7可 以覆蓋於每一簾幕纖維17上。在較佳的情況下,簾幕纖維 17係排列成一致重疊的形式,而如第5c圖所示之簾幕纖 維17可以利用編織的方式所製成。 第6A圖繪示本發明之另一較佳實施例,覆蓋有固體 〇 原料之固定媒介比如是具有平行且分開的氣體接觸通道之 氣流導引結構’而該氣體接觸通道比如是由導通管19所構 成,導通g 19係位在導通管束μ之内,並且係位在昇華 容器1中’如第6B圖所示。昇華容器1具有歧管(未繪示), 可以引導氣體從窄的入口連接部2,平均地分散到導通管 19中’然後再利用上述的方法使氣體通過由寬變窄的路 #,而集中從出口連接部3流出。熟悉該項技藝者應知藉 由歧管可以增進氣流經過昇華容器1中的分佈情形。在較 11926pifl 17 200952073 佳的情況下,昇華容器1還具有一加熱器26,藉以增進及 控制覆蓋於導通管19上之固體原料7的氣化(如帛6(:圖所 示)。第6C圖綠示第6A圖及第6B圖中位在導通管束Μ 内之導通管19的立體放大示意圖,由圖可知,固體原料7 係覆蓋在導通管19之内壁上。 請參照第7A圖,第7A圖係繪示本發明之另一較佳 實施例,覆蓋有固體原料之固定支撐媒介比如是螺旋狀導 通管20型式之氣流導引結構,螺旋狀導通管2〇係分別與 入口連接部2及出口連接部3連接,並且係位在昇華容器 1内。在較佳的情況下,螺旋狀導通管2〇係緊密地螺旋環 繞,因此可以提供覆蓋有固體原料之單一接觸通道有最大 的長度,當載氣流經螺旋狀導通管2〇中時,裁t舍接 經過該接觸通道。另外,昇華容器丨還具有一加熱器 藉以增進及控制覆蓋於螺旋狀導通管2〇上之固體原料7 的氣化。當然,螺旋狀導通管20亦可以是不裝在昇華容芎 1内,如第7B圖所示,但是在較佳的情況下,螺旋狀導通 管20上還是要裝配有加熱器26。 第7C圖繪示第7A圖及第7B圖之螺旋狀導通管的放 大示意圖,其中固體原料7係覆蓋在螺旋狀導通管2〇之内 壁上,在較佳的情況下,螺旋狀導通管2〇之整個長度均 蓋有固體原料7。 固體原料會從入口連接部至出口連接部隨著時間的 經過逐漸減少,在符合原子層沉積(ALD)之載氣流率的情 況下(50-500〇sccm之混合載氣與化學原料之流率或是 11926pifl 18 200952073 ❹ ❹ 5-500sccm之化學原料之流率)’在氣體(含有載氣或是不含 有載氣)經過螺旋狀導通管20之整個長度約略2〇%或小於 20%的部份之後,該氣體會達到接近飽合的狀態(在某一溫 度下,係大於95%之化學原料的飽合蒸汽壓)。因此,昇華 谷器1可以提供五倍的滯留期間’就柱塞流滯留時間分佈 (PFRs)而言’許多分散流動的反應物或層流流動的反應物 可以達到大於95%之飽合狀態。由於支撐媒介係為彎曲的 狀態,並且爲了避免傷及覆蓋於螺旋狀導通管2〇之内壁上 的固體原料,因此在較佳的情況下,要將螺旋狀導通管 精準地裝配於昇華容器i内。在一種情況下,可以藉由蒸 發及凝結的技術將氣化铪(HfC14)形成於螺旋狀導通管& 上。螺旋狀導通管20之内徑比如係介於〇 25英寸到2英 ,之間,而固體原料之厚度比如係介於〇 〇25英寸到〇乃 ^寸之間。就每-化學反應之氣體流動過程而言,如果 每一反應時間之情況下連續地流動,則 華Γ長度必須要足夠長,使得載氣在經過昇 谷 日夺’在較佳的情況下’可以有五倍的滯留時間 。而螺旋狀導通管20的長度係取決於化i 器二Ϊ等?Ϊ、氣體流率、容器壓力、反應物壓力及容 氣可=容器1會依照上述參數設計,使得載 兄』以達到原子層沉積(ALD)的條件。 雖然本發明係以可以做為半導體 統為例,然而熟悉該項技藝者應知,本=體 應用在可以做為各種基板製造之基板製程系=^亦可以 11926pifl 200952073 在本發明的實施例中,比如可以利用昇華凝結技術、 靜態粉末塗佈技術、溶劑蒸發技術、化學氣相沉積技術、 原子層沉積技術或是其他熟悉該項技藝者應知的技術,形 成固體原料於氣流導引結構上,而固體原料比如是氣化酷 (ZrC14)或是氣化铪(HfC14)。在1999年1月π曰出版的 WO 99/229924之文獻中,藉由原子層沉積技術可以將作 為蒸氣反應物之固體原料形成於導通管之内壁上,該文獻 之技術内容可以做為本案的參考資料。 在較佳的情況下,塗佈有固體原料之導引結構可以是 惰性材質,比如是氧化鋁(A1203)、鋁或二氧化發(Si〇2), 而塗佈有固體原料之導引結構亦可以是導熱性材質,比如 是碳化矽(SiC)。 固體原料暴露於載氣中的表面積除以支樓媒介之體 積所得的比值’係隨者實施例的不同而可以調整。舉例而 言,在第6A圖之實施例中,其比值比如要大於,在 較佳的情況下’其比值比如要大於2cm-l。在第3A圖之實 施例中’其比值比如要大於5cm·卜在較佳的情況下,其 比值比如要大於10cm-l。在第7A圖之實施例中,其比值 比如要大於O.lcm-1,在較佳的情況下,其比值比如要大 於 0.2cm-l 〇 固體原料的厚度除以支撐媒介之開口的尺寸所得之 比值會影響到是否要增加固體先驅物之表面積及是否要增 加載氣接觸通道的長度等因素,這些因素會決定在每次^ 學反應的過程中,載氣是否為飽合狀態。舉例而言,在導 11926pifl 20 200952073 通管與導通管束的實施例中’固體原料的厚度除以導通管 開口直徑所得之比值比如介是於0.01與0.30之間,在1 佳的情況下’比如介於G.G5肖〇·15之間。在交錯隔板= 實施例中’固體原料的厚度除以交錯隔板之間的開口寬度 所得之比值比如是介於0.01與0.30之間,在較佳的情= 下,比如介於0.05與0.3之間。而在覆蓋有固體原料之簾 幕纖維的實施例中’其比值可以參考前述用於浮動構件之 比值。 在較佳的情況下’覆蓋有固體原料之固定媒介可以緊 密地裝配於昇華容器内,因此當在安裝固定媒介於昇華容 器中時,可以避免因爲固體媒介折彎而傷及固體原料。 請參照第8Α圖,昇華裝置29包括比如是流體導引裝 置22之氣流導引結構,而流體導引裝置22係位在基板製 造系統内。相同於傳統的配置’昇華容器1具有固體原料 21,其係為單一連續層的形式,在較佳的情況下,係為粉 狀固體原料化合物。在較佳的情況下,流體導引裝置22 巧以引導載氣在在彎曲的接觸通道中流動,比如是氣流接 觸通道9’並且載氣會接觸固體原料21。流體導引裝置22 孫從昇華容器1之上板延伸到下板,在較佳的情況下,整 個氣流接觸通道9均是上述的結構。載氣可以從昇華容器 1之入口連接部2進入到固體原料接觸通道中,值得注意 的是,固體原料接觸通道會大於入口連接部2與出口連接 部3之間的直線距離Ε,在較佳的情況下,係至少大於兩 倍的直線距離Ε。在沒有流體導引裝置22的情況下,载氣 ll926pifl 21 200952073 會沿著直線路徑E流動’此係為不佳的固體原料接觸通 道,會導致載氣與整個固體原料表面接觸的情形不佳。另 外’在較佳的情況下,昇華容器1具有一加熱器26,藉以 控制粉末狀固體原料21之氣化過程。加熱器26係位在昇 華容器1之外殼中,而加熱器26比如是位在低壓容室中的 輻射加熱器,而低壓容室可以容納昇華容器1。 第8B圖繪示第8A圖之剖面示意圖。流體導引裝置 22係位單一且彎曲的氣流接觸通道,比如是螺旋狀的氣流 接觸通道9,如圖所示。藉由流體導引裝置22可以増加載 氣與固體原料表面積接觸的機會,而可以避免載氣從入口 連接部2流入之後,就直接從出口連接部3流出。如果當 載氣是直接流出時’載氣僅會接觸位在直線路徑E上之粉 末固體原料,而形成蒸氣反應物。本發明可以提供較長的 載氣通道及可以增加載氣在昇華容器1内之滯留時間,並 且可以簡化基座的設計,故可以簡易地更換粉狀固體原 料。由於流體導引裝置22係為可拆除的裝置,而昇華容器 1係可以裝回到基板製造系統内,如此可以提升效率及減 少成本。 / 請參照第9圖,其係說明利用本發明之結構所進行的 製程,其中包括由固體原料所蒸發出之蒸氣反應物滲入於 载氣中並使載氣飽合的過程。在步驟1〇〇中,比如可以利 ,,續加熱固體原料的方法,使得固體原料蒸發,而形成 蒸氣反應物,而藉由加熱固體原料,使得固體原料的蒸氣 壓可以到達O.lTorr.到lOOTorr.之間。就氣化铪(Hfa4)而 11926pifl 22 200952073 言’必須要將溫度加熱到140°C與265 C之間。在步驟no 中’可以通入載氣於覆蓋有固體原料之支撐媒介中,其中 固體原料可以做為蒸氣反應物(第一反應物)。在步驟12〇 中,當載氣流經覆蓋有固體原料之支撐媒介中,蒸氣狀態 的第一反應物會滲入並飽合於載氣中,比如在連續的流動 過程或化學反應過程中,爲了使固體原料所蒸發出的蒸氣 反應物可以飽合於載氣中,載氣在傳送時,載氣可以接觸 大面積的固體原料。在步驟130中,飽合的蒸氣會流入到 ® 反應室中,會發生自限的飽合反應(self-limited, satumtive reaction),比如會進行不會超過一層的化學吸收作用 (chemisorption),這時載氣並不會流入到昇華容器中,並且 含有蒸氣反應物之載氣從昇華容器之出口連接部流出的動 作會短暫地中止,或者載氣可以從經過昇華容器的流道轉 而流向昇華容器的旁通管。接著’在步驟14〇中,會進行 排出過程A,使得過量的第一反應物可以從反應室中排 出。接著,在步釋150中,第二反應物會以規律地時間間 ❹ 隔輸入於反應室中,其中第二反應物係不同於第一反應 物,並且在較佳的情況下,並不會藉由有提供第一反應物 之昇華谷器所傳送。第二反應物比如是可以與之前吸附於 基板上之物質(其係藉由可以做為蒸氣反應物之固體原料 所生成)產生反應,如此可以藉由化學吸附的方式在基板上 形成不會超過一層的單層結構。接下來,在步驟16〇中, 會進行排出過程B,使得過量的第二反應物可以從反應室 中排出。如果沉積有足夠的厚度,則會停止沉積的步驟 11926pifl 23 200952073 Π0。如上所述,在第9圖的實施例中,僅以兩個反應物之 原子層沉積製程為例’當然,本發明亦可以應用在超過兩 個反應物之原子層沉積製程。 第10圖至第17騎示依照本發明另—較佳實施例之 固體原料容器200之示意圖。容器細可以提供應用在商 業上之固體原料粉末具有較大的接觸面積,但是並沒有提 供依照客戶需求設計之覆蓋有固體原料之支樓結構。然而 熟悉該項技藝者應知,第1()圖至第17圖所示之容器2〇〇 亦可以與前述之結構結合使用。由於f曲的通道會經過多 個基座’其中基座係位在具有單—可打開之開口的結構 内,因此當要裝入新的固體原料於容器2〇 幅簡化拆裝的程序。 入 裝第J〇圖至第12圖’容器2〇0包括-外部盛 广在2〇料5月15曰提_ 〇〇1166號之專利案係對應於在 號之直㈣月22曰公開的美國專利公開第2001/0042523 ’ L文:係、以“Kesala,,稱呼,其係揭露-種 =的結構,裝配有-内部盛裝結構,可以方便地盛裝或 體原料。上述的專利案係可作為本案的參考資料。 ,本實施例中,外部盛裝結構2()5具有—凸緣2〇7 可以拴合於外部盛裝結構205之凸緣2〇7上, 悉己=技藝者應知,亦可以利用其他方法係可拆卸式地裝 配羞板210於外部盛裝結構2〇5上。 :f 的形式’並且蓋板210包括一入口導管215、== 11926pifl 24 200952073 220及多個手動隔離閥222、224、226,當在打開 要維修或裝填固體原料時,會使用到手動隔離閱從、 224、226。透過手動隔離閥222、224、226來控制氣漭是 否要直接流過容11、從容ϋ流出、或是繞過容H,藉二使 蓋板=0可以卸下,並可以裝填固體原料於容器中。曰
凊參照第13圖至第18圖,本實施例並非提供單一的 内部盛裝結構,並且在承載單一的固體原料基座時,並不 會受到嚴厲的考驗。在本實施例中,隔開的及長且彎曲的 路徑會經過多個固體原料基座,而此結構係位在單一的外 部盛裝結構205内。基座並非是獨自裝填的容室,基座係 位在多個堆疊的托盤内,如此簡單地藉由托盤之相互連接 關係,可以形成隔開且彎曲的流道。在本實施例中,係堆 疊有四個托盤,其中三個是上托盤23〇,而一個是下托盤 240。托盤的數目會隨著參數變化,而這些參數比如是生華 率或載氣的流動情形等。 請參照第14圖及第15圖’每一上托盤230包括一實 心隔板231及一非完全遮蔽的隔板232,實心隔板231係 延伸至上托盤230之整個高度,並且氣流並不會流過實心 隔板231。在較佳的情況下,非完全遮蔽的隔板232包括 一篩板233 ’當載氣流過篩板233時,藉由篩板233可以 過濾掉大顆粒的先驅物粒子。在本實施例中,篩板233係 位在隔板232的上方區域,並且非完全遮蔽的隔板232係 為一實心面板,係等於上托盤230之整個高度,而環狀側 壁234係等於上托盤230之整個高度。實心隔板231及非 11926pifl 25 200952073 完全遮蔽的隔板232係共同定義出一主要區間235及一外 部通道區間236,其中主要區間235可以裝載固體原料, 而外部通道區間236位於上托盤230之下表面處的位置係 為打開的狀態。上托盤230包括一中心部位237,而中心 部位237具有一中間通道238、多個銷栓239及多個孔洞(未 繪示),其中中間通道238可以容納氣體流入管路,銷检 239係位在中心部位237的上表面上,而孔洞係位在中心 部位237的下表面上,藉以容納位在下層之另一托盤之銷 栓。值得注意的是,位在中心部位237之下表面上的孔洞 係可以相對於位在上表面上之銷栓239做旋轉地活動,使 得多個托盤可以準確地對準並接合,而定義出彎曲的流 道。在較佳的情況下,氣流暴露之主要區間235的角落處 係為圓弧形的樣式,如此可以減少因為主要區間之角落處 的間銳而引發之氣流停滯的現象。 請參照第16圖及第17圖,下托盤240包括一實心隔 板241,用以阻擋氣流經過,並且固體分離結構241之高 度等於下托盤240之高度,而氣流係可以流過非完全遮蔽 的隔板242。一開口可以與中間通道238連通,其中中間 通道238係位於位在下托盤240上方之上托盤230的中間 區域,如第18圖及第19圖所示。環狀侧壁244係等於下 托盤240之整個高度。環狀侧壁244、實心隔板241及非 完全遮蔽的隔板242係共同定義出一主要區間245及一外 部通道區間246 ’其中主要區間245可以裝載固體原料。 在較佳的情況下,固體原料係僅填入於主要區間245中, ll926pifl 26 200952073 並且填入的高度可以等於外部通道區間246的高度,或 者’固體原料填入於主要區間245的高度亦可以是等於主 要區間245之三分之一或三分之二的高度。下托盤240包 括一中心部位247、多個銷栓249及多個對應的孔洞(未繪 示)’其中外部通道區間246可以延伸到中心部位中, 銷栓249係位在中心部位247的上表面上,而孔洞係位在 中心部位247的下表面上,藉以容納突出在外部盛裝結構 205之底部的銷栓,而外部盛裝結構205可以參照第10圖 ❹及第11圖所示。 如第18圖及第19圖所示,其中第18圖係繪示容器 200之爆炸示意圖’第19圖係繪示容器200組裝後的剖面 示意圖。每一上托盤230之主要區間235及下托盤240之 主要區間245均盛裝有比如是粉末狀之固體先驅物。下托 盤240及多個上托盤230係相互堆疊,並且裝入到外部盛 裝結構205中。上托盤230及下托盤240之銷栓銷栓239、 249係相對準對應之孔洞,而氣流可以流入到每一托盤 〇 中,並且在較佳的情況下,氣流係以環繞的方式在每一托 盤之主要區間内流動,且環繞的弧度比如是至少200度(甚 至到355度)’然後再入到上托盤230之外部通道區間 236中。在上托盤230及下托盤240装入到外部盛裝結構 205中之後’蓋板210關住並密封住外部盛震結構2〇5。從 蓋板210處延伸的中間管路可以向下延伸到上托盤23〇之 中間通道238巾’並與下托盤240之外部通道區間施 通。此裝置的組裝可以在手套射進行。在較麵情況下, 11926pifl 27 200952073 彈簧或是類似的構件可以裝設於下托盤240的下方,並且 可以對所有的托盤230、240施壓,藉以防止氣體從中心部 位漏到其他地方。 在操作上,惰性氣體可以從中間的入口導管215流 入並且可以水平地流經長且彎曲的流道,在較佳的情況 下,係以環繞的方式流經每一托盤23〇、24〇之主要區間, 而環繞的弧度比如是至少2〇〇度到350度之間,接著氣體 才垂直地流出托盤230、240。在本實施例中,比如是惰性 氣體之載|§可以從中間的入口導管215流入,其中入口導 ❹ 管215可以向下延伸到上托盤230之中間通道238中,並 與下托盤240之外部通道區間246連通。載氣可以彎曲地 流經位在主要區間245内之固體先驅物,之後載氣可以流 過位於上方之上托盤230之下表面上的開口處。藉由此開 口 ’載氣及載氣所搭載的氣化之固體先驅物可以流入到位 在上方之上托盤230的外部通道區間236中,接著氣體可 以流過篩板233(如第14圖所示),並流入到主要區間235 中。這時,氣體可以環繞於主要區間235,並流經位在主 要S間235内之固體先驅物上,而環繞的弧度比如是至少 200度到350度之間,接著載氣可以流過位於上方之上托 盤230之下表面上的開口處。當載氣流到最上方之上托盤 230處時,載體可以從出口導管22〇處流出。而熟悉該項 技藝者應知,氣體亦可以是反方向地流經上述的流道。 在如上所述的較佳實施例中,載氣可以單一方向流過 每一托盤約略一圈。在載氣接觸通道中,載氣可以接觸於 11926pifl 28 200952073 固體先驅物,而其滯留時間至少要大於一倍之每一次化學 反應所需的時間,比如是兩倍,在較佳的情況下,係大於 五倍之每一次化學反應所需的時間,如此在每一次的反應 過程中,氣體先驅物均是飽合於載氣中。熟悉該項技藝者 應知,在主要區間中,空的區域之高度必須要足夠小,使 得氣體先驅物從固體表面擴散到通道頂部的時間會小於氣 體滯留時間。 在主要區間中’空的區域之高度的設計會受到載氣流 © 經托盤之流率所影響。而通道長度至少要足夠大,使得在 類似脈衝型之氣體流動過程(pulse)中,載氣之滯留時間會 大於每一次化學反應所需的時間,而在連續的氣體流動過 程中(或者是在長時間的每一次化學反應之氣體流動過程 中)’載氣之滯留時間要大於五倍之每一次化學反應所需的 時間。舉例而言,如果氣體速率係為13 m/sec,而在類似 脈衝型之氣體流動過程(pulse)中,流道的長度必須至少要 大於1.3公尺,才能使載氣在飽合的情況下流入到反應器 φ 中’在較佳的情況下,流道的長度係大於6.5公尺(5*1.3) 長’使得載氣可以在飽合狀態下。如果在類似脈衝型之氣 體流動過程(pulse)中,載氣之滯留時間太短時,會使得用 來昇華的固體無法完全地昇華於載氣中,導致載氣呈現未 飽合的狀態。1.3 m/sec之氣體速率會受到800 seem之載氣 流率及通道截面積所影響。如果流率減少時,則氣流通道 的長度則會等比例地減少,而如果在用於原子層沉積之反 應器的設計中,僅需要200 seem之氮氣流率,則最短或較 11926pifl 29 200952073 佳之氣流通道長度亦會減少。 在空的情況下(沒有托盤及粉末狀之固體原料),容器 的體積要小於3xl07mm3,而其直徑比如是12英吋,高度 比如是16英吋。在較佳的情況下’初始時固體原料所佔的 體積要大於容器之50%的體積,而托盤所佔的最小體積及 填入比率可以參照第20A圖至第20C圖。 如第20A圖至第20C圖所示,一個或多個托盤328、 329、330可以裝入於如第18圖所示之容器中。第20A圖 緣示被分隔的下托盤328,而第20B圖繪示上托盤329。 ❹ 在第15圖中,托盤230之主要區間235係僅具有單一的通 道,然而本發明的應用並不限於此,如第20A圖及第20B 圖所示,本實施例之被分隔的托盤330包括有未完全密封 之從屬隔板334,係將主要區間平行地分隔成第一托盤流 道340及第二托盤流道342。如此,載氣氣流331可以流 經第一托盤流道340,並且環繞托盤330之弧度比如至少 要大於200度,在較佳的情況下,環繞托盤330之弧度至 少要大於300度,接著,載氣氣流331可以旋轉180度, 這時載氣氣流331可以反方向地流經第二托盤流道342, 旅且環繞托盤330之弧度比如至少要大於200度,在較佳 的情況下’環繞托盤330之弧度至少要大於300度,之後, 載氣氣流331便會離開托盤330。藉由從屬隔板334之開 口 344可以使第一托盤流道340及第二托盤流道342連 通’使得第一托盤流道340之一端可以連接於第二托盤流 道342之起始端。藉由開口 344的配置,載氣氣流331可 jl926pifl 30 200952073 以是U字型的流動’亦及載氣氣流331可以是迴轉約略180 度,之後載氣氣流331便可以流入到第二托盤流道342中。 此外,在暴露於載氣中之開口 344的角落處可以是圓弧形 的樣式,如此可以減少因為開口之角落處的間銳而引發之 氣流停滯的現象。入口 350係位於第一托盤流道340之起 始端,且入口導管可以連接於入口 350,在較佳的情況下, 入口導管可以具有過濾元件335,藉以防止固體粉末進入 到入口内350及出口 354内,其中出口 354係位在第二托 ® 盤流道342之一端。出口 354可以作為載氣氣流331的出 口,使得載氣氣流331可以經由出口導管(未繪示)從第二 托盤流道342流入到位在上方之托盤(未繪示)中。 請參照如第20A圖所示之被分隔的下托盤328,入口 導管可以與托盤的中間區域連接,並且氣流可以經由入口 導管流入到第一托盤流道340中。入口導管除了是直線型 的樣式,亦可以是曲線型的樣式,而藉由未完全密封之從 屬隔板334可以使載氣氣流彎曲成螺旋狀(helical)的形 0 式。載氣氣流331之出口 354可以連接於位在上方之托盤 329的入口導管。如第20B圖所示,入口導管可以與入口 350連接,而載氣氣流331會輸送到托盤329中,其中載 氣氣流331可以沿著一方向流經第一托盤流道340,並且 環繞托盤329之弧度比如至少要大於200度,在較佳的情 況下,環繞托盤329之弧度至少要大於300度,接著,載 氣氣流331可以反方向地流經第二托盤流道342,並且環 繞托盤329之弧度比如至少要大於200度,在較佳的情況 11926pifl 31 200952073 下,環繞托盤329之弧度至少要大於300度。 在第20C圖中,藉由未完全密封之從屬隔板334可以 使載氣氣流331沿著一方向在托盤内以環繞的方式流動’ 其中載氣氣流331可以流經第一托盤流道340 ’並且環繞 托盤330之弧度比如至少要大於200度,在較佳的情況下, 環繞托盤330之弧度至少要大於300度,接著,載氣氣流 331可以旋轉,這時載氣氣流331可以反方向地流經第二 托盤流道342,並且環繞托盤330之弧度比如至少要大於 200度,在較佳的情況下,環繞托盤330之弧度至少要大 於300度,之後,載氣氣流331便會離開托盤330。過濾 元件333係位在第二托盤流道342的一端,而位在出口 354 之前,其中出口 354係位在第二托盤流道342的一端。出 口 354可以作為第二托盤流道342的出口,使得載氣氣流 331可以經由出口導管(未繪示)從第二托盤流道342流入 到位在上方之托盤(未繪示)中。 在另外的較佳實施例中,如第20A圖至第20C圖所示 之托盤’氣體亦可以是反方向地流經上述的流道,亦即第 一托盤流道可以轉變為最内層的流道,而第二托盤流道可 以轉變為最外層的流道,反之亦然。值得注意的是,相較 於第15圖所示的托盤,如第20A圖至第20C圖所示之托 盤可以增加昇華基座之有效長度,因此可以增加平均的滞 留時間。 接著’比較美國專利第6,270,839號專利案與本發明 的不同處。本發明係揭露彎曲狀的或迴旋狀的路徑可以在 11926pifl 200952073 内的固體原料。然而,美 =利第6,27〇,839號專利案並未提及氣流可 長^方向上水平流動之概念,並且在美國專利第S在謂卿 號專利案中’係假設在每-滅之環形路徑巾之任一點且 2等的阻力’而氣體會流經在環形路複上之—個或多個 刀.點。
❹ 在較佳的情況下,可崎設-加Μ(树示)於昇華 裝置29内’藉由加熱器可以使位於昇華容器!内之固 料先驅物保持在-溫度下或高於某—溫度,此咖體原料 先驅物可以_在縣蒸賴下。在如制賴本發明 中,熱源可以包括輻射加熱器,係位在空的昇設29 内。在較佳的情況下,可以裝設反射片於昇華設備29内, 使得輻射能量可以反糊昇華容器丨巾。詳細内容可以參 ,在2001年5月14日申請之美國暫時申請案第 09/854,706 號所揭露之加熱器,其中加熱器係位在昇華裝置内,該專 利案的内容可以作為本案的參考資料。 第21圖繪示在原子層沉積過程中沉積一原子層於基 板表面上之方法流程示意圖。在該過程中,經過昇華容器 之載氣的流動可以暫時中斷,此時在昇華容器内之反應物 蒸氣壓力會瞬間增加^在步驟4〇〇中,載氣會接觸位在昇 華容器内的固體原料先驅物,使得氣體先驅物會大致上飽 合於載氣中。在步驟41〇中,大致上飽合之載氣可以從昇 華容器中流出’經過導管,再流入到製作基板的容室中。 在步驟420中,大致上飽合之載氣可以依照一頻率流入於 II926pifl 33 200952073 製,基板的容室中。在步驟巾,鱗止大致上飽合之 載氣流出昇華容器之動作,在關_ 料先驅物會繼續進行蒸發反應。在步驟!^中藉= 氣輸入於容室中,可以傕大轻,始人r精由將m 出。知m歸-二使飽之魏從容室中流 出如第21圖所不的較佳實施例,在每一次的反應中,使
Si:驅:Ϊ致上飽合於載氣中的過程包括加熱固體 =枓先驅物’而先驅物的統壓力比如是介於μ τ〇 lOOTorr 之間。 :氣=-頻率流入於反應室中、載氣停止流入於反 ^至:、载氣從反應室_流出’上述的步驟係構成一個循 虽在讀料層時,上述_環至少要重倾行兩次。 室::::::昧昇華容器的補料期間要小於載氣從反應 中肌出所需的_ ’亦即昇華容H的補料_比如是介 =毫:,秒之間’亦即是小於從載器停止流入於反 需的時間。在較佳的情況下,每次=進入 於反應至的時間係至少持續5〇毫秒,在較佳的情況下,比 如^介於^秒到1G秒之間。在每次循環之後基板的表 ==ί氣體先驅物’由於空間阻礙的原因,先驅物吸 附的位置可以作為基板上的反應點。每—次的反應至少需 要1〇〇,_次以上的循環,在較佳的情況下’需要500,_ 次以上的循環。 ’ 在第20 1中,係描述單一反應物的循環過程。然而, 該方法可以應用在兩個或多個不同之反應物上,在如第$ 11926pifl 34 200952073 圖(包括第一反應物及第二反應物)所示的少驟中。 在一實施例中,當在進行每一次循環時,並不會有超 過一層之先驅物沉積,而每一層的厚度係約略介於1埃到 5埃之間。舉例而言,可以注入具有一第二氣體先驅物之 載氣’其中第二氣體先驅物係由第二固體先驅物蒸發而 成’而第一氣體先驅物係大致上飽和於載氣中。基本上, 第二先驅物在自然狀態下係為氣態的樣式,比如是氨氣 (NH3)、氧氣(〇2)等。 ❹ 在較佳的情況下,昇華容器内具有柱塞流式(plug flow)的滞留時間分佈’如此可以使載氣延著昇華容器的主 轴流動’藉以進行原子層沉積的步驟。藉由將載氣接觸於 位在氣體接觸通道上之固體先驅物,可以生產具有氣體先 驅物的載氣’其中通道的長度係大於通道的寬度。或者, 藉由將載氣接觸於位在支撐媒介上的固體先驅物,可以使 氣體先驅物飽和於載氣中。 在較佳的情況下,還具有載氣導引結構,可以增加載 〇 氣接觸於固體原料的表面積,使得載氣可以搭載足夠的氣 體反應物,且在每一次的反應期間内載氣可以達到飽和的 狀態。即使在超過100,000次的載氣沉積反應下,而每次 反應,過0.1秒,在較佳的情況下係大於3〇秒,載氣可以 直疋飽和的狀態,而在具有高外型比(aspectrati〇)之結構 下,氣體反應物可以擴散到晶圓的溝渠中。另外,藉由熱 f可以,加1S體原料的蒸氣壓力,藉以形成蒸氣反應物。 藉由載氣導引結構可以使載氣在長且彎曲的接觸通道中, 11926pifl 35 200952073 接觸於氣體反應物,其巾侧通道的長纽如是大於兩倍 的入口連接部與出口連接部之間的直線距離,在較佳的情 況下’接觸通道的長度比如是大於2 5倍的入口連接部與 出口連接部之間的直線距離,或者甚至是大於4倍的入口 連接部與出口連接部之間的直線距離。 在,佳的情況下,昇華基座可以是具有大容量的開放 空間’藉以提*載氣的傳導率,如此即使當固體原料隨著 時間減少時’昇華基座之傳導亦不會產生太大的變化。而 就原子層沉積而言’支樓媒介或導引結構可以重複地使氣 體反應物鮮於飢巾,其中錢續進行沉積反應時,沉 積反應之間的間隔比如是大於〇4秒,而小於1〇秒,或者 在其他較佳實施例中’比如是小於3〇秒, 在設計昇華基座時,較佳的情況下還要考慮『有效基 座距離』。而不論固體原料是否用盡,『實際基座距離』係 指載氣剛接觸固體原料到载氣不再接觸固體原料(一般係 指載氣流出昇華基座的位置)之間的距離。『實際基座距離』 係為一常數,並不會隨著昇華基座已使用的時間而改變; 而『有效基座距離』會隨著固體原料的用盡而減少。當載 氣進入到昇華基座之-端後,魏會在昇華基座内流動, 使得載氣可祕載由SI體原料所昇華成的氣體反應物。假 s免在穩定流體的狀態下,最靠近載氣入口處之固體原料會 先被用盡,而最遠離載氣入口處之固體原料會最後被用 盡。如果載氣的流率係為固定的狀態下,固體原料飽和於 載氣中的位置會固定在昇華基座内之某一有效位置,或稱 11926pifl 36 200952073
Ο 為飽和位置,而在㈣基座内之該有效位置之後的區域 中,固體原料亦飽和於載氣中。在固定的流率與溫度下, 田^在昇華基座上之某—區域的固體原料有用盡的情況發 生時,飽和位置會隨著_更靠近於觀的出口處,然而 『有效基座雜』之起始處錢和點之_雜依然係為 常數’直到所剩下的固體原料已不能使載氣飽和為止。位 在昇華基座上的固體原料會隨著時雜,在較佳的情 況下’即使固體原料的消耗已經$伸到昇華基座之下游 ,’依然可崎載氣麵,亦g卩氣體先驅物可讀和於載 氣中。如果在出口處,氣體先驅物具有9〇%到1〇〇%之間 的飽和蒸汽壓,則可以視為氣體先驅物係飽和於載氣中。 爲了使柱塞流(plUg fl〇w)之混合行為達到最佳化的狀 態,可以柱塞流的行為符合下列公式。在穩定狀態下,藉 由下列的方程式可以得出濃度[C(Z)],其係為昇華基座之^ 置的函數。
Zc - Q/A*k(方程式1),其中Q係為氣體的體積流量, A係為流體經過的截面積’ k係為固體原料昇華之 數(假設為第一級)。 藉由方程式一決定出Zc之後,接著便可以求出任何 位置的載氣濃度’或者在已知所需濃度之情況下,可以求 出物理長度(z)。
• Z c(z>=cL l~e Zc (方程式二) t— 在較佳的情況下,在設計昇華基座時,物理長度要大 於Zc ’使得載氣可以達到飽和的狀態。 11926pifl 37 200952073 在較佳的情況下’昇華基座要有安全的範圍,而多出 來的長度要視反應率的不確定性而定,或者要視柱塞流反 應器之理想性而定’或者要視隨時間物質消耗的特性而 定。藉由安全範圍的設計,即使當在進行製造過程時,載 氣之氣體流率係高於設計時預期的氣體流率,載氣還是可 以達到飽和的狀態。舉例而言,昇華基座所具有之有效基 座長度比如為1.25倍的正常基座長度,而所謂的正常基座 長度係定義為在數千次或是數十萬次之循環中,且每次循 環約歷時1秒,可以重複地使載氣飽和。當應用在單一晶 圓反應器時(晶圓尺寸比如為3〇〇mm),本發明之結構可以 適用在大於0.02 slm純先驅物之沉積反應,在較佳的情況 下,係大於0.04 slm之純先驅物;而當應用在批次晶圓反 應器時’本發明之結構可以適用在大於〇 5 sim純先驅物之 ;儿積反應’在較佳的情況下’係大於1 dm之純先驅物。 本發明之結構可以連續地使由固體原料所蒸發之氣體反應 物飽和於載氣中,比如是大於100 000次之沉積反應,而 每次反應比如是持續〇.1秒到1〇秒之間。 在其他的較佳實施例中’昇華基座所生產的氣流係接 近於比如是柱塞流之理想的滯留時間分佈,理想的柱塞流 係指在徑向方向上可以使流體粒子完全混合,而在轴向方 向上並沒有流體粒子混合。另外,理想的柱塞流係指在垂 直於流向的通道上具有均勻的速度輪廓,且此速度輪廓之 滞留時間係為一常數。舉例而言,若是將紅薄片及綠薄片 輪流地置入於理想的柱塞流反應器管道中,則此兩種顏色 11926pifl 38 200952073 之薄片可以在不交換顏色的情況下,流入於管道中與流出 於管道。第22A圖繪示在柱塞流反應器中時間變化與載氣 内先驅物之飽和濃度(Csat)之間的關係。第22A圖之圖表 係由下列的方程式所決定,該方程式係與在柱塞流中純載 氣轉換成飽和載氣的過程有關,其中係假設為第一順序昇 華·率·。
Cf = Csat(l_exp(-kr )) (方程式三) 其中’ Cf係代表在容器出口連接部先驅物的濃度, ❹ Csat係代表物質的飽和濃度,k係代表常數,τ係代表時 間。 攪動容器模型係為另一種極端狀況,在攪動容器模型 中係為無限擴散或完全混合的狀態。第22B圖繪示在理想 攪動容器中位在容器出口處之先驅物濃度與時間之間的關 係。第22B圖之圖表係由下列的方程式所決定。
Cf = (kr Csat)/(1+ kr ) (方程式四) 接下來,比較上述兩種理想流體混合(柱塞流與攪動 〇 容器)的極端狀況。在相等流率的情況下,τ係正比於反應 器的體積’當kr的值等於4.0時,柱塞流混合模型之飽 和程度係遠高於理想攪動容器之飽和程度。值得注意的 是’在柱塞流中,純的載氣轉換成飽和載氣之過程係具有 最佳的狀態。 然而’這只是理想狀況,實際上並不會有理想的柱塞 流或理想的攪動容器。而在另一較佳實施例中包含有柱塞 之?▼留時間分佈(residence time distribution,RTD),理想 11926pifl 39 200952073 的柱塞流係符合下列方程式。
Dj/uL = 〇 其中,D1 -反應器的有效擴散率,u =流體的轴向 速率,L=容器或反應器的長度。 然而,若是在理想的攪動容器模型中,係符合下列的 方程式。 D^uL = 〇〇 利用比如是方程式五之分散模型方程式,並使D/uL 之數值符合經由實驗所收集的容器反應曲線,如此可以決 定實施例接近於柱塞流之程度,其中容器反應曲線係與位 在容器入口處之濃度的改變有關。 c
1 \uL 1 一 0/0inf (方程式五) 包括有柱塞流模型及授動容器模型之各種分散模型 之反應曲線係如第22圖所示。在本實施例中比如是將氦氣 填入於昇華容器中或反應器中,並在每一次的沉積反應中 輸入氮氣,之後可以利用大量的分光器來量測氦的濃度, 藉以測定昇華容器之實際滞留時間。當實際滯留時間係等 於分散模型之反應器滞留時間在D/uL< 0.025的情況下, 或是當管狀反應器(或具有托盤之昇華基座)所產生的反應 曲線係類似於能夠產生層流的反應器,其中層流的流體反 應時間係不會小於一半的平均滯留時間,如此流體可以具 有類似柱塞流的行為,以符合本實施例的需求。 11926pifl 40 200952073 其他關於反應器之流體模型的詳細教述可以參照 Smith, J.M., Chemical Engineering Kinetics, 3rd Edition, McGraw-Hill Book Company, p. 268-291 (1981),其所揭露 的内容均可以作為本案的參考資料。 藉由本實施例’係可以使氣體先驅物飽和於載氣中。 如果在某一環境下’在昇華容器内或在反應器内之氣體先 驅物具有最大量之90%到1〇〇%之間的比例時,則可以視 為氣體先驅物係飽和於載氣中。本發明並不限於僅適用在 ® 純柱塞流的情況下,亦可以應用在其他的模型(比如是連續 的攪動容器模型、層流反應器或是具有低的D1/uL值的分 散反應器)’如果本發明應用於這些模型中,當在進行多次 且連續的沉積反應時,氣體先驅物亦可以飽和於載氣中。 在本發明中,係安裝適於流體流動的支撐構件,其中 固體原料係覆蓋在支擔構件上,藉以達到反應器能夠提供
柱塞流之目的,或者可以達到分散反應器係具有低的D/uL 值之目的。若是將安裝有昇華基座的反應器設計為圓筒型 〇 的樣式時,則可以提供趨近於柱塞流的流體,此乃因為彎 曲的路徑在徑向方向上具有甚佳的混合效果,而在長度方 向上具有較差的混合效果。其他關於具有昇華基座之反應 器的詳細敘述可以參照Smith,JM,Chemical Engineering
Kinetics, 3rd Edition, McGraw-Hill Book Company, p. 554_563 (mi)’其簡露的内容均可以作為本案的參考資 料。 少 當在考慮第10_2〇C圖所示之引導型粉末昇華基座的 11926pifl 200952073 變數時,可以參照下列的計算。下列的方程式係表示大量 擴散的傳送時間與通道高度及滯留時間之間的關係。 在某一通道高度下,從固體原料表面到通到頂部之大 量擴散的傳送時間可以由下列方程式表示: 2 τ姐〜—Dn (方程式6) 其中’ Η係代表氣體存在之通道的高度,D12係代表 擴散係數,r dff係代表位在通道頂部之HfC14之濃度,該 © 濃度係達到90%之位在固體原料表面上之濃度。 在通道中之乳體滯留時間可以由下列方程式獲得: (方程式七) 在下列的情况中.15〇 Ton*,200。〇,N2 800sccm 及HfCU之種類,而通道的尺寸:,η〜, L~2000min(通道中心長度),截面積的平均速率約為 〇 1.3m/s ’而擴散係數Du係估計為8 44χι〇 ye,由上 述的條件,可以求得: =^^^U(sec)(方程式八) r^=^YY^-*1.5(sec)(方程式九) 11926pifl 42 200952073 如果溫度改轡成160°Γ 一擴散時二,:滞 第一實例 $發明的較佳實施例中’可以大幅地 的有效路徑長度,而不需要等幅 積。藉由導引結構的設置可以增加^效^,其 ❹ 蓋有固體原料的支撐構件及流體導引裝置,每: 出口:彳以丨導載氣流經接觸通道’較載氣人口處與 之間的直線距離甚短,載氣亦可以達到飽和的狀 d ’而藉由接觸通道可以使載氣暴露在大面積的固體原 上,使得固體原料可以昇華於載氣中。 ’、寸 藉由Alcoa CSS電腦程式可以決定昇華基座的變數, 其中昇華基座包括覆蓋有固體原料的珠子或球體,在表丄 中有列出變數的數值’然而本發明並不限於此。 ❹ 11926pifl 43 200952073 表1 利用非多孔性的球體並覆蓋有HfC14之昇華基座變數 球體直徑(mm) 4 基座直徑(mm) 40 基座長度(mm) 300 覆蓋厚度(mm) 0.25 基座體積(mm3) 376991.1 基座空的部分 0.33 球體體積(mm3) 33.51032 球體數目 7537.5 結構的總體積(L) 0.252584
HfCU的體積(mm3) 107052.2 HfCl4的質量(g) 299.7462 表面積(cm2) 3788.761 請參照表1 ’本實施例的特徵包括相較於球體直徑具 有較低的覆蓋厚度、基座具有較多空的部分及大的固體原 料表面積。 第二實例 在本實施例中,昇華基座可以產生類似於柱塞流的流 體’而理想的柱塞流滯留時間分佈會使得位在容器出口處 11926pifl 44 200952073 的濃度保持為—定值,在容器滯留時間(V/Q,其中v=容器 體積,而Q係為體積流率)之内並不會隨著時間變化。=反 應器的滯留時間大於每一次沉積反應所需的時間,則在進 行沉積反應時會保持Csat的狀態。如果反應器或容器(可 以承載覆蓋有粉末或先驅物的支撐媒介)具有長且/或彎曲 的路徑(比如是線圈狀的路徑、螺旋狀的引導路徑、由覆蓋 有固體媒介之珠子所構成的彎曲路徑等),則可以增加滞留 時間。在進行原子層沉積時,每次沉積過程僅有一部分之 具有飽和先驅物之載氣流入到容器中。舉例而言,如果經 過谷器的流率係為l〇〇〇Cm3/min(sccm),通道係為2 5cm x2.5cm,k係為〇.i/see,在8〇cm的長度下可以提供3〇秒 的滞留時間。在相同的條件下,藉由其他的昇華反應器長 度所達到的昇華百分比係如下面表二所示。即使是反應器 具有80公分的流道,且流體會流經3〇秒,則柱塞流分佈 會達到最佳的飽和狀態。假設昇華係為第一級的步驟,則 相較於攪動的流體’柱塞流反應器可以提供最佳的轉變過 〇 程,以達到飽和的狀態,如下列表三所示。因此,在較佳 的情況下,反應器係能夠提供柱塞流,使得載氣可以單方 向地流動,且物質係具有最小的軸向分散/混合。 在實際上,如果載氣通道足夠長,並且在穩流(亦即 是在沒有容器隔離時可以穩定地進行化學沉積反應)的狀 態下,昇華率會從某一時點的第一層級到達到100%飽和 的狀態。然而,即使假設動力的考量不會影響層級,藉由 通道長度及載氣接觸通道的設計(得到V/Q的結果),在本 11926pifl 45 200952073 實施例中’會使得柱塞流之轉換(或飽和)程度達到90%以 上’甚至達到99%以上。 表二 不同反應器長度之飽和百分比 「 — . •豸 反應器長度(cm) 平均滯留時間(theta) %飽和程度 ____10 3.74251497 0.312196091 -_ 20 7.48502994 0.526925782 __30 11.22754491 0.674617703 _ 40 14.97005988 0.776200784 _ 50 18.71257485 0.846070025 60 22.45508982 0,894126361 -_7〇 26.19760479 0.927179697 _ 80 29.94011976 0.949913911 _ 90 33.68263473 0.965550592 100 37.4251497 0.976305563 表三 第一層級動力之轉換及滯留時間分佈 _斤應器種類 轉換 滯留時間分佈 ___赛塞流反應器 0.63 Dl/uL = 0 j實管狀反應器 0.61 攪動容器反應器 0.50 Dl/uL = 00 分散模型 0.60 Dl/uL = 0.117 連續的攪動容器反 應器模型 0.60 n=5 11926pifl 46 200952073 第三實例 ❹ 如第15圖至第20B圖所示之較佳實施例的結果係如 第24圖所示’其係繪示具有擋板之容器的滯留時間分佈。 第24圖所示的關係圖係為各較佳實施例之流體流動模型 的結果’其所示的滯留時間分佈係接近於理想的柱塞流分 佈。在表四中的各資料點係如下所示,而其所繪示的結果 係類似於具有低的D/uL值的分散模型;換句話說,所得 的曲線形狀係類似於如第23圖所示之柱塞流曲線的形 狀,而所繪不的曲線係表示容器中在入口處之濃度上的步 =函數之改變’這是表示_eta),歧滞料間分佈的函 傳統上,係定義為具有小於theta值之滯留時間之少量 支流。 係代表在入口處之追蹤流體步階之濃度,而C係 口處之濃度。在時點零時,在反應器内並不具有 机體’接著流人到反應H⑽流體會改變,而具有濃 ς 〇_’C/C〇係為無單位的數值,範圍從〇到丨。如第幻 =不’綠示C/c〇之值與平均滯留時間(無單位的或是 3Γ)之間關係,直到c/ Cq之值等於1為止,如此可 載任二爾之昇華容器_ 11926pifl 47 200952073 表四 各較佳實施例之容器内流體流動模型的結果 fraction>l fraction <1 ;a/theta_average c/c_o theta/theta_average c/c_o 0.999996 0.499998 0.942862 IE-05 1.000377 0.511278 0.946671 4E-05 1.000758 0.522553 0.95048 0.00012 1.001139 0.533808 0.954289 0.000345 1.001519 0.545002 0.958098 0.00093 1.0019 0.556227 0.961907 0.00234 1.002281 0.567377 0.963811 0.003605 1.002662 0.578472 0.965716 0.005455 1.003043 0.589502 0.96762 0.008105 1.003424 0.600467 0.969525 0.011825 1.003805 0.611347 0.971429 0.016945 1.004186 0.622147 0.973333 0.02386 1.004567 0.632847 0.975238 0.032995 1.004947 0.643447 0.977142 0.044845 1.005328 0.653937 0.977904 0.05045 1.005709 0.664312 0.978666 0.056605 1.00609 0.674562 0.979428 0.063335 1.006471 0.684677 0.980189 0.070675 1,006852 0.694662 0.980951 0.07865 1.007233 0.704502 0.981713 0.08729 1.007614 0.714192 0.982475 0.096615 1.006375 0.733107 0.983237 0.106655 1.009137 0.751372 0.983998 0.117292 1.009899 0.768947 0.98476 0.128949 1.010661 0.786806 0.985522 0.141229 1.011423 0.801926 0.986284 0.154284 1.012184 0.817291 0.987046 0.168109 1.012946 0.831886 0.987807 0.182704 1.013708 0.845711 0.988569 0.198069 1.01447 0.858766 0.989331 0.214189 1.015232 0.871046 0.990093 0.231049 1.015993 0.882703 0.990854 0.248624 1.016755 0.893341 0.991616 0.266889
11926pifl 48 200952073 ❹ 1.017517 1.018279 1.01904 1.019802 1.020564 1.021326 1.022088 1.022849 1.024754 1.026658 1.028563 1.030467 1.032372 1.034276 1.036181 1.038085 1.041894 1.045703 1.049512 1.053321 1.05713 0.903381 0.912706 0.921346 0.929321 0936661 0943391 0.949546 0.955151 0.967001 0-976136 0.983051 0.988171 0.991891 0.994541 0.996391 0-997656 0-999066 0.999651 0.999876 0.999955 0999965 0.992378 0.992759 0.99314 0.993521 0.993902 0.994282 0.994663 0.995044 0.995425 0.995806 0.996187 0.996568 0.996949 0.99733 0.997711 0.998091 0.998472 0.998853 0.999234 0.999615 0999996 0.285804 0.295494 0.305334 0.315319 0.325434 0.335683 0.346058 0.356548 0.367148 0.377848 0.388648 0.399528 0.410493 0.421523 0.432618 0.443768 0.454994 0.466188 0.477443 0.488718 0.499998 第24圖緣不各較佳實施例之容器内流體流動模型的 結果’其所示的滯留時間分佈係接近於理想的柱塞流分 ❹佈。相較於第23圖所示之滯留時間分佈,第24圖之滯留 時間分佈更接近於理想的柱塞流分佈。當 theta/theta_average之值介於〇.〇到0 96之間時,並未開始 記錄昇華基座之C/C〇之值。當d/uL之值係等於0.002時, C/C〇之值才會大於〇.5。如果刻度的變化係介於〇 _ 2 〇之 間時’則第23圖與第24圖之間的比較會更為明顯。 本發明可以改善氣體與固體的接觸時間及改善固體 原料表面積除以蒸氣體積的比值,因此可以避免溝渠 (tunneling)的問題。本發明的另一特徵係改善氣體與固體之 11926pifl 49 200952073 接觸時間。本發明可以隨著昇華基座 具有更穩定的氣流阻力。並且 加’而 中。如上所述,在每-次的沉積反華谷器 的載氣,或者即使在不更換_原料的情況 之半導體製程 反應物的數量’因此可以更精準地控制尤其是== 雖然本發明已以較佳實施例揭露如上,然其並非用以 限定本發明,任何熟習此技藝者,在不脫離本發明之精神 和範圍内’當可作各種之更動與潤飾,因此本發明之保護 範圍當視後附之申請專利範圍所界定者為準。 w 【圖式簡單說明】 第1圖缘示基板製造系統的示意圖,其中基板製造系 統具有一容器,位於載氣源與沈積室之間。 第2A圖緣示依照本發明一較佳實施例之昇華裝置之 剖面示意圖,其中昇華裝置之容器裝載有覆蓋固體原料的 珠子。 第2B圖繪示第2A圖中覆蓋固體原料的珠子之放大示 意圖。 第2C圖繪示第2B圖中單--個覆蓋固體原料的珠子 之放大不意圖。 第2D圖繪示依照本發明一較佳實施例之覆蓋固體原 料的浮動支撐構件之放大示意圖。 11926pifl 50 200952073 第3A圖緣示依照本發明另一較佳實施例之容器切過 轴線的剖面示意圖’其中容器具有過濾器,而過濾器纖維 覆蓋有可以作為反應物氣體的固體原料。 第3B圖係為第3A圖中沿著剖面線3B-3B的剖面示 意圖。 第3C圖係為第3B圖中過濾、器的剖面放大示意圖,其 中固體原料係覆蓋於每一過濾器纖維上。 第4A圖緣示依照本發明另一較佳實施例之容器切過 ❹ 軸線的剖面示意圖,其中容器具有多隔板結構,而容器係 承載固體原料。 第4B圖係為第4A圖中沿著剖面線4B-4B的剖面示 意圖。 第4C圖係為第4B圖中多隔板結構的放大示意圖,其 中覆蓋有固體原料之多個隔板係構成多隔板結構。 第5A圖緣示依照本發明另一較佳實施例之容器切過 軸線的剖面示意圖’其中容器具有一簾幕筒,而簾幕筒係 〇 具有覆蓋有固體原料的多個幕簾。 第5B圖係為第5A圖中沿著剖面線5B_5B的剖面示 意圖。 第5C圖係為第5B圖中幕簾部分的放大示意圖,其中 覆蓋有固體原料之多個幕簾構件係構成幕簾。 第6A圖繪示依照本發明另一較佳實施例之覆蓋有固 體原料之導通管束的立體示意圖。
第6B圖繪示容器的立體示意圖,其中容器包括第6A 11926pifl 51 200952073 圖中覆蓋有固體原料之導通管束。 第6C圖繪示第6A圖中覆蓋有固體原料之數根導通管 束的立體放大示意圖。 第7A圖繪示依照本發明另一較佳實施例之具有螺旋 狀導通管之容器的立體示意圖’其中螺旋狀導通管之内部 覆蓋有固體原料。 第7B圖繪示第7A圖中省掉容器之螺旋狀導通管的立 體示意圖。 ❽ 第7C圖緣示第7A圖及第7B圖中螺旋狀導管之立體 放大示意圖’其中螺旋狀導通管之内部覆蓋有固體原料。 第8A圖缯不依照本發明另一較佳實施例之具有螺旋 狀氣流導引裝置之容器的剖面示意圖,其中螺旋狀氣流導 引裝置覆蓋有粉末固體原料。 第8B圖係為第8A圖中沿著剖面線8B_8B之上部分 的剖面示意圖。 〇 第9圖繪示依照本發明較佳實施例之可以作為氣體反 應物之固體原料的使用方法流程圖。 第10圖緣示依照本發明另—較佳實施例之固體原料 容器的立體示意圖。 意圖 第η圖緣示第ίο圖中容器之外部盛裝結構的立體 不 η 示第10圖中容器之蓋板的立體示意圖,其 中蓋板包括有多個隔離閥及多個導管。 第13圖緣示第1〇圖中固體原料容器之立體透視示意 11926pifl 52 200952073 圖。 第14圖繪示位在固體原料容器内上層堆疊托盤之立 體示意圖。 第15圖繪示第14圖中上層堆疊托盤的上視示意圖。 第16圖緣示第10圖中位在固體原料容器内之下層堆 疊托盤的立體示意圖。 第17圖繪示第16圖中下層堆疊托盤的上視示意圖。 第18圖繪示第10圖之容器的爆炸立體示意圖。 ® 第19圖繪示第10圖之容器切過軸線的剖面示意圖。 第20A圖繪示依照本發明另一較佳實施例之下層堆 疊托盤之上前方的立體示意圖,其中下層堆疊托盤具有一 從屬隔板。 ' 第20B圖繪示依照本發明另一較佳實施例之上層堆 疊托盤之上前方的立體示意圖,其中上層堆疊托盤具有一 從屬隔板,且上層堆疊托盤可以與第2〇A圖所示之下層堆 疊托盤結合。 Ο 第2〇C圖繪不第2〇B圖中上層堆疊托盤之上視示意 圖’其中上層堆疊托盤具有一從屬隔板。 第21圖繪不依照本發明另一較佳實施例之原子層沈 積製程之流程圖,藉以形成一原子層於一基板表面上。 第22A圖繪示在理想的柱塞流反應器中載氣中先驅 物飽和濃度隨時間變化的關係。 第22B圖繪示在理想的攪動容器中載氣中先驅物飽 和濃度隨時間變化的關係。 11926pifl 53 200952073 第23圖繪示各種包括有柱塞流模型與攪動容 之分散模型的反應曲線。 第24圖繪示第15圖之容器的流體模塑結果 【主要元件符號說明】 205 210 220 224 230 I :容器 3:容器出口連接部 5:蒸氣沉積室 7:固體原料 9:氣流接觸通道 II :過濾器 13 :多交錯隔板結構 15 :簾幕筒 Π:簾幕纖維 19 :導通管 21 :固體原料 24 :平行通道 29 :昇華裝置 外部盛裝結構 蓋板 出口導管 手動隔離閥 上托盤 2:容器入口連接部 4 ··載氣源 6 :珠子 8 :填入口 10 :圓柱體 12 :過濾器纖雉 14 :交錯隔板 16 :簾幕 18 :導通管束 20 :螺旋狀導通管 22 :流體導引裝裏 26 :加熱器 200 :容器 207 :凸緣 215 :入口導管 222 :手動隔離闕 226 :手動隔離閥 231 :實心隔板
232 :非完全遮蔽的隔板 233 :篩板 234 :環狀侧壁 11926pifl 54 200952073 235 :主要區間 236 : 237 :中心部位 238 : 239 :銷栓 240 : 241 :實心隔板 242 :非完全遮蔽的隔板 244 :環狀側壁 245 : 246 :外部通道區間 247 : 249 :銷栓 328 : 329 :托盤 330 : 331 :載氣氣流 333 : 334 :未完全密封之從屬隔板 335 :過濾元件 340 : 342 :第二托盤流道 344 : 350 :入口 354 : 外部通道區間 中間通道 下托盤 主要區間 中心部位 托盤 托盤 過濾元件 第一托盤流道 開口 出口 ❹ 11926pifl 55

Claims (1)

  1. 200952073 七、申請專利範圍: 1·一種基板製造系統,包括: 一載氣源; 氣體反應物之固體原料 一支撐媒介,具有一表面 =佈=撲媒介之該表面上,該支撐媒介係適於引導 =氣使該—嫌切齡統之飽和塗 固體原料之該支撐媒介集體地形成-基座,該載氣 源連接於該支撐媒介的上游端;以及
    一原子層沈積室,連接於該切媒介的下游端。 2.如_請專利範圍第丨項所述之基板製造系統 ,其中 ^使該載氣飽和的過程中,該切媒介實f上保持著固定 的狀態。 3.如中請專利範圍第i項所述之基板製造系統其中 、支樓媒介剌導該載氣在—迴旋狀的接觸路徑中流動。 4·如中請專利範圍第1項所述之基板製造系統,更包 =脈衝機構’其_成使已飽和的航之脈衝由該支撐媒 供應至原子層沈積室。
    =5.如申請專利範圍第4項所述之基板製造系統,其中 該支撐媒介更組構成可促進該載氣在多於1〇〇〇〇〇脈衝之 晴况下藉由該氣體反應物來重複地飽和,每一脈衝持續之 期間為0,1-10秒。 6.如申請專利範圍第1項所述之基板製造系統,還包 括昇華容器,位在該载氣源的下游處且位在該反應室的 上游處’該支撐媒介係位在該昇華容器内,該固體原料塗 11926pifl 56 200952073 佈區暴露於外的表面積除以該基座體積的比值係大於 0.1cm-l。 7. 如申請專利範圍第1項所述之基板製造系統,其中 該基座係由複數個可流動的支撐構件所形成^ 8. 如申請專利範圍第1項所述之基板製造系統,其中 該支撐媒介的形狀係為珠子狀的、環狀的、圓筒狀的及線 條狀的。 9. 如申請專利範圍第1項所述之基板製造系統,其中 該固體原料塗佈區暴露於外的表面積除以該基座體積的比 值係大於0.1 cm-1。 10. 如申請專利範圍第1項所述之基板製造系統,還包 括一熱源’可增加該固體原料蒸發成氣體反應物的速率。 11. 如申請專利範圍第1項所述之基板製造系統,還包 括一昇華容器,該昇華容器包括該支撐媒介,此系統組構 成有規律地使經過該昇華容器之該載氣及該蒸氣反應物形 成一種柱塞流之滯留時間分佈。 〇 12.—種昇華裝置,包括: 一昇華容器; 一入口連接部’其導引至該昇華容器; 一出口連接部,其由該昇華容器導出; 一氣體反應物之固體原料,位於該昇華容器内;以及 一支撐媒介,其具有該氣體反應物之固體原料的塗佈 區,此塗佈區所具有的暴露於外的表面積除以該支撑媒介 之體積的比值係大於O.lcnT1。 11926pifl 57 200952073 13·如申請專·圍第12項所述之昇華裝置,其中該 氣體反應物之固體原料係為氯化姶(Hfcl4)。 14·如申請專利範圍第12項所述之昇華裝置其中該 氣體反應物之固體原料係為氣化錯(ZrCU)。 15.如申請專利範圍第12項所述之昇華裝置,其中此 昇華裝置組構成使氣體反應物經由該支撐媒介而抽出且經 由對流傳送而由出口連接部送出。
    16·如申請專利範圍第12項所述之昇華裝置,其中此 昇華裝置組構成使載氣經由該支撑媒體而受到導引。 17. 如申請專利範圍第12項所述之昇華裝置,更包含 定Ϊ在^華容器中的歧管’此歧管組構成將載氣分佈於容 器中以與已塗佈的支撐媒介相接觸。 18. 如申請專利範圍第12項所述之昇華裝置,其中此 ===成使人口連接部和出口連接部位於該容器之 19.如申請專利範圍第18項所 昇華容器是圓柱形者。 展1具Μ
    20·如申請專利範圍第12項所述 ;麟介是由封裝至該容器中的可浮動之支揮構;: 21. 如申請專利範圍第2〇項 些支S構如件申^ 22. 如申睛專利範圍第12項所述之昇華裝置 蓋有該氣體反應物之_原料之該支㈣介係由管子、螺 11926pifl 58 200952073 旋狀導通管、導通管束、過濾器及多交錯隔板結構之其令 至少—種結構所構成。 23.如申請專利範圍第22項所述之昇華裝置,其中該 支擇媒介的形狀實質上符合該昇華容器的形狀。 。24.如申請專利範圍第12項所述之昇華裝置,其中該 支撐媒介係引導該載氣流經通常是彎曲的接觸通道。 其中該 ❺ 25. 如申請專利範圍第12項所述之昇華裝置 支撐媒介實質上是由惰性之導熱材質所構成。 26. 如申請專利範圍第25 =媒介包括氧化雖丨鮮炫融的/mr) 之-u,還包括 科蒸發成氣體反應物的速率。 Ο U926pifi 59
TW098121433A 2002-07-30 2003-07-30 An improved sublimation bed and substrate processing system TW200952073A (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US40021002P 2002-07-30 2002-07-30

Publications (1)

Publication Number Publication Date
TW200952073A true TW200952073A (en) 2009-12-16

Family

ID=31188666

Family Applications (2)

Application Number Title Priority Date Filing Date
TW092120786A TWI319593B (en) 2002-07-30 2003-07-30 Sublimation apparatus employing carrier gas guidance structure with hellical pathway
TW098121433A TW200952073A (en) 2002-07-30 2003-07-30 An improved sublimation bed and substrate processing system

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW092120786A TWI319593B (en) 2002-07-30 2003-07-30 Sublimation apparatus employing carrier gas guidance structure with hellical pathway

Country Status (7)

Country Link
US (2) US7122085B2 (zh)
EP (1) EP1525337A2 (zh)
JP (1) JP4585852B2 (zh)
KR (1) KR101183109B1 (zh)
AU (1) AU2003254266A1 (zh)
TW (2) TWI319593B (zh)
WO (1) WO2004011695A2 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI762124B (zh) * 2016-08-10 2022-04-21 美商艾馬克科技公司 用於半導體裝置的封裝優化的系統及方法

Families Citing this family (429)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FI118805B (fi) * 2000-05-15 2008-03-31 Asm Int Menetelmä ja kokoonpano kaasufaasireaktantin syöttämiseksi reaktiokammioon
TWI273642B (en) * 2002-04-19 2007-02-11 Ulvac Inc Film-forming apparatus and film-forming method
US7374617B2 (en) 2002-04-25 2008-05-20 Micron Technology, Inc. Atomic layer deposition methods and chemical vapor deposition methods
US7601225B2 (en) 2002-06-17 2009-10-13 Asm International N.V. System for controlling the sublimation of reactants
US7300038B2 (en) 2002-07-23 2007-11-27 Advanced Technology Materials, Inc. Method and apparatus to help promote contact of gas with vaporized material
US6921062B2 (en) 2002-07-23 2005-07-26 Advanced Technology Materials, Inc. Vaporizer delivery ampoule
US6936086B2 (en) * 2002-09-11 2005-08-30 Planar Systems, Inc. High conductivity particle filter
KR101137901B1 (ko) * 2003-05-16 2012-05-02 에스브이티 어소시에이츠, 인코포레이티드 박막 증착 증발기
KR20050004379A (ko) * 2003-07-02 2005-01-12 삼성전자주식회사 원자층 증착용 가스 공급 장치
US7547363B2 (en) * 2003-07-08 2009-06-16 Tosoh Finechem Corporation Solid organometallic compound-filled container and filling method thereof
US7378129B2 (en) * 2003-08-18 2008-05-27 Micron Technology, Inc. Atomic layer deposition methods of forming conductive metal nitride comprising layers
US7156380B2 (en) * 2003-09-29 2007-01-02 Asm International, N.V. Safe liquid source containers
US20060102079A1 (en) * 2004-11-15 2006-05-18 Glassman Timothy E Reducing variability in delivery rates of solid state precursors
US7488512B2 (en) * 2004-11-29 2009-02-10 Tokyo Electron Limited Method for preparing solid precursor tray for use in solid precursor evaporation system
US7484315B2 (en) * 2004-11-29 2009-02-03 Tokyo Electron Limited Replaceable precursor tray for use in a multi-tray solid precursor delivery system
US7638002B2 (en) * 2004-11-29 2009-12-29 Tokyo Electron Limited Multi-tray film precursor evaporation system and thin film deposition system incorporating same
US7708835B2 (en) 2004-11-29 2010-05-04 Tokyo Electron Limited Film precursor tray for use in a film precursor evaporation system and method of using
DE102004062552A1 (de) * 2004-12-24 2006-07-06 Aixtron Ag Vorrichtung zum Verdampfen von kondensierten Stoffen
US7608549B2 (en) * 2005-03-15 2009-10-27 Asm America, Inc. Method of forming non-conformal layers
US7651570B2 (en) 2005-03-31 2010-01-26 Tokyo Electron Limited Solid precursor vaporization system for use in chemical vapor deposition
FI121341B (fi) 2006-02-02 2010-10-15 Beneq Oy Hopean suojapinnoitus
US7432195B2 (en) 2006-03-29 2008-10-07 Tokyo Electron Limited Method for integrating a conformal ruthenium layer into copper metallization of high aspect ratio features
US7562672B2 (en) * 2006-03-30 2009-07-21 Applied Materials, Inc. Chemical delivery apparatus for CVD or ALD
US8951478B2 (en) * 2006-03-30 2015-02-10 Applied Materials, Inc. Ampoule with a thermally conductive coating
US20080241805A1 (en) 2006-08-31 2008-10-02 Q-Track Corporation System and method for simulated dosimetry using a real time locating system
US7473634B2 (en) 2006-09-28 2009-01-06 Tokyo Electron Limited Method for integrated substrate processing in copper metallization
JP5073751B2 (ja) 2006-10-10 2012-11-14 エーエスエム アメリカ インコーポレイテッド 前駆体送出システム
US8986456B2 (en) * 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
JP5045062B2 (ja) * 2006-10-30 2012-10-10 住友化学株式会社 固体有機金属化合物の供給方法
US20080166472A1 (en) * 2006-12-13 2008-07-10 Universal Display Corporation Evaporation process for solid phase materials
US7678458B2 (en) * 2007-01-24 2010-03-16 Asml Holding N.V. Bonding silicon silicon carbide to glass ceramics
US7833353B2 (en) 2007-01-24 2010-11-16 Asm Japan K.K. Liquid material vaporization apparatus for semiconductor processing apparatus
US7846256B2 (en) 2007-02-23 2010-12-07 Tokyo Electron Limited Ampule tray for and method of precursor surface area
JP2009044023A (ja) * 2007-08-10 2009-02-26 Hitachi Kokusai Electric Inc 半導体装置の製造方法および基板処理装置
JP5141141B2 (ja) * 2007-08-23 2013-02-13 東京エレクトロン株式会社 気化器、気化器を用いた原料ガス供給システム及びこれを用いた成膜装置
US9034105B2 (en) * 2008-01-10 2015-05-19 American Air Liquide, Inc. Solid precursor sublimator
US20090317547A1 (en) * 2008-06-18 2009-12-24 Honeywell International Inc. Chemical vapor deposition systems and methods for coating a substrate
US8343583B2 (en) 2008-07-10 2013-01-01 Asm International N.V. Method for vaporizing non-gaseous precursor in a fluidized bed
WO2010041416A1 (ja) * 2008-10-08 2010-04-15 株式会社アルバック 蒸発材料及び蒸発材料の製造方法
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8012876B2 (en) * 2008-12-02 2011-09-06 Asm International N.V. Delivery of vapor precursor from solid source
KR101015277B1 (ko) * 2008-12-10 2011-02-15 삼성모바일디스플레이주식회사 증발원
JP5361467B2 (ja) * 2009-03-13 2013-12-04 東京エレクトロン株式会社 気化器
JP5501807B2 (ja) * 2009-03-31 2014-05-28 東京エレクトロン株式会社 処理装置
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8883270B2 (en) 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
KR101084275B1 (ko) * 2009-09-22 2011-11-16 삼성모바일디스플레이주식회사 소스 가스 공급 유닛, 이를 구비하는 증착 장치 및 방법
EP2496733B1 (en) * 2009-11-02 2021-08-04 Sigma-Aldrich Co. LLC Method for evaporation
KR101074810B1 (ko) * 2009-12-23 2011-10-19 삼성모바일디스플레이주식회사 캐리어 가스 공급 구조가 개선된 증착 장치 및 그것을 이용한 유기 발광 디스플레이 장치 제조방법
TWI557261B (zh) * 2010-04-19 2016-11-11 Asm美國公司 先質輸送系統
WO2012006433A2 (en) * 2010-07-07 2012-01-12 Directed Vapor Technologies International, Inc. Method and apparatus for applying a coating at a high rate onto non-line-of-sight regions of a substrate
JP2012248803A (ja) * 2011-05-31 2012-12-13 Hitachi Cable Ltd 金属塩化物ガスの発生装置および金属塩化物ガスの発生方法、並びに、ハイドライド気相成長装置、窒化物半導体ウエハ、窒化物半導体デバイス、窒化物半導体発光ダイオード用ウエハ、窒化物半導体自立基板の製造方法および窒化物半導体結晶
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
KR20120139387A (ko) * 2011-06-17 2012-12-27 삼성디스플레이 주식회사 박막 증착 장치 및 이를 이용한 박막 증착 방법
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9238865B2 (en) 2012-02-06 2016-01-19 Asm Ip Holding B.V. Multiple vapor sources for vapor deposition
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
TWI622664B (zh) 2012-05-02 2018-05-01 Asm智慧財產控股公司 相穩定薄膜,包括該薄膜之結構及裝置,及其形成方法
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
KR20230080495A (ko) 2012-05-31 2023-06-07 엔테그리스, 아이엔씨. 배취식 침착을 위한 고 물질 플럭스를 갖는 유체의 소스 시약-기반 수송
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
DE102012215708A1 (de) * 2012-09-05 2014-03-06 Osram Opto Semiconductors Gmbh Vorratsbehälter für eine beschichtungsanlage und beschichtungsanlage
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
KR101599286B1 (ko) * 2014-01-17 2016-03-03 (주) 엠에이케이 소수성 표면 처리장치
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
KR101777777B1 (ko) * 2015-12-23 2017-09-26 주식회사 포스코 고속 코팅용 진공 증착 장치
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10483498B2 (en) * 2016-04-22 2019-11-19 Universal Display Corporation High efficiency vapor transport sublimation source using baffles coated with source material
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US11926894B2 (en) 2016-09-30 2024-03-12 Asm Ip Holding B.V. Reactant vaporizer and related systems and methods
US10876205B2 (en) 2016-09-30 2020-12-29 Asm Ip Holding B.V. Reactant vaporizer and related systems and methods
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102415265B1 (ko) * 2017-04-10 2022-06-29 버슘머트리얼즈 유에스, 엘엘씨 증착 공정에서 화학 전구체를 버블링시키기 위한 무에어로졸 베셀
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
JP6895372B2 (ja) * 2017-12-12 2021-06-30 東京エレクトロン株式会社 原料容器
KR20190072266A (ko) * 2017-12-15 2019-06-25 삼성전자주식회사 소스 가스 공급 장치 및 이를 구비하는 증착 장치
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
JP6839672B2 (ja) * 2018-02-06 2021-03-10 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11168394B2 (en) * 2018-03-14 2021-11-09 CeeVeeTech, LLC Method and apparatus for making a vapor of precise concentration by sublimation
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
KR20200020608A (ko) 2018-08-16 2020-02-26 에이에스엠 아이피 홀딩 비.브이. 고체 소스 승화기
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
KR102072924B1 (ko) * 2018-11-05 2020-02-03 (주)에이텍솔루션 고효율 반도체 증착용 기화기
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
JP7240881B2 (ja) * 2019-01-18 2023-03-16 Jx金属株式会社 塩化金属の昇華容器
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP6887688B2 (ja) * 2019-02-07 2021-06-16 株式会社高純度化学研究所 蒸発原料用容器、及びその蒸発原料用容器を用いた固体気化供給システム
JP6901153B2 (ja) * 2019-02-07 2021-07-14 株式会社高純度化学研究所 薄膜形成用金属ハロゲン化合物の固体気化供給システム。
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20210134022A (ko) * 2019-04-17 2021-11-08 가부시키가이샤 웰콘 기화기 및 그 제조 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
US11788190B2 (en) 2019-07-05 2023-10-17 Asm Ip Holding B.V. Liquid vaporizer
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
JP7240993B2 (ja) * 2019-08-27 2023-03-16 東京エレクトロン株式会社 原料ガス供給システム及び原料ガス供給方法
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11624113B2 (en) 2019-09-13 2023-04-11 Asm Ip Holding B.V. Heating zone separation for reactant evaporation system
US11946136B2 (en) 2019-09-20 2024-04-02 Asm Ip Holding B.V. Semiconductor processing device
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
TWI818505B (zh) * 2019-11-14 2023-10-11 美商恩特葛瑞斯股份有限公司 用於昇華之預成型件及用於輸送蒸氣之安瓿
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
DE102020212606A1 (de) 2020-10-06 2022-04-07 Karlsruher Institut für Technologie Struktur, Wärmetauscher umfassend eine Struktur, Wärmetauscheranlage umfassend einen Wärmetauscher, Verfahren zum Temperieren eines Partikelstroms, Verwendung einer Struktur zum Temperieren eines Partikelstroms, Verfahren zum Beschichten eines Substrats und Verfahren zum Auftrennen einer flüssigen Probe
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
CN112354797A (zh) * 2020-11-04 2021-02-12 中国电子科技集团公司第五十五研究所 一种可流水化作业的显示面板水胶灌胶贴合装置和方法
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11578406B2 (en) 2020-12-08 2023-02-14 Applied Materials, Inc. Ampoule for a semiconductor manufacturing precursor
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
US11584990B2 (en) * 2021-07-02 2023-02-21 Applied Materials, Inc. Bottom fed sublimation bed for high saturation efficiency in semiconductor applications
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
KR20240016198A (ko) 2022-07-28 2024-02-06 에이에스엠 아이피 홀딩 비.브이. 반도체 제조 모니터링 프로세스

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4548138A (en) 1981-12-17 1985-10-22 York-Shipley, Inc. Fast fluidized bed reactor and method of operating the reactor
DE3339625A1 (de) 1983-11-02 1985-05-09 Philips Patentverwaltung Gmbh, 2000 Hamburg Vorrichtung zum anreichern eines traegergases mit dem dampf eines wenig fluechtigen stoffes
US4761269A (en) * 1986-06-12 1988-08-02 Crystal Specialties, Inc. Apparatus for depositing material on a substrate
US4747367A (en) * 1986-06-12 1988-05-31 Crystal Specialties, Inc. Method and apparatus for producing a constant flow, constant pressure chemical vapor deposition
DE3702923A1 (de) * 1987-01-31 1988-08-11 Philips Patentverwaltung Vorrichtung zum anreichern eines traegergases mit dem dampf eines wenig fluechtigen stoffes
US5123274A (en) 1987-07-08 1992-06-23 Thermedics Inc. Hand-held sample gun for vapor collection
JPS6464314A (en) * 1987-09-04 1989-03-10 Mitsubishi Electric Corp Sublimator
DE3801147A1 (de) 1988-01-16 1989-07-27 Philips Patentverwaltung Vorrichtung zum erzeugen eines mit dem dampf eines wenig fluechtigen stoffes angereicherten gasstroms
JP2651530B2 (ja) * 1988-04-15 1997-09-10 住友化学工業株式会社 気相成長用有機金属化合物供給装置
US5252134A (en) 1991-05-31 1993-10-12 Stauffer Craig M Integrated delivery system for chemical vapor from non-gaseous sources for semiconductor processing
US6132492A (en) 1994-10-13 2000-10-17 Advanced Technology Materials, Inc. Sorbent-based gas storage and delivery system for dispensing of high-purity gas, and apparatus and process for manufacturing semiconductor devices, products and precursor structures utilizing same
FR2727691A1 (fr) 1994-12-01 1996-06-07 Framatome Sa Procede de revetement d'un substrat en metal ou alliage passivable, par une couche d'oxyde, et tube de gainage et grille-entretoise pour assemblage combustible revetus d'une couche d'oxyde
JPH0940489A (ja) * 1995-03-30 1997-02-10 Pioneer Electron Corp Mocvdの固体原料供給方法及び供給装置
US5553395A (en) * 1995-05-31 1996-09-10 Hughes Aircraft Company Bubbler for solid metal organic source material and method of producing saturated carrying gas
JPH1025576A (ja) 1996-04-05 1998-01-27 Dowa Mining Co Ltd Cvd成膜法における原料化合物の昇華方法
US5674574A (en) 1996-05-20 1997-10-07 Micron Technology, Inc. Vapor delivery system for solid precursors and method regarding same
US6461982B2 (en) 1997-02-27 2002-10-08 Micron Technology, Inc. Methods for forming a dielectric film
JP3684797B2 (ja) * 1997-12-04 2005-08-17 株式会社デンソー 気相成長方法および気相成長装置
JP3909792B2 (ja) * 1999-08-20 2007-04-25 パイオニア株式会社 化学気相成長法における原料供給装置及び原料供給方法
TW576873B (en) * 2000-04-14 2004-02-21 Asm Int Method of growing a thin film onto a substrate
EP1326271A4 (en) * 2000-09-18 2005-08-24 Tokyo Electron Ltd METHOD FOR FILMING A GATE INSULATOR, DEVICE FOR FILMING A GATE INSULATOR AND A CLUSTER TOOL
US6718126B2 (en) 2001-09-14 2004-04-06 Applied Materials, Inc. Apparatus and method for vaporizing solid precursor for CVD or atomic layer deposition
US6720259B2 (en) * 2001-10-02 2004-04-13 Genus, Inc. Passivation method for improved uniformity and repeatability for atomic layer deposition and chemical vapor deposition
US6921062B2 (en) 2002-07-23 2005-07-26 Advanced Technology Materials, Inc. Vaporizer delivery ampoule

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI762124B (zh) * 2016-08-10 2022-04-21 美商艾馬克科技公司 用於半導體裝置的封裝優化的系統及方法

Also Published As

Publication number Publication date
EP1525337A2 (en) 2005-04-27
AU2003254266A1 (en) 2004-02-16
US7122085B2 (en) 2006-10-17
JP2005535112A (ja) 2005-11-17
US20060216419A1 (en) 2006-09-28
WO2004011695A3 (en) 2004-11-04
TWI319593B (en) 2010-01-11
JP4585852B2 (ja) 2010-11-24
KR20050030963A (ko) 2005-03-31
AU2003254266A8 (en) 2004-02-16
TW200403721A (en) 2004-03-01
WO2004011695A2 (en) 2004-02-05
US20050072357A1 (en) 2005-04-07
KR101183109B1 (ko) 2012-09-24

Similar Documents

Publication Publication Date Title
TW200952073A (en) An improved sublimation bed and substrate processing system
US10982326B2 (en) Counter-flow multi inject for atomic layer deposition chamber
TWI500803B (zh) 具有過濾器的容器及分配含前驅物流體流之方法
US9175394B2 (en) Atomic layer deposition chamber with multi inject
US10407771B2 (en) Atomic layer deposition chamber with thermal lid
JP5898624B2 (ja) 蒸発器
JP2023055706A (ja) バッチ蒸着のための高材料流束によるソース試薬に基づく流体の送出
JP5347294B2 (ja) 成膜装置、成膜方法及び記憶媒体
US10392700B2 (en) Solid vaporizer
RU2384652C2 (ru) Барботер для постоянной доставки пара твердого химиката
TWI344666B (en) Solid source container with inlet plenum
TWI615498B (zh) 用於輸送前驅物材料的容器及方法
TW200525046A (en) Method and vessel for the delivery of precursor materials
TW202307258A (zh) 用於半導體應用中的高飽和效率的底部饋入昇華床部
KR20210031391A (ko) 화학 물질 승화기용 충전 용기 및 커넥터