KR0153250B1 - 종형 열처리 장치 - Google Patents

종형 열처리 장치 Download PDF

Info

Publication number
KR0153250B1
KR0153250B1 KR1019910010737A KR910010737A KR0153250B1 KR 0153250 B1 KR0153250 B1 KR 0153250B1 KR 1019910010737 A KR1019910010737 A KR 1019910010737A KR 910010737 A KR910010737 A KR 910010737A KR 0153250 B1 KR0153250 B1 KR 0153250B1
Authority
KR
South Korea
Prior art keywords
heat treatment
wafer
carrier
container
vertical
Prior art date
Application number
KR1019910010737A
Other languages
English (en)
Other versions
KR920001623A (ko
Inventor
히로노부 니시
Original Assignee
카자마 겐쥬
도오교오 에레구토론 사가미 가부시끼 가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from JP2171065A external-priority patent/JP2845580B2/ja
Priority claimed from JP2171064A external-priority patent/JPH0459515A/ja
Application filed by 카자마 겐쥬, 도오교오 에레구토론 사가미 가부시끼 가이샤 filed Critical 카자마 겐쥬
Publication of KR920001623A publication Critical patent/KR920001623A/ko
Application granted granted Critical
Publication of KR0153250B1 publication Critical patent/KR0153250B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/06Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising selenium or tellurium in uncombined form other than as impurities in semiconductor bodies of other materials
    • H01L21/14Treatment of the complete device, e.g. by electroforming to form a barrier
    • H01L21/145Ageing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67757Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber vertical transfer of a batch of workpieces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67173Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers in-line arrangement
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/137Associated with semiconductor wafer handling including means for charging or discharging wafer cassette
    • Y10S414/138Wafers positioned vertically within cassette
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/14Wafer cassette transporting

Abstract

본 발명은 반도체 웨이퍼, 액정 웨이퍼 등의 웨이퍼 형상 피처리물의 열처리장치, 특히 열처리용 반응관을 세로방향으로 배설한 웨이퍼 종형 열처리장치에 관한 것이다.
복수의 웨이퍼를 수용하기 위한 캐리어를 복수 수납하는 캐리어 스토커와, 이 캐리어 스토커에 인접하여 마련되고, 상기 웨이퍼를 캐리어로부터 열처리용 용기에, 또는 상기 열처리용 용기로부터 캐리어로 이재하기 위한 이재기구와, 상기 웨이퍼를 재치한 열처리용 용기를 수용하고, 소정의 열처리를 행하기 위한 복수의 종형열처리로와, 상기 이재기구로부터 종형열처리로에, 또는 이 종형열처리로에서 이재기구로 상기 열처리용 용기를 반송하기 위한 반송기구와, 상기 이재기구를 전용으로 통과하는 클린가스 흐름을 형성하는 가스유통수단을 가지는 종형 열처리장치를 제공한다.

Description

종형 열처리장치
제1도는 본 발명의 1실시예를 나타낸 사시도.
제2도는 제1도의 평면도.
제3도는 본 발명의 이재장치의 요부를 나타낸 측면도.
제4도는 본 발명의 웨이퍼 이재기구 부분을 모식적으로 나타낸 평면도.
제5도는 본 발명의 보오트 이송기구 부분을 모식적으로 나타낸 평면도.
제6도는 본 발명의 요부를 모식적으로 나타낸 장치의 측면도이다.
* 도면의 주요부분에 대한 부호의 설명
1 : 종형 열처리장치 2 : 반도체 웨이퍼
3 : 웨이퍼 캐리어 4 : 웨이퍼 보오트
10 : 캐리어 스토커 11 : 캐리어 배치선반
12 : 캐리어 유지이송기구 13 : 상하구동기구
14 : 지지체 15 : 수직구동기구
16 : 외장체 17 : 에어필터
19 : 팬 20 : 웨이퍼 이재기구
21 : 웨이퍼 핸들링부 22 : 보오트 재치대
30 : 종형 열처리로 31 : 반응용기
32 : 가열히터 33 : 열처리로 본체
34 : 베이스 플레이트 35 : 턴테이블
36 : 보온통 37 : 보오트 이재아암
38 : 보오트 엘리베이터 39 : 캡부
40 : 보오트 반송기구 41 : 보오트 재치부
42 : 반송레일 50 : 보오트 스토커
51 : 회전구동기구 52 : 턴테이블
53 : 보오트 이재아암 54 : 반응관
55 : 승강기구 56 : 도입배관
60 : 보오트 이송기구 61 : 보오트 유지부
62 : 수평구동장치 63 : 핸들링부
64 : 수직구동기구 65 : 회전구동기구
70 : 보오트 반송기구 71 : 캐리어대
80 : 외장체 81 : 에어필터
82 : 팬
본 발명은 반도체 웨이퍼, 액정웨이퍼 등의 웨이퍼 형상 피처리물의 열처리장치, 특히 열처리용 반응관을 세로방향으로 배설한 웨이퍼 종형(縱型) 열처리장치에 관한 것이다.
근년에, 반도체 디바이스의 제조공정에 있어서의 열확산 공정이나 성막(成膜) 공정에서 사용되는 열처리장치로서, 스페이스 축소, 에너지 절감, 피처리물인 반도체 웨이퍼의 대구경화(大口經化) 및 자동화로의 대응이 용이하다는 등의 이유 때문에 종형 열처리장치가 개발되어 있다.
이러한 종형 열처리장치는, 산화막, 금속막, 단결정막 등의 성막을 행하거나, 불순물 확산 등을 행하는 산화장치, CVD장치, 에피택셜장치, 확산장치 등의 열처리장치가 설치되어 있다. 이들 열처리장치는 수직방향으로 세워 설치된 반응관을 둘러쌓아 코일히터를 배설하고, 500~1250℃로 가열하여 반응관 내에 배치되는 반도체 웨이퍼 상에 성막을 행하거나, 불순물을 확산시키고 있다. 열처리장치 내에서 반도체 웨이퍼의 이러한 반응을 행할 때에는, 고온에 견디고, 또한 고온에 의해 불순물이 생기지 않는 열에 대하여 안정성이 높은 반도체 웨이퍼의 지지체가 필요하고, 이러한 조건을 만족하는 석영보오트가 사용되고 있다.
상기 열처리를 행하기 위하여는, 예컨대 25매의 반도체 웨이퍼가 수납되어 있는 캐리어를 보관실로부터 반출하고, 웨이퍼 이재장치로 반송하여, 수평상태의 석영보오트로 반도체 웨이퍼의 이재(移載)를 행한다. 그후 보오트 회전구동기구에 의하여 석영보오트가 수평에서 수직상태로 변환되고, 그 상태에서 보오트 반송장치로 이재된다.
이어서, 상기 반송장치를 통하여 반도체 웨이퍼를 재치한 석영보오트가 복수의 열처리로 중 소망하는 하나의 열처리로에 반송된다.
반도체 웨이퍼 이재장치는, 반도체 웨이퍼를 수직으로 서로 평행하게 복수매 수납한 캐리어를 청결한 보관실로부터 반출하여, 캐리어의 아랫쪽에서 지지체를 상승시켜 반도체 웨이퍼를 일괄하여 윗쪽에 지지하고, 윗쪽에 지지된 반도체 웨이퍼를 끼움체에 의하여 일괄해서 끼워 이웃에 수평으로 배치된 석영보오트 상으로 수평이동시키고, 또한 끼움체가 하강하여 석영보오트로 반도체 웨이퍼를 이동시키면, 끼움을 해제하여 이재를 행하는 것이다. 또 상기의 반도체 웨이퍼 이재장치는 석영보오트에서 처리가 끝난 반도체 웨이퍼를 캐리어에 수납하는 경우는, 상기의 조작을 반대로 행하는 것이다.
이러한 반도체 웨이퍼 이재장치는 반도체 웨이퍼를 수납한 캐리어가 청결한 보관실에 보관되어 있더라도, 반도체 웨이퍼의 이재시에는 특히 빈번하게 구동기구가 동작하기 때문에, 먼지, 파티클이 발생하여 오염원이 생기기 쉽고, 먼지, 파티클이 반도체 웨이퍼에 부착하는 것을 방지하는 일은 할 수 없었다.
다수의 반도체 웨이퍼를 이재한 석영보오트의 반송계통로(즉, 보오트 회전구동기구의 이동계통로에서 열처리로에 이르는 계통로)에 대하여도, 마찬가지로 비교적 청결한 실내에 배치되어 있으나 구동기구에 의해, 먼지, 파티클이 생기는 것으로서, 먼지, 파티클이 반도체 웨이퍼에 부착하면 반도체 소자의 수율을 저하시킨다고 하는 결점이 있었다.
근년에 특히, 반도체가 고집적화됨에 따라, 먼지, 파티클은 중요한 문제로 대두되고 있으며, 반송계통로에 있어서의 미소한 먼지, 파티클이라 할지라도 무시할 수 없게 되었다.
본 발명은 상기 결점을 해소하기 위한 것으로서, 웨이퍼를 이재할 때나 혹은 반송시에 먼지, 파티클의 부착이 웨이퍼에 대하여 생기지 않도록 하고, 이에 따라 결함이 없는 고품질의 웨이퍼를 연속하여 제조할 수 있는 종형 열처리장치를 제공하는 것을 목적으로 한다.
또한, 본 설명에서, 웨이퍼란 반도체 웨이퍼, 액정기판, 기타 웨이퍼 형상을 한 모든 피처리물을 의미한다.
즉, 본 발명은 복수의 웨이퍼를 수용하기 위한 캐리어를 복수 수납하는 캐리어 스토커와, 이 캐리어 스토커에 인접하여 마련되고, 상기 웨이퍼를 캐리어로부터 열처리용 용기에, 또는 열처리용 용기로부터 캐리어로 이재하기 위한 이재기구와, 상기 웨이퍼를 재치한 열처리용 용기를 수용하고, 소정의 열처리를 행하기 위한 복수의 종형 열처리로와, 상기 이재기구로부터 종형 열처리로에, 또는 종형 열처리로에서 이재기구로 상기 열처리용 용기를 반송하기 위한 반송기구와, 상기 이재기구를 전용으로 통과하는 클린가스 흐름을 형성하는 가스유통수단을 가지는 종형 열처리장치를 제공한다.
또한, 본 발명은 복수의 웨이퍼를 수용하기 위한 캐리어를 복수 수납하는 캐리어 스토커와, 이 캐리어 스토커와의 사이에서 캐리어의 주고받음이 가능하도록 형성하고, 상기 웨이퍼를 캐리어로부터 열처리로용 용기에, 또는 열처리용 용기에서 캐리어로 이재하기 위한 이재기구와, 상기 웨이퍼를 재치한 열처리용 용기를 수용하고, 소정의 열처리를 행하기 위한 복수의 종형 열처리로와, 상기 이재기구로부터 열처리로에 또는 종형 열처리로에서 이재기구로 상기 열처리용 용기를 반송하기 위한 반송기구와, 상기 반송기구를 전용으로 통과하는 클린가스 흐름을 형성하는 가스유통수단을 가지는 종형 열처리장치를 제공한다.
물론, 상기의 클린가스의 가스유통수단은 상기 이재기구와 상기 반송기구에 동시에 마련하여도 좋다.
[실시예]
이하, 본 발명을 반도체 웨이퍼를 열처리하기 위한 종형 열처리장치를 예로서 도면을 참조하여 설명한다.
이 실시예에 있어서의 종형 열처리장치(1)는, 제1도 및 제2도에 도시된 바와 같이, 피처리물로서 반도체 웨이퍼(2)가 수용된 반송용 용기, 예컨대 웨이퍼 캐리어(3)를 다수 수납가능한 캐리어 스토커(10)와, 상기 웨이퍼 캐리어(3)에 수용된 반도체 웨이퍼(2)를 처리용 용기, 예컨대 석영등으로 이루어진 웨이퍼 보오트(4)로 이재하기 위한 이재기구(20)와, 상기 반도체 웨이퍼(2)가 탑재된 웨이퍼 보오트(4)를 수용하여 소정의 열처리를 행하도록 병렬 배치된 복수의 종형 열처리로(30)와, 이들 종형 열처리로(30)의 배열방향을 따라서 그 전방에 마련되고, 상기 웨이퍼 보오트(4)를 수직상태로 반송하는 보오트 반송기구(40)와, 이 보오트 반송기구(40)를 따라서 형성되고, 처리되지 않은 반도체 웨이퍼(2)의 대기위치에 있음과 동시에 전처리를 실시하는 보오트 스토커(50)와, 웨이퍼 이재기구(20)와 보오트 반송기구(40) 사이에서의 웨이퍼 보오트(4)의 이송을 수평-수직변환을 행하면서 실시하는 보오트 이송기구(60)로 구성되어 있다.
상기 캐리어 스토커(10)에는, 여러단으로 캐리어 배치선반(11)이 설치되어 있고, 각 캐리어 배치선반(11)에 복수(예컨대 6개)의 웨이퍼 캐리어(3)가 수납되도록 구성되어 있다.
상기 하나의 선반에 수용하는 캐리어 수는 1회의 열처리에 사용되는 웨이퍼의 매수, 예컨대 100매를 한번에 처리한다고 하면, 25매가 넣어진 캐리어로 4개의 배열이 한 단위로서 다음공정으로 반송시킬 수 있다는 잇점이 있다. 이와 같이 구성되는 스토커(10) 내에는 클린에어가 예컨들어 웨이퍼면에 평행하게 흐른다. 또 캐리어 스토커(10)와 웨이퍼 이재기구(20)의 사이에서 웨이퍼 캐리어(3)의 반송은 캐리어 반송기구(70)에 의하여 행해진다.
여러단의 캐리어 배치선반(11)으로부터 웨이퍼 캐리어(3)를 상기 캐리어 반송기구(70)로 이송시키기 위하여, 캐리어 스토커(10)는 X-Z(수직-수평) 방향으로 이동가능한 캐리어 유지이송기구(12)를 가지고 있다. 이 기구(12)의 영역에도 클린에어의 다운플로우가 형성되어 있다.
또, 웨이퍼 이재기구(20)는 복수매의 반도체 웨이퍼(2)를 일괄하여 파지하는 웨이퍼 핸들링부(21)를 가지고 있으며, 보오트 이송기구(60)에 의해 보오트 재치대(22) 상에 수평방향으로 재치된 웨이퍼 보오트(4)와, 캐리어 반송기구(70)에 의해 웨이퍼 이재기구(20) 쪽으로 반송된 복수의 웨이퍼 캐리어(3)의 사이에서, 상기 핸들링부(21)에 의해 반도체 웨이퍼(2)의 이재가 행해진다.
이 웨이퍼 이재의 전공정으로서, 캐리어 단위로 반도체 웨이퍼의 오리엔테이션 플랫을 미리 정해진 위치, 예컨대 화면 전체에 정렬시키는 오리플러 얼라이너(도시하지 않음)에 의한 위치맞춤을 행한다.
더욱 상세히 설명하면, 제3도에 도시한 바와 같이, 하나의 캐리어(3)에 수납된 모든 반도체 웨이퍼(2)를 상승시켜 동시에 지지하는 상하구동기구(13)에 접속된 지지체(14)가 구비되어 있다. 지지체(14)에 의해 상승지지된 반도체 웨이퍼(2)를 좌우에서 파지하는 핸들링부(21)가 수평 및 수직구동기구(15)에 접속 설치되어, 웨이퍼 보오트(4) 상으로 이재하도록 되어 있다.
이들을 구비한 웨이퍼 이재기구(20)는 제1도의 쇄선으로 나타낸 바와 같이, 외장체(16)에 의해 외위기(外圍氣)로부터 실질적으로 밀폐되어 있다.
외장체(16)는 염화비닐이나 아크릴판등의 대전방지 플라스틱재로 형성되어, 내부를 관찰할 수 있도록 투명하게 되어 있다. 외장체(16)의 상면에는 에어필터(17)가 형성되고, 에어필터(또는, 팬을 구비하고 있어도 좋음)(17)는 0.1μØ의 입자까지 제거할 수 있는 것이다. 외장체(16)로 덮고, 상면에 에어필터(17)를 부착한 이재기구(20)는, 제4도에 나타낸 바와 같이 측면 및 바닥면에 배기기구 예컨대 팬(19)을 구비하며, 외장체(16)로 덮인 이재기구(20) 내에 적극적으로 클린에어(A)를 끌어들여, 이재기구(20) 내에 클린에어가 층류로서 흐르도록 되어 있다.
보오트 이송기구(60)는 보오트 회전장치(61)와 수평구동장치(62)를 가진다. 이 보오트 회전장치(61)는 웨이퍼 보오트의 양끝단을 지지하는 핸들링부(63)와 수직구동기구(64)와 회전구동기구(65)를 가진다.
상기 보오트 이송기구(60)는 웨이퍼 이재기구(20)에 의하여 반도체 웨이퍼(2)가 탑재된 수평상태의 웨이퍼 보오트(4)를 받아, 이것을 수직상태로 변환하면서 보오트 반송기구(40)의 보오트 재치부(41) 상으로 웨이퍼 보오트(4)를 이송하도록 되어 있다.
또, 보오트 반송기구(40)는 웨이퍼보오트(4)가 수직상태로 재치되는 보우트 재치부(41)를 처리 프로그램에 의거하여, 보오트 스토커(50) 또는 종형 열처리로(30)의 어느 것인가로 반송하기 위한 반송레일(42)과, 이 반송레일(42) 상을 이동하는 스테이지에 재치된 보오트 재치부(41) 및 그것을 위한 구동수단(도시하지 않음)으로 이루어져 있다.
이들 보오트 이송기구(60) 및 보오트 반송기구(40)의 윗쪽 및 측면[단, 보오트 이재기구(20)에 대향하는 측면을 제외함]을 전체적으로 덮도록 하여 외장체(80)가 형성되어 있고, 그 윗면에는 전체에 걸쳐 복수의 에어필터(81)가 설치되어 있다.
이 외장체(80) 및 에어필터(81)는, 웨이퍼 이재기구(20)를 덮는 외장체(16) 및 에어필터(17)의 경우와 마찬가지의 구성으로 이루어지며, 제5도에 나타낸 바와 같이 외장체(80)의 바닥면 및 측면에 팬(82)이 설치되고, 에어필터(팬을 구비하여도 좋음)(81)로부터 클린에어의 다운플로우로 끌어들여서 보오트 이송기구(60) 및 보오트 반송기구(40) 내로 클린에어(B)가 층류로서 위에서 아래로 향해 흐르도록 되어 있다.
각 종형 열처리로(30)는, 제6도에 나타낸 바와 같이, 예를들면 석영에 의해 형성된 반응용기(31)와 그 주위를 둘러싸듯이 배치된 가열히터(32) 및 도시하지 않은 단열재 등으로 열처리로 본체(33)가 구성되어 있으며, 이 열처리로 본체(33)는 대략 수직으로 배열 형성되도록 베이스 플레이트(34)에 고정되어 있다.
반도체 웨이퍼(2)가 소정 피치로 선반에 쌓여 수용된 웨이퍼 보오트(4)는, 회전가능하게 된 턴테이블(35) 상에 설치된 보온통(36)의 윗쪽에, 보오트 이재아암(37)에 의해 보오트 반송기구(40)로부터 이재되어, 승강기구 예를들어 보오트 엘리베이터(38)에 의해 반응용기(31) 내로 로딩된다. 또 반응용기(31)의 개방부의 밀폐는, 턴테이블(35) 등과 일체로 보오트 엘리베이터(38)에 의해 승강되는 원반형상의 캡부(39)에 의하여 행해진다.
또, 보오트 스토커(50)는 2개가 병렬배치된 구성으로 되어 있고, 미처리의 반도체 웨이퍼(2)가 수용된 웨이퍼 보오트(4)를 대기시킴으로써, 웨이퍼 이재시간에 따른 처리효율의 저하를 억제함과 동시에, 반도체 웨이퍼(2)에 대하여 전처리를 실시하여, 처리품질의 향상을 도모하고자 하는 것이다.
보오트 스토커(50)는 처리전의 스토커 뿐만 아니라, 열처리후의 웨이퍼 보오트를 대기시키는 보오트 스토커를 설치하면, 더욱 생산효율을 향상시킨다. 이 스토커에 냉각기체류를 형성하여 상온으로 냉각시키도록 하여도 좋다. 또한 보오트 스토커(50)에서의 보오트의 대기는, 예를들면 보오트의 길이방향을 상하방향으로 위치시켜 배치하는 것이 스페이스 효율이 좋다.
각 보오트 스토커(50)에는, 회전구동기구(51)에 의하여 회전가능하게 된 턴테이블(52)이 설치되어 있고, 이 턴테이블(52) 위에 웨이퍼 보오트(4)가 보오트 이재아암(53)에 의해 보오트 반송기구(40)로부터 이재된다. 이 이재는 웨이퍼 보오트(4)의 길이방향, 상하방향 상태에서 행한다.
또, 턴테이블(52)의 윗쪽에는, 웨이퍼 보오트(4)의 이재시에 장해가 되지 않는 위치에, 석영 등으로 이루어진 원통용기형상의 반응관(54)이 배치되어 있고, 이 반응관(54)은 승강기구(55)에 의해, 턴테이블(52) 상에 재치된 웨이퍼 보오트(4)의 주위를 덮는 것 같이 승강가능하게 되어 있다.
또, 반응관(54)에는 처리가스 도입배관(56)이 접속되어 있고, 이 처리가스 도입배관(56)으로부터 소망의 전처리용 가스, 예컨대 질소가스나 에칭가스 등이 반응관(54) 내로 공급되어 소망의 전처리가 처리되지 않은 반도체 웨이퍼(2)에 대하여 실시된다. 예를들어 질소가스를 전처리용 가스로서 사용하면, 반도체 웨이퍼(2)에 대한 자연산화막의 형성이나 반도체 웨이퍼(2)에 먼지가 부착하는 것을 방지할 수 있다. 또 에칭가스를 사용하면 전공정 등에서 형성된 자연산화막의 제거를 열처리 직전에 행할 수 있다.
또한, 이 실시예에 있어서의 종형 열처리장치(1)에서는, 종형열처리로(30)는 4개가 병렬배치되어 있으며, 다른 열처리 예컨대 Si 에피택셜성장과 열확산 공정 등을 동시에 실시하는 것이 가능하도록 구성되어 있다. 그래서 다른 열처리에 의한 크로스 콘타미네이션을 방지하기 위하여, 웨이퍼 보오트(4)가 접촉하는 부분, 즉 보오트 반송기구(40)의 보오트 재치부(41), 보오트 이송기구(60)의 보오트 유지부(61)(제1도 참조), 웨이퍼 이재기구(20)의 보오트 재치대(22)(제1도 참조) 등은 2세트씩 마련되어 있고, 취급하는 웨이퍼 보오트(4)의 처리내용에 따라 각각 변경하는 것이 가능하도록 되어 있다.
이와같이 구성된 종형 열처리장치(1)에 있어서는, 우선 캐리어 스토커(10)의 캐리어 배치선반(11)으로부터 캐리어 반송기구(70)의 캐리어대(71)에 의해 이재된 웨이퍼 캐리어(3)를 웨이퍼 이재기구(20) 쪽으로 반송한다.
그리고, 보오트 이송기구(60)에 의하여 웨이퍼 보오트(4)를 보오트 재치대(22) 상으로 이송하고, 이 웨이퍼 보오트(4)에 대하여 웨이퍼 캐리어(3)에 수용된 반도체 웨이퍼(2)를 이재한다.
상기의 조작 동안에 웨이퍼 이재기구(20)의 측면, 바닥면에 설치된 팬(19)을 구동시켜서 윗면의 에어필터(17)를 통하여 클린에어(A)를 끌어들인다. 이 클린에어(A)는 외장체(16)에 의해 웨이퍼 이재기구(20)의 내부가 클린룸 등으로부터 격리되어 있기 때문에, 효율좋게 이재공간을 다운플로우로 흘러서 발생하는 미세한 먼지 또는 파티클을 배제할 수 있다.
이 클린에어 유역에 있어서, 지지체(14)가 상하구동기구(13)에 의해 상승하여, 하나의 캐리어 스토커(10) 내에 수납되어 있는 모든 반도체 웨이퍼(2)를 일괄하여 상승시킨다. 상승된 반도체 웨이퍼(2)를 수직구동기구(15)에 의하여, 그 위치까지 수평이동된 핸들링부(21)가 좌우에서 끼워 지지한다.
지지체(14)는 상하구동기구(13)에 의해 하강하고, 핸들링부(21)는 수직구동기구(15)에 의해 웨이퍼 보오트(4) 위로 수평이동한 후, 하강하여 반도체 웨이퍼(2)를 웨이퍼 보오트(4)에 재치시킨다. 그리고 핸들링부(21)가 열려서 반도체 웨이퍼(2)의 끼움을 해제하고 상승하여 다음 웨이퍼 캐리어(3)의 위치로 이동한다. 그리고 차례로 캐리어에 대하여 상기 동작을 반복하여, 반도체 웨이퍼(2)를 웨이퍼 보오트(4)로 이재한다.
반도체 웨이퍼(2)의 이재가 종료되면, 반도체 웨이퍼(2)가 탑재된 웨이퍼 보오트(4)는 보오트 이송기구(60)에 의하여 수평-수직변환되면서 보오트 반송기구(70)로 이송된다.
즉, 반도체 웨이퍼(2)를 지지한 웨이퍼 보오트(4)는 수평구동기구(62)에 의해 웨이퍼 보오트(4)의 위치까지 이동된 보오트 회전장치(61)의 핸들링부(21)에 의하여 끼워지고, 다시 수평구동기구(62)에 의하여 소정의 위치로 이동된 보오트 회전장치(61)의 회전구동기구(65)에 의해 수평지지로부터 수직지지로 된다. 보오트 회전장치(61)는 다시 수직구동기구(64)에 의하여 하강되고, 수직지지한 웨이퍼 보오트(4)를 보오트 반송기구(40)의 보오트 재치위치에 재치한다.
다음에, 웨이퍼 보오트(4)는 처리프로그램에 따라 보오트 반송기구(60)에 의해 각 보오트 스토커(50) 또는 각 종형열처리로(30)의 어느 것인가로 반송된다.
예컨대, 종형열처리로(30)로 반송된 웨이퍼 보오트(4)는 보온통(36) 위에 재치되고, 보오트 엘리베이터(38)에 의해 예컨대 800℃ 정도의 예비가열상태에 있는 반응용기(31) 내로 로딩됨과 동시에, 캡부(39)에 의해 반응용기(31)가 밀폐된다.
이후, 반응용기(31) 내를 소정의 진공도, 예컨대 10Torr 정도로 유지하면서 처리가스, 예를들면 SiH2Cl2, HCl, H2가 도시하지 않은 가스도입관으로부터 공급되어, 반도체 웨이퍼(2)의 처리 예컨대 Si 에피택셜성장을 행한다.
또, 보오트 스토커(50)로 반송된 웨이퍼 보오트(4)는, 턴테이블(52) 위에 재치됨과 동시에, 하강한 반응관(54)에 의해 덮이고, 처리가스 도입배관(56)으로부터 공급된 전처리용 가스, 예컨대 질소가스나 에칭가스에 의해 열처리 공정의 대기기간중에 소망의 전처리가 실시된다.
상기 반도체 웨이퍼(2)의 이재와 웨이퍼 보오트(4)의 종형열처리로(30) 또는 보오트 스토커(50)로의 반송은 차례로 행해짐과 동시에, 처리프로그램에 따라 보오트 스토커(50)에서 대기중인 웨이퍼 보오트(4)도 종형열처리로(30)로 반송된다.
한편, 종형열처리로(30)에서의 처리가 종료된 반도체 웨이퍼(2)는, 웨이퍼 보오트(4)와 함께 보오트 반송기구(40) 및 보오트 이송기구(60)에 의해 웨이퍼 이재기구(20)의 보오트 재치대(22) 위로 이송되고, 다시 웨이퍼 캐리어(3)로 이재된다. 처리가 끝난 반도체 웨이퍼(2)가 수용된 웨이퍼 캐리어(3)는, 캐리어 스토커(10)로 되돌려져, 다음 공정으로 반송된다.
이들 보오트 이송기구(60) 및 보오트 반송기구(70)가 조작되는 동안에, 보오트 이송기구(60) 및 보오트 반송기구(70)의 측면, 바닥면에 설치된 팬(82)을 구동시켜 윗면의 에어필터(81)를 통해 클린에어(B)를 끌어들인다. 이 클린에어(B)는 외장체(80)에 의해 보오트 이송기구(60) 및 보오트 반송기구(70) 내부가 클린룸 등에서 격리되어 있기 때문에, 효율좋게 이들 공간을 다운플로우로서 흘러, 발생하는 미세한 먼지 또는 파티클을 배재할 수 있다.
상기 구성의 종형 열처리장치에 있어서는, 반도체 웨이퍼(2)가 탑재된 웨이퍼 보오트(4)의 대기위치에, 복수의 보오트 스토커(50)를 가지고 있기 때문에, 반도체 웨이퍼(2)의 처리내용에 관계없이, 반도체 웨이퍼(2)의 이재에 따른 처리효율의 저하를 억제할 수 있다. 또 상기 보오트 스토커(50)를 가짐과 동시에, 전 공정에서 반송되어온 웨이퍼 캐리어(3)를 수납하는 캐리어 스토커(10)나 반도체 웨이퍼(2)의 웨이퍼 이재기구(20), 또한 웨이퍼 보오트(4)의 이송이나 반송을 행하는 각 기구(40),(60)을 한 장치 내에 마련하고 있기 때문에, 일련의 열처리에 관련된 각 공정을 연속적으로, 또한 처리내용에 따라 유연하게 실시할 수 있으며, 이에 따른 처리효율의 향상을 도모하는 것이 가능하게 된다. 이들에 의해 반도체 웨이퍼(2)의 열처리에 따른 제조코스트의 저감이 가능하게 된다.
또, 상기 보오트 스토커(50)에 승강이 자유로운 반응관(54)을 마련하고, 열처리의 전처리로서, 예컨대 불활성 가스처리나 에칭처리를 반도체 웨이퍼(2)에 대하여 실시하는 것을 가능하게 하고 있기 때문에, 대기기간 중에 자연산화막이 형성되는 것을 방지할 수 있고, 또한 자연산화막을 제거하는 것도 가능하게 된다. 또 반응관 내에서 대기하고 있기 때문에, 먼지 등의 부착에 의한 불량발생을 억제하는 것도 가능하게 된다.
상기한 보오트 스토커(50)의 채용에 의하여, 반도체 웨이퍼(2)를 웨이퍼 보오트(4)에 탑재한 상태에서 대기하는 기간중에, 전처리를 행하는 것이 가능하기 때문에, 대기기간에 기인하는 불량발생을 억제할 수 있으며, 또한 처리품질의 향상을 도모할 수 있고, 열처리 공정의 효율화를 도모하는 것이 가능해진다.
이상에서 설명한 바와같이, 본 발명의 종형 열처리장치에 의하면, 열처리 공정의 효율화를 도모할 수 있고, 불량발생을 억제하는 것이 가능해지며, 또한 웨이퍼 이재기구, 보오트 이송기구 및 보오트 반송기구를 외장체로 덮고, 그들의 측면, 바닥면에 설치된 팬을 구동시켜서 윗면의 에어필터를 통하여 클린에어를 적극적으로 끌어들여, 웨이퍼 이재기구, 보오트 이송기구 및 보오트 반송기구내를 각각 전용으로 층류로서 흐르는 클린에어의 다운플로우를 생기게 하도록 하였기 때문에, 이들 반송계에 발생하는 미세한 먼지 또는 파티클을 신속하게 배제할 수 있으며, 이로 인하여 먼지 또는 파티클의 부착 때문에 웨이퍼의 제품 수율을 저하시키는 일 없이 품질의 향상을 도모할 수 있다.
또한, 본 발명은 상술한 반도체 웨이퍼에 한정하지 않고, 액정의 구동회로기판, TFT회로기판 등, 청정한 환경하에서 처리할 필요가 있는 모든 웨이퍼 형상인 것의 처리에 적용할 수 있다.

Claims (13)

  1. 복수의 웨이퍼를 수용하기 위한 캐리어를 복수 수납하는 캐리어 스토커(10)와, 이 캐리어 스토커(10)와의 사이에서 상기 캐리어의 주고 받음이 가능하도록 설치되고, 상기 웨이퍼를 캐리어로부터 열처리용 용기에, 또는 이 열처리용 용기로부터 캐리어로 이재하기 위한 이재기구(20)와, 상기 웨이퍼를 재치한 열처리용 용기를 수용하고, 소정의 열처리를 행하기 위한 복수의 종형열처리로(30)와, 상기 이재기구(20)로부터 상기 종형 열처리로(30)에, 또는 상기 종형 열처리로(30)로부터 상기 이재기구(20)로 상기 열처리용 용기를 반송하기 위한 반송 기구와, 상기 이재기구(20)를 전용으로 통과하는 클린가스류를 형성하는 가스유통 수단을 가지는 종형 열처리장치.
  2. 제1항에 있어서, 상기 가스유통수단이, 상기 이재기구(20)를 덮는 외장체(16)와, 이 외장체(16)의 정상부에 형성된 필터와, 이 필터를 통하여 클린가스를 도입하고, 이재기구(20)의 아랫쪽으로 클린가스를 배출시키는 팬(19)을 가지는 종형 열처리장치.
  3. 제2항에 있어서, 상기 팬(19)이, 필터의 근방에 형성된 클린가스를 도입하기 위한 제 1 팬과, 이재기구(20)의 아랫쪽에 형성된 적어도 하나 이상의 제 2 팬으로 구성되어 있는 것을 특징으로 하는 종형 열처리장치.
  4. 제1항에 있어서, 상기 반송기구가, 열처리용 용기를 수평상태로부터 수직상태 또는 그 반대로 전환시키는 회전구동기구와, 이 열처리용 용기를 회전구동기구로부터 받아서, 수직상태대로 상기 종형열처리로(30)로 이송시키는 이송장치를 가지는 것을 특징으로 하는 종형 열처리장치.
  5. 제1항에 있어서, 상기 열처리용 용기가 석영보오트인 종형 열처리장치.
  6. 제4항에 있어서, 상기 열처리용 용기에 탑재된 미처리의 웨이퍼에 대하여 전처리를 행함과 동시에, 이 웨이퍼를 일시적으로 대기시키는 열처리용 용기 스토커를, 이송장치의 이송로를 따라서 형성한 것을 특징으로 하는 종형 열처리장치.
  7. 복수의 웨이퍼를 수용하기 위한 캐리어를 복수 수납하는 캐리어스토커(10)와, 이 캐리어 스토커(10)와의 사이에서 상기 캐리어의 주고받음이 가능하도록 형성되고, 상기 웨이퍼를 캐리어로부터 열처리용 용기에, 또는 이 열처리용 용기로부터 캐리어로 이재하기 위한 이재기구(20)와, 상기 웨이퍼를 재치한 열처리용 용기를 수용하고, 소정의 열처리를 행하기 위한 복수의 종형열처리로(30)와, 상기 이재기구(20)로부터 종형열처리로(30)에, 또는 이 종형열처리로(30)로부터 이재기구(20)로 상기 열처리용 용기를 반송하기 위한 반송기구와, 이 반송기구를 전용으로 통과하는 클린가스류를 형성하는 가스유통수단을 가지는 종형 열처리장치.
  8. 제7항에 있어서, 상기 이재기구(20)를 전용으로 통과하는 클린가스 류를 형성하는 가스유통수단을 더욱 설치한 것을 특징으로 하는 종형 열처리장치.
  9. 제7항에 있어서, 상기 가스유통수단이, 반송기구를 덮는 외장체(80)와, 이 외장체(80)의 정상부에 형성된 필터와, 이 필터를 통하여 클린가스를 도입하고, 반송기구의 아랫쪽으로부터 클린가스를 배출시키는 팬(82)을 가지는 종형 열처리장치.
  10. 제9항에 있어서, 상기 팬(82)이, 필터의 근방에 형성된 클린가스를 도입하기 위한 제 1 팬과, 반송기구의 아랫쪽에 형성된 적어도 하나 이상의 제 2 팬으로 구성되어 있는 것을 특징으로 하는 종형 열처리장치.
  11. 제7항에 있어서, 상기 반송기구가, 열처리용 용기를 수평상태로부터 수직상태 또는 그 반대로 전환시키는 회전구동기구와, 이 열처리용 용기를 회전구동기구로부터 받아서, 수직상태에서 상기 종형열처리로(30)로 이송시키는 이송장치를 가지는 것을 특징으로 하는 종형 열처리장치.
  12. 제7항에 있어서, 상기 열처리용 용기가 석영보우트인 종형 열처리장치.
  13. 제11항에 있어서, 상기 열처리용 용기에 탑재된 미처리의 웨이퍼에 대하여 전처리를 행함과 동시에, 이 웨이퍼를 일시적으로 대기시키는 열처리용 용기 스토커를 이송장치의 이송로를 따라서 형성한 것을 특징으로 하는 종형 열처리장치.
KR1019910010737A 1990-06-28 1991-06-26 종형 열처리 장치 KR0153250B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
JP90-171064 1990-06-28
JP2171065A JP2845580B2 (ja) 1990-06-28 1990-06-28 熱処理装置
JP171064 1990-06-28
JP171065 1990-06-28
JP2171064A JPH0459515A (ja) 1990-06-28 1990-06-28 ウェハ移載装置

Publications (2)

Publication Number Publication Date
KR920001623A KR920001623A (ko) 1992-01-30
KR0153250B1 true KR0153250B1 (ko) 1998-12-01

Family

ID=26493889

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019910010737A KR0153250B1 (ko) 1990-06-28 1991-06-26 종형 열처리 장치

Country Status (2)

Country Link
US (1) US5178639A (ko)
KR (1) KR0153250B1 (ko)

Families Citing this family (270)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5387557A (en) * 1991-10-23 1995-02-07 F. T. L. Co., Ltd. Method for manufacturing semiconductor devices using heat-treatment vertical reactor with temperature zones
JP3322912B2 (ja) * 1992-08-17 2002-09-09 東京エレクトロン株式会社 ウエハボート回転装置及びこれを用いた熱処理装置
KR970006728B1 (ko) * 1992-08-31 1997-04-29 마쯔시다 덴기 산교 가부시끼가이샤 환경제어장치
JP3258748B2 (ja) * 1993-02-08 2002-02-18 東京エレクトロン株式会社 熱処理装置
AU2429395A (en) * 1994-04-28 1995-11-29 Semitool, Incorporated Semiconductor processing systems
US5544421A (en) * 1994-04-28 1996-08-13 Semitool, Inc. Semiconductor wafer processing system
US5664337A (en) * 1996-03-26 1997-09-09 Semitool, Inc. Automated semiconductor processing systems
US6833035B1 (en) 1994-04-28 2004-12-21 Semitool, Inc. Semiconductor processing system with wafer container docking and loading station
AU2368495A (en) * 1994-04-28 1995-11-29 Semitool, Incorporated Semiconductor processing system with wafer container docking and loading station
US6712577B2 (en) * 1994-04-28 2004-03-30 Semitool, Inc. Automated semiconductor processing system
JP3239977B2 (ja) * 1994-05-12 2001-12-17 株式会社日立国際電気 半導体製造装置
CA2218518C (en) * 1995-05-05 2002-10-01 Saint-Gobain Industrial Ceramics, Inc. Slip free vertical rack design
US6036426A (en) * 1996-01-26 2000-03-14 Creative Design Corporation Wafer handling method and apparatus
US6723174B2 (en) 1996-03-26 2004-04-20 Semitool, Inc. Automated semiconductor processing system
US6942738B1 (en) 1996-07-15 2005-09-13 Semitool, Inc. Automated semiconductor processing system
KR100203747B1 (ko) * 1996-06-04 1999-06-15 윤종용 웨이퍼 이송 장치
US5820366A (en) * 1996-07-10 1998-10-13 Eaton Corporation Dual vertical thermal processing furnace
US6645355B2 (en) 1996-07-15 2003-11-11 Semitool, Inc. Semiconductor processing apparatus having lift and tilt mechanism
US6091498A (en) 1996-07-15 2000-07-18 Semitool, Inc. Semiconductor processing apparatus having lift and tilt mechanism
US6203582B1 (en) 1996-07-15 2001-03-20 Semitool, Inc. Modular semiconductor workpiece processing tool
NL1005102C2 (nl) * 1997-01-27 1998-07-29 Advanced Semiconductor Mat Inrichting voor het behandelen van halfgeleiderschijven.
NL1005625C2 (nl) * 1997-03-25 1998-10-01 Asm Int Stelsel voor het overbrengen van wafers uit cassettes naar ovens alsmede werkwijze.
US6053687A (en) 1997-09-05 2000-04-25 Applied Materials, Inc. Cost effective modular-linear wafer processing
NL1008143C2 (nl) 1998-01-27 1999-07-28 Asm Int Stelsel voor het behandelen van wafers.
US6565729B2 (en) * 1998-03-20 2003-05-20 Semitool, Inc. Method for electrochemically depositing metal on a semiconductor workpiece
TW593731B (en) * 1998-03-20 2004-06-21 Semitool Inc Apparatus for applying a metal structure to a workpiece
US6030208A (en) * 1998-06-09 2000-02-29 Semitool, Inc. Thermal processor
US6497801B1 (en) * 1998-07-10 2002-12-24 Semitool Inc Electroplating apparatus with segmented anode array
NL1010317C2 (nl) 1998-10-14 2000-05-01 Asm Int Sorteer/opslaginrichting voor wafers en werkwijze voor het hanteren daarvan.
NL1011487C2 (nl) * 1999-03-08 2000-09-18 Koninkl Philips Electronics Nv Werkwijze en inrichting voor het roteren van een wafer.
US7585398B2 (en) * 1999-04-13 2009-09-08 Semitool, Inc. Chambers, systems, and methods for electrochemically processing microfeature workpieces
US6368475B1 (en) * 2000-03-21 2002-04-09 Semitool, Inc. Apparatus for electrochemically processing a microelectronic workpiece
US20030038035A1 (en) * 2001-05-30 2003-02-27 Wilson Gregory J. Methods and systems for controlling current in electrochemical processing of microelectronic workpieces
US7189318B2 (en) * 1999-04-13 2007-03-13 Semitool, Inc. Tuning electrodes used in a reactor for electrochemically processing a microelectronic workpiece
US7264698B2 (en) * 1999-04-13 2007-09-04 Semitool, Inc. Apparatus and methods for electrochemical processing of microelectronic workpieces
JP4288010B2 (ja) * 1999-04-13 2009-07-01 セミトゥール・インコーポレイテッド 処理流体の流れ具合を向上させる処理チャンバを備えた加工物処理装置
US7438788B2 (en) * 1999-04-13 2008-10-21 Semitool, Inc. Apparatus and methods for electrochemical processing of microelectronic workpieces
US6916412B2 (en) * 1999-04-13 2005-07-12 Semitool, Inc. Adaptable electrochemical processing chamber
US7160421B2 (en) * 1999-04-13 2007-01-09 Semitool, Inc. Turning electrodes used in a reactor for electrochemically processing a microelectronic workpiece
US7020537B2 (en) * 1999-04-13 2006-03-28 Semitool, Inc. Tuning electrodes used in a reactor for electrochemically processing a microelectronic workpiece
NL1013984C2 (nl) 1999-12-29 2001-07-02 Asm Int Werkwijze en inrichting voor het behandelen van substraten.
US20050183959A1 (en) * 2000-04-13 2005-08-25 Wilson Gregory J. Tuning electrodes used in a reactor for electrochemically processing a microelectric workpiece
WO2001090434A2 (en) * 2000-05-24 2001-11-29 Semitool, Inc. Tuning electrodes used in a reactor for electrochemically processing a microelectronic workpiece
AU2001268656A1 (en) * 2000-07-07 2002-01-21 Semitool, Inc. Automated processing system
US6573198B2 (en) 2001-10-10 2003-06-03 Asm International N.V. Earthquake protection for semiconductor processing equipment
US6835039B2 (en) * 2002-03-15 2004-12-28 Asm International N.V. Method and apparatus for batch processing of wafers in a furnace
US7114903B2 (en) * 2002-07-16 2006-10-03 Semitool, Inc. Apparatuses and method for transferring and/or pre-processing microelectronic workpieces
US20040108212A1 (en) * 2002-12-06 2004-06-10 Lyndon Graham Apparatus and methods for transferring heat during chemical processing of microelectronic workpieces
US9314235B2 (en) * 2003-02-05 2016-04-19 Smith & Nephew, Inc. Tissue anchor and insertion tool
JP4667376B2 (ja) * 2003-07-02 2011-04-13 クック インコーポレイテッド 小ゲージ針カテーテル挿入器具
US7181132B2 (en) 2003-08-20 2007-02-20 Asm International N.V. Method and system for loading substrate supports into a substrate holder
US7022627B2 (en) 2003-10-31 2006-04-04 Asm International N.V. Method for the heat treatment of substrates
US7410355B2 (en) * 2003-10-31 2008-08-12 Asm International N.V. Method for the heat treatment of substrates
US6883250B1 (en) 2003-11-04 2005-04-26 Asm America, Inc. Non-contact cool-down station for wafers
US6940047B2 (en) * 2003-11-14 2005-09-06 Asm International N.V. Heat treatment apparatus with temperature control system
US20060065634A1 (en) * 2004-09-17 2006-03-30 Van Den Berg Jannes R Low temperature susceptor cleaning
US20060060145A1 (en) * 2004-09-17 2006-03-23 Van Den Berg Jannes R Susceptor with surface roughness for high temperature substrate processing
KR100605362B1 (ko) * 2004-10-27 2006-07-28 재단법인 포항산업과학연구원 대량 금속분말용 진공 열처리로 장치
US7217670B2 (en) * 2004-11-22 2007-05-15 Asm International N.V. Dummy substrate for thermal reactor
KR100594470B1 (ko) * 2004-12-29 2006-06-30 동부일렉트로닉스 주식회사 연속 공정이 가능한 반도체 제조 설비
US7553516B2 (en) * 2005-12-16 2009-06-30 Asm International N.V. System and method of reducing particle contamination of semiconductor substrates
US7740437B2 (en) * 2006-09-22 2010-06-22 Asm International N.V. Processing system with increased cassette storage capacity
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9048271B2 (en) 2011-09-29 2015-06-02 Asm International N.V. Modular semiconductor processing system
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
JP6166814B1 (ja) * 2016-04-14 2017-07-19 株式会社アストム バイポーラ膜
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
JP7124098B2 (ja) 2018-02-14 2022-08-23 エーエスエム・アイピー・ホールディング・ベー・フェー 周期的堆積プロセスにより基材上にルテニウム含有膜を堆積させる方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN117410213B (zh) * 2023-12-13 2024-03-22 浙江果纳半导体技术有限公司 一种晶圆传输装置

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5030057A (en) * 1987-11-06 1991-07-09 Tel Sagami Limited Semiconductor wafer transferring method and apparatus and boat for thermal treatment of a semiconductor wafer
KR970008320B1 (ko) * 1987-11-17 1997-05-23 도오교오 에레구토론 가부시끼가이샤 열처리 장치
KR0133676B1 (ko) * 1987-12-07 1998-04-23 후세 노보루 웨이퍼 이동 교체 장치 및 방법
US4955775A (en) * 1987-12-12 1990-09-11 Tel Sagami Limited Semiconductor wafer treating apparatus
JP2683675B2 (ja) * 1989-01-26 1997-12-03 東京エレクトロン株式会社 搬送装置
JP2905857B2 (ja) * 1989-08-11 1999-06-14 東京エレクトロン株式会社 縦型処理装置

Also Published As

Publication number Publication date
US5178639A (en) 1993-01-12
KR920001623A (ko) 1992-01-30

Similar Documents

Publication Publication Date Title
KR0153250B1 (ko) 종형 열처리 장치
KR100310249B1 (ko) 기판처리장치
KR100613171B1 (ko) 반도체 기판 냉각 방법 및 장치
KR20020019414A (ko) 기판 처리 장치 및 기판 처리 장치를 이용한 반도체디바이스 제조 방법
KR100269097B1 (ko) 기판처리장치
CN102254848A (zh) 衬底处理设备和衬底处理方法
KR101423813B1 (ko) 기판 반송 기구, 기판 처리 장치 및 반도체 장치의 제조 방법
JP4342745B2 (ja) 基板処理方法および半導体装置の製造方法
US6464445B2 (en) System and method for improved throughput of semiconductor wafer processing
JP2002246432A (ja) 基板処理装置
KR0147387B1 (ko) 종형 열처리 장치
KR0148384B1 (ko) 종형열처리장치
JP4709912B2 (ja) 基板処理方法および半導体装置の製造方法
JP4383636B2 (ja) 半導体製造装置および半導体装置の製造方法
JPH09104983A (ja) 基板処理装置
JP3395799B2 (ja) 基板搬送装置および熱処理装置
KR102649528B1 (ko) 기상 성장 장치
JP2888369B2 (ja) 縦型熱処理装置
JP5031960B2 (ja) 基板処理装置および半導体装置の製造方法
JP2845580B2 (ja) 熱処理装置
JP2683673B2 (ja) 縦型熱処理装置
JPH04120724A (ja) 縦型熱処理装置
JPH0459515A (ja) ウェハ移載装置
JP2002246445A (ja) 基板処理装置
JPH03248418A (ja) 縦型熱処理装置

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20050623

Year of fee payment: 8

LAPS Lapse due to unpaid annual fee