JP5805227B2 - プラズマ処理装置 - Google Patents

プラズマ処理装置 Download PDF

Info

Publication number
JP5805227B2
JP5805227B2 JP2014013480A JP2014013480A JP5805227B2 JP 5805227 B2 JP5805227 B2 JP 5805227B2 JP 2014013480 A JP2014013480 A JP 2014013480A JP 2014013480 A JP2014013480 A JP 2014013480A JP 5805227 B2 JP5805227 B2 JP 5805227B2
Authority
JP
Japan
Prior art keywords
wave plate
slow wave
microwave
coaxial waveguide
plasma processing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2014013480A
Other languages
English (en)
Other versions
JP2015141793A (ja
Inventor
吉川 潤
潤 吉川
倫崇 会田
倫崇 会田
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2014013480A priority Critical patent/JP5805227B2/ja
Priority to US14/605,338 priority patent/US9574270B2/en
Priority to KR1020150012008A priority patent/KR102266368B1/ko
Publication of JP2015141793A publication Critical patent/JP2015141793A/ja
Application granted granted Critical
Publication of JP5805227B2 publication Critical patent/JP5805227B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/511Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using microwave discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • H01J37/32211Means for coupling power to the plasma
    • H01J37/3222Antennas

Description

本発明は、被処理体を処理するプラズマ処理装置に関する。
従来、例えば半導体ウェハなどの被処理体に対して所定のプラズマ処理を施すプラズマ処理装置として、ラジアルラインスロットアンテナ(radial line slot antenna)を用いたプラズマ処理装置が知られている。ラジアルラインスロットアンテナは、多数のスロットを有するスロット板の上部に遅波板を載置した状態で処理容器の天井面開口部に配置され、その中央部にて同軸導波管に接続されている。かかる構成により、マイクロ波発生器により発生されたマイクロ波は、同軸導波管を経由して、遅波板で径方向へ放射状に伝えられ、スロット板で円偏波を発生させた後、スロット板から処理容器内に放射される。そして、このマイクロ波により処理容器内において低圧下で電子温度の低い高密度のプラズマを生成させることができ、生成されたプラズマによって、例えば成膜処理やエッチング処理などのプラズマ処理が行われる。
上述したプラズマ処理装置では、例えば図7に示すように同軸導波管100は、内部導体101と外部導体102によって構成されている。内部導体101の下端部101aは円錐形状に形成され、その径が下方に向かって拡大するテーパ形状を有している。また、遅波板110は、例えばその内側において上方に突起した突起部110aを有している。突起部110aは、内部導体101の突起部110aと外部導体102の隙間を埋めるように設けられ、同軸導波管100の径方向の軸ずれを抑制している。
しかしながら、遅波板110においては突起部110aの加工が難しく、突起部110aと内部導体101の間や、突起部110aと外部導体102の間に微小な空気層111が生じ、マイクロ波の伝送経路が複雑な空間になる。しかも、この空気層111は装置間の機差によって異なる。そうすると、同軸導波管100から遅波板110にマイクロ波が適切に伝送せず、当該遅波板110におけるマイクロ波の円周方向の放射が不均一になる。
この点、例えば特許文献1には、図8に示すように上記突起部110aが無い遅波板120が開示されている。遅波板120は、内側に配置される円環状平板の小径部材と、小径部材を囲む円環状平板の大径部材とから構成されている。図8においては、遅波板120の小径部材が図示されている。この遅波板120の内側面120aは、同軸導波管100の外部導体102の内側面102aより径方向に内側に位置している。すなわち、遅波板120は、外部導体102より内部導体101側に突出して設けられている。
特開2011−77228号公報
しかしながら、特許文献1に記載された遅波板120を用いた場合、図7に示した突起部110aによる空気層111を無くすことができるが、図8に示すように遅波板120の内側面120aと内部導体101の下端部101aの間に微小な空気層121が形成される。すなわち、遅波板120を用いた場合でも、マイクロ波の伝送経路が複雑な空間になる。このため、同軸導波管100内を伝送されるマイクロ波の一部分は直接遅波板120に伝送されるが、他部分は微小な空気層121を経由して遅波板120に伝送され、同軸導波管100から遅波板120にマイクロ波が適切に伝送されない。そうすると、遅波板120におけるマイクロ波の円周方向の放射が不均一になる。そして、このようにマイクロ波の放射が不均一になると電界が乱れ、処理容器内に生成されるプラズマ密度が不均一になる。
本発明は、かかる点に鑑みてなされたものであり、同軸導波管から遅波板に伝送されるマイクロ波を遅波板において円周方向に均一に放射し、当該マイクロ波を用いたプラズマ処理を適切に行うことを目的とする。
前記の目的を達成するため、本発明は、被処理体を処理するプラズマ処理装置であって、被処理体を収容する処理容器と、マイクロ波発生器で発生したマイクロ波を伝送する同軸導波管と、前記同軸導波管から伝送されたマイクロ波の波長を調整し、当該マイクロ波を前記処理容器に導入するための遅波板と、を備え、前記同軸導波管の内部導体の下端部は、その径が下方に向かって拡大するテーパ形状を有し、前記遅波板は平面視において円環形状を有し、前記遅波板の内側面は、前記内部導体の下端部を囲い、且つ前記同軸導波管の外部導体の内側面より径方向に外側に位置し、前記遅波板は、前記内部導体の下端部におけるテーパ形状の水平方向長さと鉛直方向長さをパラメータとして計算される前記同軸導波管から前記遅波板に伝送されるマイクロ波の放射率を示した図において、前記放射率が所定率以上となる前記パラメータの領域が最大面積となるように決定された内径を備えることを特徴としている。
本発明によれば、遅波板の内側面が内部導体の下端部を囲い、且つ外部導体の内側面より径方向に外側に位置しているので、当該遅波板の内側面と内部導体の下端部の隙間に従来のように遅波板が配置されず、さらに微小な空気層も形成されない。そして、内部導体の下端部と外部導体の隙間は空気層のみで形成され、マイクロ波の伝送経路は空気層から遅波板へと単純になる。かかる場合、同軸導波管内を伝送されるマイクロ波は、当該同軸導波管の下端部において内部導体の下端部のテーパ形状により遅波板側に適切に伝送され、その流れが乱れない。このため、遅波板におけるマイクロ波の円周方向の放射を均一にすることができる。そして、マイクロ波の放射を均一にできるので、当該マイクロ波のエネルギーによって形成される電界が乱れず、処理容器内に生成されるプラズマ密度を均一にしてプラズマ処理を適切に行うことができる。
なお、発明者らが鋭意検討した結果、同軸導波管が遅波板に対して径方向に軸ずれした場合でも、本発明の遅波板を用いた場合、当該遅波板におけるマイクロ波の円周方向の放射を均一にできることが分かった。具体的には、同軸導波管の軸ずれを設計上許容される最大値にした状態でシミュレーションを行い、遅波板におけるマイクロ波の円周方向の放射が均一になることを確認した。
前記遅波板は、前記内部導体と同心円状に配置されていてもよい。
前記遅波板の内側面は、略鉛直方向に延伸していてもよい。なお、略鉛直方向とは、鉛直方向だけでなく、例えば鉛直方向から±5度の範囲も含む。
前記遅波板は、前記内部導体の下端部におけるテーパ形状寸法の変化に対するマイクロ波の放射率の変化率が所定値以下となるように決定された内径を備えていてもよい。
本発明によれば、同軸導波管から遅波板に伝送されるマイクロ波を遅波板において円周方向に均一に放射し、当該マイクロ波を用いたプラズマ処理を適切に行うことができる。
本実施の形態にかかるプラズマ処理装置の構成の概略を示す縦断面図である。 遅波板の構成の概略を示す平面図である。 同軸導波管と遅波板の構成の概略を示す縦断面図である。 比較例としての同軸導波管と遅波板の構成の概略を示す縦断面図である。 シミュレーションの条件である、遅波板の内径と内部導体の下端部のテーパ形状寸法を示す説明図である。 シミュレーションを行った結果であるマイクロ波の放射率を示し、(a)は遅波板の内径が50mmの場合のマイクロ波の放射率、(b)は遅波板の内径が60mmの場合のマイクロ波の放射率、(c)は遅波板の内径が70mmの場合のマイクロ波の放射率を示している。 従来の同軸導波管と遅波板の構成の概略を示す縦断面図である。 従来の同軸導波管と遅波板の構成の概略を示す縦断面図である。
以下、本発明の実施の形態について図面を参照して説明する。図1は、本実施の形態にかかるプラズマ処理装置1の構成の概略を示す縦断面図である。なお、本明細書および図面において、実質的に同一の機能構成を有する構成要素については、同一の符号を付することにより重複説明を省略する。また、本実施の形態のプラズマ処理装置1では、被処理体としてのウェハWの表面に対してプラズマCVD(Chemical Vapor Deposiotion)処理を行い、当該ウェハWの表面にSiN膜(シリコン窒化膜)を形成する。
プラズマ処理装置1は、図1に示すように処理容器10を有している。処理容器10は、天井面が開口した略円筒形状を有し、当該天井面開口部には後述するラジアルラインスロットアンテナ40が配置されている。また、処理容器10の側面にはウェハWの搬入出口11が形成され、当該搬入出口11にはゲートバルブ12が設けられている。そして、処理容器10はその内部を密閉可能に構成されている。なお、処理容器10にはアルミニウム又はステンレス鋼等の金属が用いられ、処理容器10は接地されている。
処理容器10の底面には、ウェハWを載置する載置台20が設けられている。載置台20は円筒形状を有し、また載置台20には例えばアルミニウムが用いられる。
載置台20の上面には静電チャック21が設けられている。静電チャック21は、絶縁材の間に電極22が挟み込まれた構成を有している。電極22は処理容器10の外部に設けられた直流電源23に接続されている。この直流電源23により載置台20の表面にクーロン力を生じさせて、ウェハWを載置台20上に静電吸着することができる。
また載置台20には、コンデンサ24を介して、RFバイアス用の高周波電源25が接続されていてもよい。高周波電源25は、ウェハWに引き込むイオンのエネルギーを制御するのに適した一定の周波数、例えば13.56MHzの高周波を所定のパワーで出力する。
また載置台20の内部には、例えば冷却媒体を流通させる温度調節機構26が設けられている。温度調節機構26は、冷却媒体の温度を調整する液温調節部27に接続されている。そして、液温調節部27によって冷媒媒体の温度が調節され、載置台20の温度を制御でき、この結果、載置台20上に載置されたウェハWを所定の温度に維持できる。なお、載置台20には、ウェハWの裏面に伝熱媒体、例えばHeガスなどを所定圧力(バックプレッシャー)にて供給するためのガス通路(図示せず)が形成されている。
載置台20の上面には、静電チャック21上のウェハWを囲むように環状のフォーカスリング28が設けられている。フォーカスリング28には例えばセラミックスあるいは石英などの絶縁性材料が用いられ、フォーカスリング28はプラズマ処理の均一性を向上させるように作用する。
なお、載置台20の下方には、ウェハWを下方から支持し昇降させるための昇降ピン(図示せず)が設けられている。昇降ピンは、載置台20に形成された貫通孔(図示せず)を挿通し載置台20の上面から突出可能になっている。
載置台20の周囲において、当該載置台20と処理容器10の側面との間には、環状の排気空間30が形成されている。排気空間30の上部には、処理容器10内を均一に排気するため、複数の排気孔が形成された環状のバッフル板31が設けられている。排気空間30の底部であって、処理容器10の底面には、排気管32が接続されている。排気管32の数は任意に設定でき、円周方向に複数形成されていてもよい。排気管32は、例えば真空ポンプを備えた排気装置33に接続されている。排気装置33は、処理容器10内の雰囲気を所定の真空度まで減圧することができる。
処理容器10の天井面開口部には、プラズマ生成用のマイクロ波を供給するラジアルラインスロットアンテナ40(radial line slot antenna)が設けられている。ラジアルラインスロットアンテナ40は、マイクロ波透過板41、スロット板42、遅波板43、シールド蓋体44を有している。
マイクロ波透過板41は、例えばOリング等のシール材(図示せず)を介して、処理容器10の天井面開口部に密に設けられている。したがって、処理容器10の内部は気密に保持される。マイクロ波透過板41には誘電体、例えば石英、Al、AlN等が用いられ、マイクロ波透過板41はマイクロ波を透過させる。
スロット板42は、マイクロ波透過板41の上面であって、載置台20と対向するように設けられている。スロット板42には複数のスロットが形成され、スロット板42はアンテナとして機能する。スロット板42には、導電性を有する材料、たとえば銅、アルミニウム、ニッケル等が用いられる。
遅波板43は、スロット板42の上面に設けられている。遅波板43には低損失誘電体材料、例えば石英、Al、AlN等が用いられ、遅波板43はマイクロ波の波長を短縮する。なお、遅波板43の詳細な構造の説明は後述する。
シールド蓋体44は、遅波板43の上面において、遅波板43とスロット板42覆うように設けられている。シールド蓋体44の内部には、例えば冷却媒体を流通させる円環状の流路45が複数設けられている。流路45を流れる冷却媒体によって、マイクロ波透過板41、スロット板42、遅波板43、シールド蓋体44が所定の温度に調節される。
シールド蓋体44の中央部には同軸導波管50が接続されている。同軸導波管50は、内部導体51と外部導体52を有している。内部導体51は、スロット板42と接続されている。内部導体51の下端部51aは円錐形に形成され、その径がスロット板42側に向かって拡大するテーパ形状を有している。この下端部51aにより、スロット板42に対してマイクロ波を効率よく伝播するようになっている。すなわち、同軸導波管50内を伝送されるマイクロ波は、テーパ形状の下端部51aで反射し、遅波板43の径方向に伝送される。
同軸導波管50には、マイクロ波を所定の振動モードに変換するモード変換器53、矩形導波管54、マイクロ波を発生するマイクロ波発生器55が同軸導波管50側からこの順で接続されている。マイクロ波発生器55は、所定周波数、例えば2.45GHzのマイクロ波を発生させる。
かかる構成により、マイクロ波発生器55により発生されたマイクロ波は、矩形導波管54、モード変換器53、同軸導波管50を順次伝播し、ラジアルラインスロットアンテナ40内に供給され、遅波板43で圧縮され短波長化され、スロット板42で円偏波を発生させた後、スロット板42からマイクロ波透過板41を透過して処理容器10内に放射される。このマイクロ波により処理容器10内では処理ガスがプラズマ化し、このプラズマによりウェハWのプラズマ処理が行われる。
処理容器10の側面には、処理ガス供給管60が設けられている。処理ガス供給管60は、処理容器10の側面の円周上で等間隔に複数、例えば24本設けられている。処理ガス供給管60の一端部は処理容器10の側面において開口し、他端部はバッファ部61に接続されている。処理ガス供給管60は、その一端部が他端部より下方に位置するように斜めに配置されている。
バッファ部61は、処理容器10の側面内部に環状に設けられ、複数の処理ガス供給管60に共通に設けられている。バッファ部61には、供給管62を介して処理ガス供給源63が接続されている。処理ガス供給源63の内部には、処理ガスとして、例えばTSA(トリシリルアミン)、Nガス、Hガス、Arガスがそれぞれ個別に貯留されている。このうち、TSA、Nガス、HガスはSiN膜の成膜用の原料ガスであり、Arガスはプラズマ励起用ガスである。なお、供給管62には、処理ガスの流れを制御するバルブや流量調節部等を含む供給機器群64が設けられている。
次に、上述した遅波板43の詳細な構造について説明する。図2に示すように遅波板43は、平面視において円環形状を有する平板である。遅波板43は、内部導体51(下端部51a)と同心円状に配置されている。また、図2及び図3に示すように遅波板43の内側面43aは、内部導体51の下端部51aを囲うように設けられている。また、遅波板43の内側面43aは、外部導体52の内側面52aより径方向に外側に位置している。さらに、遅波板43の内側面43aは、略鉛直方向に延伸している。
かかる場合、遅波板43の内側面43aが内部導体51の下端部51aを囲い、且つ外部導体52の内側面52aより径方向に外側に位置しているので、当該遅波板43の内側面43aと内部導体51の下端部51aの隙間には、従来の図7及び図8に示したように遅波板110、120が配置されず、さらに微小な空気層111、121も形成されない。そして、図3に示すように内部導体51の下端部51aと外部導体52の隙間は空気層70のみで形成され、マイクロ波の伝送経路は空気層70から遅波板43へと単純になる。そうすると、同軸導波管50内を伝送されるマイクロ波は、当該同軸導波管50の下端部において内部導体51の下端部51aのテーパ形状により遅波板43側に適切に伝送され、その流れが乱れない。このため、遅波板43におけるマイクロ波の円周方向の放射を均一にすることができる。
また、発明者らが鋭意検討した結果、同軸導波管50が遅波板43に対して径方向に軸ずれした場合でも、本実施の形態の遅波板43を用いた場合、当該遅波板43におけるマイクロ波の円周方向の放射を均一にできることが分かった。具体的には、同軸導波管50の軸ずれがゼロの状態でマイクロ波を伝送するシミュレーションを行ったところ、遅波板43におけるマイクロ波の円周方向の均一性は0.10%であった。なお、この均一性は遅波板43の同心円に対するマイクロ波の円周方向の位置ずれを示し、軸ずれがゼロの場合は理論上、マイクロ波の円周方向の均一性はゼロとなるが、このように0.10%となったのはシミュレーション上の誤差である。これに対して、同軸導波管50の軸ずれを0.15mmと0.2mmに変動させてシミュレーションを行ったところ、マイクロ波の円周方向の均一性はそれぞれ0.15%と0.18%であった。同軸導波管50の軸ずれの設計上の最大許容値が例えば0.175mmであることを鑑みると、同軸導波管50が遅波板43に対して径方向に軸ずれしても、遅波板43におけるマイクロ波の円周方向の放射を均一にできることが分かった。なお、本シミュレーションでは、遅波板43の影響を適切に把握するため、スロット板42を省略して、シミュレーションモデルを簡易化している。
ここで、従来、同軸導波管が軸ずれすると、遅波板の内側におけるマイクロ波の定在波と、遅波板の外側における定在波とが不均一に干渉するため、当該遅波板においてマイクロ波の円周方向の偏りが生じると考えられる。これに対して、本実施の形態の遅波板43を用いた場合、遅波板43の内側の定在波の影響を抑えることができるため、遅波板43は同軸導波管50の軸ずれに対してロバストになる。すなわち、上述したように同軸導波管50が軸ずれした場合でも、遅波板43におけるマイクロ波の円周方向の放射を均一にすることができる。
なお、発明者らは、従来の図7に示したように遅波板110に突起部110aが設けられている場合において、同軸導波管100の軸ずれを0.15mmとしてシミュレーションを行ったところ、マイクロ波の円周方向の均一性は0.43%であった。すなわち、従来、同軸導波管100が軸ずれすると、遅波板110におけるマイクロ波の円周方向の放射が不均一になる。したがって、かかる観点からも、本実施の形態の遅波板43は、マイクロ波を円周方向に均一に放射させるのに有効であることが分かる。
さらに、遅波板43の内側面43aは略鉛直方向に延伸している。この点、例えば図4に示すように遅波板130の内側面130aが鉛直方向から傾斜して延伸している場合、当該内側面130aとスロット板42の間に微小な空気層131が形成される。そうすると、同軸導波管50から遅波板130に伝送されるマイクロ波の伝送経路が複雑な空間になり、同軸導波管50から遅波板130にマイクロ波が適切に伝送されない。このため、遅波板130におけるマイクロ波の円周方向の放射が不均一になる。これに対して、本実施の形態の遅波板43の内側面43aは略鉛直方向に延伸しているので、マイクロ波の伝送経路に微小な空気層が形成されず、上述したようにマイクロ波の伝送経路は空気層70から遅波板43へと単純になる。このため、遅波板43におけるマイクロ波の円周方向の放射を均一にすることができる。なお、発明者らは、遅波板43の内側面43aが鉛直方向から±5度の範囲であっても、上述した効果を享受できることを確認している。
以上述べたように、遅波板43におけるマイクロ波を円周方向に均一に放射させるには、図7の空気層111、図8の空気層121、図4の空気層131のような微小な空気層をマイクロ波の伝送経路に形成しないことが必要となる。換言すれば、マイクロ波の伝送経路を単純化した本実施の形態の遅波板43は、マイクロ波を円周方向に均一に放射することができるのである。
図2及び図3に示した遅波板43の内径φは、次のように決定される。図5に示す内部導体51の下端部51aにおけるテーパ形状寸法(水平方向長さXと鉛直方向長さZ)を変動させ、さらに遅波板43の内径φを変動させてシミュレーションを行う。その結果を図6に示す。図6の各グラフは、内部導体51の下端部51aの水平方向長X(横軸)と鉛直方向長さZ(縦軸)において、同軸導波管50から遅波板43に伝送されるマイクロ波の放射率を示している。また、図6(a)〜(c)は遅波板43の内径φを変動させた場合を示し、例えば図6(a)は内径が50mmの場合、図6(b)は内径φが60mmの場合、図6(c)は内径φが70mmの場合を示している。
遅波板43の内径φは、マイクロ波の放射率が所定率以上となる所定放射領域Aが最大面積となるように決定される。所定率は、要求される製品の使用に応じて設定されるものであり、例えば95%である。そして、図6の例においては、マイクロ波の放射率が95%以上となる所定放射領域Aが最大面積となるのは、内径φが60mmの場合であり、これに決定される。
かかる場合、マイクロ波の放射率が95%以上となる所定放射領域Aが最大面積となるので、内部導体51の下端部51aにおけるテーパ形状寸法(水平方向長さXと鉛直方向長さZ)の設計の自由度が大きくなる。すなわち、例えば内部導体51の加工誤差が生じたとしても、マイクロ波の放射率を95%以上に確保することができ、遅波板43においてマイクロ波を円周方向に均一に放射することができる。
また、遅波板43の内径φは、下記式(1)を満たすように決定される。式(1)の左辺は、内部導体51の下端部51aにおけるテーパ形状寸法(水平方向長さXと鉛直方向長さZ)の変化に対して、同軸導波管50から遅波板43に伝送されるマイクロ波の放射率の変化率を示している。式(1)の右辺は、マイクロ波放射率の変化率の上限値であって、例えば10(%/mm)である。なお、この上限値は、要求される製品の仕様に応じて設定されるものであり、任意に設定できる。
但し、P:マイクロ波の放射率(%)、X:内部導体51の下端部51aにおけるテーパ形状の水平方向長さ(mm)、Z:内部導体51の下端部51aにおけるテーパ形状の鉛直方向長さ(mm)
このようにマイクロ波の放射率の変化率が所定値以下であると、下端部51aのテーパ形状によらず、マイクロ波が適切に放射されることになる。換言すれば、内部導体51の下端部51aと外部導体52の隙間が適切に確保されて、同軸導波管50内を伝送されるマイクロ波がテーパ形状の下端部51aで適切に反射され、遅波板43の径方向に適切に伝送される。
次に、以上のように構成されたプラズマ処理装置1で行われるウェハWのプラズマ処理について説明する。本実施の形態では、上述したようにウェハWにプラズマ成膜処理を行って、当該ウェハWの表面にSiN膜を形成する。
先ず、ゲートバルブ12を開き、処理容器10内にウェハWを搬入する。ウェハWは、昇降ピンによって載置台20上に載置される。このとき、直流電源23をオンにして静電チャック21の電極22に直流電圧を印可し、静電チャック21のクーロン力によりウェハWを静電チャック21上に静電吸着する。そして、ゲートバルブ12を閉じ、処理容器10内を密閉した後、排気装置33を作動させ、処理容器10内を所定の圧力、例えば400mTorr(=53Pa)に減圧する。
その後、処理ガス供給管60から処理容器10内に処理ガスを供給する。このとき、処理ガス供給管60から供給されるArガスの流量は例えば750sccm(mL/min)である。
このように処理容器10内に処理ガスが供給される際、マイクロ波発生器55を作動させ、当該マイクロ波発生器55において、例えば2.45GHzの周波数で所定のパワーのマイクロ波を発生させる。マイクロ波は、矩形導波管54、モード変換器53、同軸導波管50、ラジアルラインスロットアンテナ40を介して、処理容器10内に放射される。このとき、上述したように同軸導波管50から遅波板43に伝送されたマイクロ波は、遅波板43において円周方向に均一に放射される。そして、処理容器10内に放射された均一なマイクロ波によって処理容器10内では処理ガスが均一な密度でプラズマ化し、プラズマ中で処理ガスの解離が進み、その際に発生した活性種によってウェハW上に成膜処理がなされる。こうして、ウェハWの表面にSiN膜が形成される。
ウェハWにプラズマ成膜処理を行っている間、高周波電源25をオンにして、例えば13.56MHzの周波数で所定のパワーの高周波を出力させてもよい。この高周波はコンデンサ24を介して載置台20に印加され、RFバイアスがウェハWに印加される。プラズマ処理装置1では、プラズマの電子温度を低く維持できるので、膜へのダメージがなく、しかも、高密度プラズマにより、処理ガスの分子が解離されやすいので、反応が促進される。また、適切な範囲でのRFバイアスの印加は、プラズマ中のイオンをウェハWへ引き込むように作用するため、SiN膜の緻密性を向上させるとともに、膜中のトラップを増加させるように作用する。
その後、SiN膜が成長し、ウェハWに所定の膜厚のSiN膜が形成されると、処理ガスの供給と、マイクロ波の照射が停止される。その後、ウェハWは処理容器10から搬出されて、一連のプラズマ成膜処理が終了する。
以上の実施の形態によれば、遅波板43が上述した構造を有することにより、同軸導波管50から遅波板43に伝送されるマイクロ波の伝送経路に微小な空気層が形成されず、内部導体51の下端部51aと外部導体52の隙間は空気層70のみで形成される。そして、マイクロ波の伝送経路を単純化することができる。このため、同軸導波管50から遅波板43マイクロ波が適切に伝送され、当該遅波板43におけるマイクロ波の円周方向の放射を均一にすることができる。このようにマイクロ波の放射を均一にできるので、当該マイクロ波のエネルギーによって形成される電界が乱れず、処理容器10内に生成されるプラズマ密度を均一にしてプラズマ処理を適切に行うことができる。
以上、添付図面を参照しながら本発明の好適な実施の形態について説明したが、本発明はかかる例に限定されない。当業者であれば、特許請求の範囲に記載された思想の範疇内において、各種の変更例または修正例に想到し得ることは明らかであり、それらについても当然に本発明の技術的範囲に属するものと了解される。
以上の実施の形態では、本発明を成膜処理を行うプラズマ処理に適用していたが、本発明は、成膜処理以外の基板処理、例えばエッチング処理やスパッタリングを行うプラズマ処理にも適用できる。さらに、本発明のプラズマ処理で処理される被処理体は、ガラス基板、有機EL基板、FPD(フラットパネルディスプレイ)用の基板等のいずれのものであってもよい。
1 プラズマ処理装置
10 処理容器
40 ラジアルラインスロットアンテナ
43 遅波板
43a 内側面
50 同軸導波管
51 内部導体
51a 下端部
52 外部導体
52a 内側面
55 マイクロ波発生器
A 所定放射領域
W ウェハ

Claims (4)

  1. 被処理体を処理するプラズマ処理装置であって、
    被処理体を収容する処理容器と、
    マイクロ波発生器で発生したマイクロ波を伝送する同軸導波管と、
    前記同軸導波管から伝送されたマイクロ波の波長を調整し、当該マイクロ波を前記処理容器に導入するための遅波板と、を備え、
    前記同軸導波管の内部導体の下端部は、その径が下方に向かって拡大するテーパ形状を有し、
    前記遅波板は平面視において円環形状を有し、
    前記遅波板の内側面は、前記内部導体の下端部を囲い、且つ前記同軸導波管の外部導体の内側面より径方向に外側に位置し
    前記遅波板は、前記内部導体の下端部におけるテーパ形状の水平方向長さと鉛直方向長さをパラメータとして計算される前記同軸導波管から前記遅波板に伝送されるマイクロ波の放射率を示した図において、前記放射率が所定率以上となる前記パラメータの領域が最大面積となるように決定された内径を備えることを特徴とする、プラズマ処理装置。
  2. 前記遅波板は、前記内部導体と同心円状に配置されていることを特徴とする、請求項1に記載のプラズマ処理装置。
  3. 前記遅波板の内側面は、略鉛直方向に延伸することを特徴とする、請求項1又は2に記載のプラズマ処理装置。
  4. 前記遅波板は、前記内部導体の下端部におけるテーパ形状寸法の変化に対するマイクロ波の放射率の変化率が所定値以下となるように決定された内径を備えることを特徴とする、請求項に記載のプラズマ処理装置。
JP2014013480A 2014-01-28 2014-01-28 プラズマ処理装置 Expired - Fee Related JP5805227B2 (ja)

Priority Applications (3)

Application Number Priority Date Filing Date Title
JP2014013480A JP5805227B2 (ja) 2014-01-28 2014-01-28 プラズマ処理装置
US14/605,338 US9574270B2 (en) 2014-01-28 2015-01-26 Plasma processing apparatus
KR1020150012008A KR102266368B1 (ko) 2014-01-28 2015-01-26 플라즈마 처리 장치

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2014013480A JP5805227B2 (ja) 2014-01-28 2014-01-28 プラズマ処理装置

Publications (2)

Publication Number Publication Date
JP2015141793A JP2015141793A (ja) 2015-08-03
JP5805227B2 true JP5805227B2 (ja) 2015-11-04

Family

ID=53678480

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2014013480A Expired - Fee Related JP5805227B2 (ja) 2014-01-28 2014-01-28 プラズマ処理装置

Country Status (3)

Country Link
US (1) US9574270B2 (ja)
JP (1) JP5805227B2 (ja)
KR (1) KR102266368B1 (ja)

Families Citing this family (234)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
JP6700127B2 (ja) * 2016-07-07 2020-05-27 東京エレクトロン株式会社 マイクロ波プラズマ処理装置
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) * 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
US11183369B2 (en) 2018-12-27 2021-11-23 Industrial Technology Research Institute Focalized microwave plasma reactor
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3485896B2 (ja) * 2000-07-11 2004-01-13 東京エレクトロン株式会社 プラズマ処理装置
JP4727057B2 (ja) * 2001-03-28 2011-07-20 忠弘 大見 プラズマ処理装置
EP1398826A4 (en) * 2001-06-20 2006-09-06 Tadahiro Ohmi MICROWAVE PLASMA PROCESSING DEVICE, PLASMA PROCESSING METHOD, AND MICROWAVE RADIATION MEMBER
JP4209612B2 (ja) * 2001-12-19 2009-01-14 東京エレクトロン株式会社 プラズマ処理装置
US7445690B2 (en) * 2002-10-07 2008-11-04 Tokyo Electron Limited Plasma processing apparatus
JP4852997B2 (ja) * 2005-11-25 2012-01-11 東京エレクトロン株式会社 マイクロ波導入装置及びプラズマ処理装置
JP5479013B2 (ja) * 2009-09-30 2014-04-23 東京エレクトロン株式会社 プラズマ処理装置及びこれに用いる遅波板

Also Published As

Publication number Publication date
US20150211125A1 (en) 2015-07-30
KR102266368B1 (ko) 2021-06-16
KR20150089953A (ko) 2015-08-05
JP2015141793A (ja) 2015-08-03
US9574270B2 (en) 2017-02-21

Similar Documents

Publication Publication Date Title
JP5805227B2 (ja) プラズマ処理装置
JP2014183297A (ja) プラズマ処理装置及びシャワープレート
WO2007091672A1 (ja) プラズマ処理装置及びプラズマ処理方法
WO2006009213A1 (ja) プラズマ処理装置
JP2012216525A (ja) プラズマ処理装置及びプラズマ発生用アンテナ
JP2018006718A (ja) マイクロ波プラズマ処理装置
JP6671230B2 (ja) プラズマ処理装置およびガス導入機構
US20090050052A1 (en) Plasma processing apparatus
JP5374853B2 (ja) プラズマ処理装置
US6675737B2 (en) Plasma processing apparatus
JP5479013B2 (ja) プラズマ処理装置及びこれに用いる遅波板
US10777389B2 (en) Plasma processing apparatus and plasma processing method
KR102229990B1 (ko) 플라즈마 처리 장치용 부재 및 플라즈마 처리 장치
JP3889280B2 (ja) プラズマ処理装置
JP6045485B2 (ja) 基板処理装置
JP2008182102A (ja) 天板部材及びこれを用いたプラズマ処理装置
JP2015082546A (ja) プラズマ処理装置及びプラズマ処理方法
JP2017226894A (ja) プラズマ成膜方法およびプラズマ成膜装置
JP6700128B2 (ja) マイクロ波プラズマ処理装置
US10930477B2 (en) Plasma processing apparatus
JP2017059579A (ja) プラズマ処理装置
JP5728565B2 (ja) プラズマ処理装置及びこれに用いる遅波板
US11427909B2 (en) Plasma processing apparatus
JP2009059885A (ja) プラズマ処理装置

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20150519

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20150717

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20150804

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20150901

R150 Certificate of patent or registration of utility model

Ref document number: 5805227

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees