KR102155181B1 - 반도체 장치 및 그 제조 방법 - Google Patents

반도체 장치 및 그 제조 방법 Download PDF

Info

Publication number
KR102155181B1
KR102155181B1 KR1020140010416A KR20140010416A KR102155181B1 KR 102155181 B1 KR102155181 B1 KR 102155181B1 KR 1020140010416 A KR1020140010416 A KR 1020140010416A KR 20140010416 A KR20140010416 A KR 20140010416A KR 102155181 B1 KR102155181 B1 KR 102155181B1
Authority
KR
South Korea
Prior art keywords
silicon
germanium
layer
gas
germanium layer
Prior art date
Application number
KR1020140010416A
Other languages
English (en)
Other versions
KR20150089597A (ko
Inventor
김동혁
신거명
신동석
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR1020140010416A priority Critical patent/KR102155181B1/ko
Priority to US14/516,603 priority patent/US9337031B2/en
Publication of KR20150089597A publication Critical patent/KR20150089597A/ko
Priority to US15/146,106 priority patent/US9728645B2/en
Application granted granted Critical
Publication of KR102155181B1 publication Critical patent/KR102155181B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02441Group 14 semiconducting materials
    • H01L21/0245Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02494Structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02494Structure
    • H01L21/02496Layer structure
    • H01L21/02505Layer structure consisting of more than two layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/0257Doping during depositing
    • H01L21/02573Conductivity type
    • H01L21/02579P-type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02609Crystal orientation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02636Selective deposition, e.g. simultaneous growth of mono- and non-monocrystalline semiconductor materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02636Selective deposition, e.g. simultaneous growth of mono- and non-monocrystalline semiconductor materials
    • H01L21/02639Preparation of substrate for selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate

Abstract

반도체 장치의 제조 방법에서, 챔버 내에 배치된 기판의 액티브 핀상부를 부분적으로 제거하여 트렌치를 형성하고, 소스/드레인 층을 형성한다. 소스/드레인 층을 형성할 때, 챔버 내에 실리콘 소스 가스, 게르마늄 소스 가스, 식각 가스 및 캐리어 가스를 공급하여, 트렌치에 의해 노출된 액티브 영역 상면을 시드로 하는 선택적 에피택시얼 성장 공정을 수행함으로써 실리콘-게르마늄 층을 성장시킨다. 캐리어 가스를 공급하면서 챔버를 퍼지함으로써, 실리콘-게르마늄 층을 식각한다.

Description

반도체 장치 및 그 제조 방법{SEMICONDUCTOR DEVICES AND METHODS OF MANUFACTURING THE SAME}
본 발명은 반도체 장치 및 그 제조 방법에 관한 것이다. 보다 상세하게 본 발명은 핀펫(finFET) 및 그 제조 방법에 관한 것이다.
핀펫(finFET) 형성 시, 액티브 핀 상에 게이트 구조물들을 형성하고, 상기 게이트 구조물들에 의해 커버되지 않은 상기 액티브 핀 상부에 트렌치를 형성한 후, 선택적 에피택시얼 성장(SEG) 공정에 의해 상기 트렌치를 채우는 소스/드레인 층을 형성한다. 그런데, 상기 게이트 구조물들 사이의 간격이 큰 경우, 상기 소스/드레인 층의 성장 방향에 따른 패싯(facet)에 의해 가운데가 V자 형상으로 패인 소스/드레인 층이 형성되어 상기 트렌치를 완전히 채우지 못하게 된다. 이에 따라, 이후 상기 소스/드레인 층 상에 형성되는 콘택 플러그와의 접촉 불량이 야기될 수 있다.
본 발명의 일 목적은 우수한 특성을 갖는 반도체 장치를 제공하는 데 있다.
본 발명의 다른 목적은 우수한 특성을 갖는 반도체 장치를 제조하는 방법을 제공하는 데 있다.
상기 본 발명의 일 목적을 달성하기 위한 실시예들에 따른 반도체 장치의 제조 방법에서, 챔버 내에 배치된 기판의 액티브 핀(active fin) 상부를 부분적으로 제거하여 트렌치를 형성하고, 소스/드레인 층을 형성한다. 상기 소스/드레인 층을 형성할 때, 상기 챔버 내에 실리콘 소스 가스, 게르마늄 소스 가스, 식각 가스 및 캐리어 가스를 공급하여, 상기 트렌치에 의해 노출된 상기 액티브 영역 상면을 시드로 하는 선택적 에피택시얼 성장(SEG) 공정을 수행함으로써 실리콘-게르마늄 층을 성장시킨다. 그리고 상기 캐리어 가스를 공급하면서 상기 챔버를 퍼지(purge)함으로써, 상기 실리콘-게르마늄 층을 식각한다.
예시적인 실시예들에 있어서, 상기 실리콘 소스 가스는 디클로로실란(DCS) 가스를 포함할 수 있고, 상기 게르마늄 소스 가스는 저메인(GeH4) 가스를 포함할 수 있으며, 상기 식각 가스는 염화수소(HCl) 가스를 포함할 수 있고, 상기 캐리어 가스는 수소(H2) 가스를 포함할 수 있다.
예시적인 실시예들에 있어서, 상기 실리콘-게르마늄 층을 식각할 때, 상기 챔버를 퍼지함에 따라, 적어도 일정 시간 동안 상기 실리콘-게르마늄 층을 성장시킬 때에 비하여 상기 챔버 내에 잔류하는 상기 게르마늄 소스 가스에 대한 상기 식각 가스의 비율이 더 높을 수 있다.
예시적인 실시예들에 있어서, 상기 실리콘-게르마늄 층을 성장시킬 때, 상기 실리콘 소스 가스, 게르마늄 소스 가스, 식각 가스 및 캐리어 가스 이외에, p형 불순물 소스 가스로서 디보란(B2H6) 가스를 더 공급할 수 있다.
예시적인 실시예들에 있어서, 상기 소스/드레인 층을 형성할 때, 상대적으로 낮은 농도의 게르마늄 및 붕소를 포함하는 제1 실리콘-게르마늄 층을 형성하고, 상대적으로 높은 농도의 게르마늄 및 붕소를 포함하는 제2 실리콘-게르마늄 층을 상기 제1 실리콘-게르마늄 층 상에 형성하며, 상기 제2 실리콘-게르마늄 층 상에 실리콘 층을 형성할 수 있다. 상기 제2 실리콘-게르마늄 층을 형성할 때, 상기 실리콘-게르마늄 층을 성장시키고, 상기 실리콘-게르마늄 층을 식각할 수 있다.
예시적인 실시예들에 있어서, 상기 실리콘-게르마늄 층을 성장시키는 것 및 상기 실리콘-게르마늄 층을 식각하는 것은 각각 복수 회 수행될 수 있다.
예시적인 실시예들에 있어서, 상기 복수 회 수행되는 실리콘-게르마늄 층을 성장시키는 것 중 마지막 회의 수행에 의해 상기 트렌치가 완전히 채워질 수 있다.
예시적인 실시예들에 있어서, 상기 실리콘-게르마늄 층을 식각하는 것은 상기 실리콘-게르마늄 층을 성장시키는 것보다 고온에서 수행될 수 있다.
예시적인 실시예들에 있어서, 상기 트렌치를 형성하기 이전에, 상기 액티브 핀 상에 복수 개의 더미 게이트 구조물들을 형성할 수 있으며, 상기 트렌치는 상기 더미 게이트 구조물들에 의해 커버되지 않은 상기 액티브 핀 상부를 제거하여 형성될 수 있다.
상기 본 발명의 다른 목적을 달성하기 위한 실시예들에 따른 반도체 장치는 제2 방향으로 연장되는 액티브 핀을 포함하는 기판, 상기 제2 방향에 수직한 제1 방향으로 각각 연장되며 상기 액티브 핀 상에 형성된 복수 개의 게이트 구조물들, 및 상기 게이트 구조물들 사이의 상기 액티브 핀 상부에 형성된 트렌치를 채우며 상기 제1 방향으로의 단면이 내부에 타원형 링 또는 원형 링 일부 형상의 띠를 포함하고 외곽은 오각형 또는 육각형 형상인 소스/드레인 층을 포함한다.
예시적인 실시예들에 있어서, 상기 소스/드레인 층은 상기 제2 방향을 따라 일정한 높이의 상면을 가질 수 있다.
예시적인 실시예들에 있어서, 상기 소스/드레인 층은, 상대적으로 낮은 농도의 게르마늄 및 붕소를 포함하는 제1 실리콘-게르마늄 층, 상대적으로 높은 농도의 게르마늄 및 붕소를 포함하며 상기 제1 실리콘-게르마늄 층 상에 형성된 제2 실리콘-게르마늄 층, 및 상기 제2 실리콘-게르마늄 층 상에 형성된 실리콘 층을 포함할 수 있다.
예시적인 실시예들에 있어서, 상기 제2 실리콘-게르마늄 층은 상기 제1 방향으로의 단면이 내부에 타원형 링 또는 원형 링 일부 형상의 띠를 포함할 수 있다.
예시적인 실시예들에 있어서, 상기 타원형 링 또는 원형 링 일부 형상의 띠는 인접하는 부분에 비해 상대적으로 실리콘 함량이 높은 실리콘 리치 실리콘-게르마늄 층일 수 있다.
예시적인 실시예들에 있어서, 상기 반도체 장치는 상기 소스/드레인 층 하부 측벽 및 상기 액티브 핀 상부 측벽을 커버하는 스페이서를 더 포함할 수 있다.
예시적인 실시예들에 따른 반도체 장치 제조 방법에서, 더미 게이트 구조물들에 의해 커버되지 않은 액티브 핀의 상부를 제거하여 트렌치를 형성하고, 상기 트렌치에 의해 노출된 액티브 핀 상면을 시드로 하여 선택적 에피택시얼 성장(SEG) 공정을 수행함으로써 실리콘-게르마늄 층을 형성한다. 이후, 수소(H2) 가스를 공급하여 퍼지 공정을 수행하며, 이때 상기 실리콘-게르마늄 층이 부분적으로 식각된다. 상기 선택적 에피택시얼 성장(SEG) 공정 및 퍼지 공정을 반복적으로 수행함으로써, 상기 트렌치를 완전히 채우는 실리콘-게르마늄 층을 용이하게 형성할 수 있다.
다만, 본 발명의 효과는 상기 언급한 효과에 한정되는 것이 아니며, 본 발명의 사상 및 영역으로부터 벗어나지 않는 범위에서 다양하게 확장될 수 있을 것이다.
도 1 내지 도 31은 예시적인 실시예들에 따른 반도체 장치의 제조 방법을 설명하기 위한 평면도들 및 단면도들이다. 구체적으로, 도 1, 3, 7, 10, 21, 24 및 28은 상기 반도체 장치의 제조 방법을 설명하기 위한 평면도들이고, 도 2, 4-6, 8-9, 11-20, 22-23, 25-27 및 29-31은 상기 반도체 장치의 제조 방법을 설명하기 위한 단면도들이다.
본문에 개시되어 있는 본 발명의 실시예들에 대해서, 특정한 구조적 내지 기능적 설명들은 단지 본 발명의 실시예를 설명하기 위한 목적으로 예시된 것으로, 본 발명의 실시예들은 다양한 형태로 실시될 수 있으며 본문에 설명된 실시예들에 한정되는 것으로 해석되어서는 아니 된다.
본 발명은 다양한 변경을 가할 수 있고 여러 가지 형태를 가질 수 있는바, 특정 실시예들을 도면에 예시하고 본문에 상세하게 설명하고자 한다. 그러나 이는 본 발명을 특정한 개시 형태에 대해 한정하려는 것이 아니며, 본 발명의 사상 및 기술 범위에 포함되는 모든 변경, 균등물 내지 대체물을 포함하는 것으로 이해되어야 한다.
제1, 제2 등의 용어는 다양한 구성요소들을 설명하는데 사용될 수 있지만, 상기 구성요소들은 상기 용어들에 의해 한정되어서는 안 된다. 상기 용어들은 하나의 구성요소를 다른 구성요소로부터 구별하는 목적으로 사용될 수 있다. 예를 들어, 본 발명의 권리 범위로부터 이탈되지 않은 채 제1 구성요소는 제2 구성요소로 명명될 수 있고, 유사하게 제2 구성요소도 제1 구성요소로 명명될 수 있다.
어떤 구성요소가 다른 구성요소에 "연결되어" 있다거나 "접속되어" 있다고 언급된 때에는, 그 다른 구성요소에 직접적으로 연결되어 있거나 또는 접속되어 있을 수도 있지만, 중간에 다른 구성요소가 존재할 수도 있다고 이해되어야 할 것이다. 반면에, 어떤 구성요소가 다른 구성요소에 "직접 연결되어" 있다거나 "직접 접속되어" 있다고 언급된 때에는, 중간에 다른 구성요소가 존재하지 않는 것으로 이해되어야 할 것이다. 구성요소들 간의 관계를 설명하는 다른 표현들, 즉 "~사이에"와 "바로 ~사이에" 또는 "~에 이웃하는"과 "~에 직접 이웃하는" 등도 마찬가지로 해석되어야 한다.
본 출원에서 사용한 용어는 단지 특정한 실시예를 설명하기 위해 사용된 것으로, 본 발명을 한정하려는 의도가 아니다. 단수의 표현은 문맥상 명백하게 다르게 뜻하지 않는 한, 복수의 표현을 포함한다. 본 출원에서, "포함하다" 또는 "가지다" 등의 용어는 설시된 특징, 숫자, 단계, 동작, 구성요소, 부분품 또는 이들을 조합한 것이 존재함을 지정하려는 것이지, 하나 또는 그 이상의 다른 특징들이나 숫자, 단계, 동작, 구성요소, 부분품 또는 이들을 조합한 것들의 존재 또는 부가 가능성을 미리 배제하지 않는 것으로 이해되어야 한다.
다르게 정의되지 않는 한, 기술적이거나 과학적인 용어를 포함해서 여기서 사용되는 모든 용어들은 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자에 의해 일반적으로 이해되는 것과 동일한 의미이다. 일반적으로 사용되는 사전에 정의되어 있는 것과 같은 용어들은 관련 기술의 문맥상 가지는 의미와 일치하는 의미인 것으로 해석되어야 하며, 본 출원에서 명백하게 정의하지 않는 한, 이상적이거나 과도하게 형식적인 의미로 해석되지 않는다.
이하, 첨부한 도면들을 참조하여, 본 발명의 바람직한 실시예를 보다 상세하게 설명하고자 한다. 도면상의 동일한 구성요소에 대해서는 동일한 참조부호를 사용하고 동일한 구성요소에 대해서 중복된 설명은 생략한다.
도 1 내지 도 31은 예시적인 실시예들에 따른 반도체 장치의 제조 방법을 설명하기 위한 평면도들 및 단면도들이다. 구체적으로, 도 1, 3, 7, 10, 21, 24 및 28은 상기 반도체 장치의 제조 방법을 설명하기 위한 평면도들이고, 도 2, 4-6, 8-9, 11-20, 22-23, 25-27 및 29-31은 상기 반도체 장치의 제조 방법을 설명하기 위한 단면도들이다.
이때, 도 4, 8, 11, 13, 15, 17, 19, 22, 25 및 29는 대응하는 상기 각 평면도들의 A-A'선을 따라 절단한 단면도들이고, 도 2, 5, 26 및 30은 대응하는 상기 각 평면도들의 B-B'선을 따라 절단한 단면도들이며, 도 6, 9, 12, 14, 16, 18, 20, 23, 27 및 31은 대응하는 상기 각 평면도들의 C-C'선을 따라 절단한 단면도들이다.
도 1 및 도 2를 참조하면, 기판(100) 상부를 부분적으로 식각하여 제1 트렌치(110)를 형성하고, 제1 트렌치(110) 하부를 채우는 소자 분리막(120)을 형성한다.
기판(100)은 예를 들어, 실리콘 기판, 게르마늄 기판, 실리콘-게르마늄 기판, 실리콘 온 인슐레이터(Silicon-On-Insulator: SOI) 기판, 게르마늄 온 인슐레이터(Germanium-On-Insulator: GOI) 기판 등일 수 있다.
예시적인 실시예들에 있어서, 소자 분리막(120)은 제1 트렌치(110)를 충분히 채우는 절연막을 기판(100) 상에 형성하고, 기판(100) 상면이 노출될 때까지 상기 절연막을 평탄화한 후, 제1 트렌치(110) 상부가 노출되도록 상기 절연막 상부를 제거함으로써 형성될 수 있다. 상기 절연막 상부를 제거할 때, 이에 인접하는 기판(100) 상부가 함께 부분적으로 제거될 수도 있다. 상기 절연막은, 예를 들어, 실리콘 산화물과 같은 산화물을 포함하도록 형성될 수 있다.
소자 분리막(120)이 형성됨에 따라, 기판(100)에는 상면이 소자 분리막(120)에 의해 커버된 필드 영역 및 상면이 소자 분리막(120)에 의해 커버되지 않으며 소자 분리막(120)으로부터 상부로 돌출된 액티브 영역이 정의될 수 있다. 이때, 상기 액티브 영역은 상부로 돌출된 핀(fin) 형상을 가지므로, 이하에서는 이를 액티브 핀(active fin)(105)으로 부르기로 한다.
예시적인 실시예들에 있어서, 액티브 핀(105)은 기판(100) 상면에 평행한 제2 방향으로 연장될 수 있으며, 기판(100) 상면에 평행하고 상기 제2 방향에 실질적으로 수직한 제1 방향을 따라 복수 개로 형성될 수 있다. 이때, 액티브 핀(105)은 상기 제1 방향으로 일정한 폭(W1)을 가질 수 있다.
도 3 내지 도 6을 참조하면, 기판(100)상에 복수 개의 더미(dummy) 게이트 구조물들을 형성한다.
상기 더미 게이트 구조물들은 기판(100)의 액티브 핀(105) 및 소자 분리막(120) 상에 게이트 절연막, 더미 게이트 전극막 및 게이트 마스크막을 순차적으로 형성하고, 포토레지스트 패턴(도시되지 않음)을 사용하는 사진 식각 공정을 통해 상기 게이트 마스크막을 패터닝하여 게이트 마스크(150)를 형성한 후, 이를 식각 마스크로 사용하여 상기 더미 게이트 전극막 및 상기 게이트 절연막을 순차적으로 식각함으로써 형성될 수 있다. 이에 따라, 상기 각 더미 게이트 구조물은 기판(100)의 액티브 핀(105) 및 상기 제1 방향으로 이에 인접하는 소자 분리막(120) 부분 상에 순차적으로 적층된 게이트 절연막 패턴(130), 더미 게이트 전극(140) 및 게이트 마스크(150)를 포함하도록 형성될 수 있다.
상기 게이트 절연막은 예를 들어, 실리콘 산화물과 같은 산화물을 포함하도록 형성될 수 있고, 상기 더미 게이트 전극막은 예를 들어, 폴리실리콘을 포함하도록 형성될 수 있으며, 상기 게이트 마스크막은 예를 들어, 실리콘 질화물과 같은 질화물을 포함하도록 형성될 수 있다. 상기 게이트 절연막은 화학 기상 증착(Chemical Vapor Deposition: CVD) 공정, 원자층 증착(Atomic Layer Deposition: ALD) 공정 등을 통해 형성될 수 있으며, 이와는 달리, 기판(100) 상부에 대한 열산화 공정을 통해 형성될 수도 있다. 한편, 상기 더미 게이트 전극막 및 상기 게이트 마스크막 역시 화학 기상 증착(CVD) 공정, 원자층 증착(ALD) 공정 등을 통해 형성될 수 있다.
예시적인 실시예들에 있어서, 상기 각 더미 게이트 구조물들은 기판(100)의 액티브 핀들(105) 및 소자 분리막(120) 상에 상기 제1 방향으로 연장되도록 형성될 수 있으며, 상기 제2 방향을 따라 일정한 간격(G)으로 서로 이격되도록 복수 개로 형성될 수 있다.
이후, 이온 주입 공정을 수행하여, 상기 더미 게이트 구조물들에 인접하는 액티브 핀(105) 상부에 불순물 영역(도시되지 않음)을 형성할 수 있다.
도 7 내지 도 9를 참조하면, 상기 더미 게이트 구조물들의 측벽 및 액티브 핀(105)의 측벽 상에 게이트 스페이서(160) 및 스페이서(170)를 각각 형성한다.
예시적인 실시예들에 있어서, 게이트 스페이서(160) 및 스페이서(170)는 상기 더미 게이트 구조물들, 액티브 핀(105) 및 소자 분리막(120) 상에 스페이서막을 형성하고 이를 이방성 식각함으로써 형성할 수 있다. 상기 스페이서막은 예를 들어, 실리콘 질화물(SiN), 실리콘 산탄질화물(SiOCN)과 같은 질화물을 포함하도록 형성할 수 있다.
예시적인 실시예들에 있어서, 게이트 스페이서(160)는 상기 각 더미 게이트 구조물들의 상기 제2 방향으로의 양 측벽 상에 형성될 수 있으며, 스페이서(170)는 각 액티브 핀(105)의 상기 제1 방향으로의 양 측벽 상에 형성될 수 있다.
도 10 내지 도 12를 참조하면, 상기 더미 게이트 구조물들 및 게이트 스페이서(160)를 식각 마스크로 사용하여 이들에 의해 커버되지 않은 액티브 핀(105)의 상부를 식각함으로써 제2 트렌치(180)를 형성한다.
상기 식각 공정에서 스페이서(170)의 상부도 부분적으로 제거되어 이들의 상면이 당초의 액티브 핀(105) 상면보다 낮아질 수도 있다. 하지만 이하에서는, 상기 식각 공정에 의해 상부가 제거된 후의 액티브 핀(105)의 상면과, 상기 식각 공정을 수행하기 전에 액티브 핀(105)의 양 측벽 상에 당초 형성되었던 스페이서(170)의 내측벽에 의해 형성되는 가상의 공간을 제2 트렌치(180)로 정의하기로 한다. 이때, 제2 트렌치(180)는 기판(100) 내부를 향해 일정한 깊이(D)를 가질 수 있으며, 또한 상기 제2 방향을 따라 일정한 폭(W2)을 갖도록 형성될 수 있다.
한편, 제2 트렌치(180)를 형성하는 식각 공정은 도 7 내지 도 9를 참조로 설명한 상기 스페이서 막에 대한 이방성 식각 공정과 인-시튜(in-situ)로 수행될 수 있다.
도 13 및 도 14를 참조하면, 제2 트렌치(180)를 부분적으로 채우는 제1 및 제2 실리콘-게르마늄 층들(190, 200)을 액티브 핀(105) 상면에 순차적으로 형성한다.
예시적인 실시예들에 있어서, 제1 실리콘-게르마늄 층(190)은 공정 챔버(도시되지 않음) 내에 상기 결과물들이 형성된 기판(100)을 로딩하고, 상기 공정 챔버 내에 실리콘 소스 가스, 게르마늄 소스 가스, 식각 가스 및 캐리어 가스를 공급하여, 제2 트렌치(180)에 의해 노출된 액티브 핀(105) 상면을 시드로 하는 제1 선택적 에피택시얼 성장(Selective Epitaxial Growth: SEG) 공정을 수행함으로써 형성할 수 있다. 이후, 상기 챔버 내에 상기 실리콘 소스 가스, 게르마늄 소스 가스, 식각 가스 및 캐리어 가스를 계속해서 공급하여 제2 선택적 에피택시얼 성장(SEG) 공정을 수행함으로써, 제1 실리콘-게르마늄 층(190) 상에 제2 실리콘-게르마늄 층(200)을 형성할 수 있다.
상기 제1 및 제2 선택적 에피택시얼 성장(SEG) 공정들은 상기 실리콘 소스 가스로서 예를 들어 디클로로실란(SiH2Cl2) 가스를 사용하고, 상기 게르마늄 소스 가스로서 예를 들어 저메인(GeH4) 가스를 사용하며, 상기 식각 가스로서 염화수소(HCl) 가스를 사용하고, 상기 캐리어 가스로서 수소(H2) 가스를 사용하여 수행될 수 있으며, 이에 따라 단결정의 제1 및 제2 실리콘-게르마늄 층들(190, 200)이 형성될 수 있다. 이때, 상기 제1 및 제2 선택적 에피택시얼 성장(SEG) 공정은 p형 불순물 소스 가스, 예를 들어, 디보란(B2H6) 가스를 함께 사용하여, p형 불순물이 도핑된 단결정의 제1 및 제2 실리콘-게르마늄 층들(190, 200)을 형성할 수 있다.
예시적인 실시예들에 있어서, 상기 제1 및 제2 선택적 에피택시얼 성장(SEG) 공정들에 사용되는 상기 가스들의 유량을 조절함으로써, 제2 실리콘-게르마늄 층(200)에 비해 제1 실리콘-게르마늄 층(190)이 상대적으로 낮은 게르마늄 및 붕소 함량을 갖도록 형성할 수 있다. 이에 따라, 제1 실리콘-게르마늄 층(190)은 예를 들어 기판(100)이 실리콘 기판인 경우, 액티브 핀(105)과의 격자 미스매치(lattice mismatch)를 감소시킬 수 있으며, 이에 따라 실리콘 기판(100)과 제2 실리콘-게르마늄 층(200) 사이의 일종의 버퍼막(buffer layer)으로서의 기능을 수행할 수 있다.
예시적인 실시예들에 있어서, 제1 실리콘-게르마늄 층(190)은 제2 트렌치(180)의 상기 제2 방향으로의 양 측벽 및 저면 상에 컨포멀하게 형성될 수 있다. 이때, 제2 트렌치(180)의 저면 상에 형성된 제1 실리콘-게르마늄 층(190) 부분은 그 상면이 액티브 핀(105)의 상기 제1 방향으로의 양 측벽 상에 형성된 스페이서들(170)의 상면보다 아래에 위치하도록 형성될 수 있다. 이에 따라, 상기 제1 실리콘-게르마늄 층(190) 부분은 스페이서들(170)에 의해 수평 방향의 성장이 제한되므로, 액티브 핀(105)의 상면 및 스페이서들(170)의 내측벽에 의해 형성되는 공간 즉, 제2 트렌치(180)의 하부에서만 형성될 수 있다.
하지만 이와는 달리, 제2 트렌치(180)의 저면 상에 형성된 제1 실리콘-게르마늄 층(190) 부분이 두껍게 형성되어 액티브 핀(105)의 양 측벽 상에 형성된 스페이서들(170)의 상면 위로 돌출될 수도 있으며, 이 경우에는 제1 실리콘-게르마늄 층(190)의 상부도 이하에서 설명하는 제2 실리콘-게르마늄 층(200)과 유사하게 수평적 성장이 스페이서들(170)에 의해 제한되지 않을 수 있으며, 이에 따라 제2 실리콘-게르마늄 층(200)과 유사한 형상을 갖도록 형성될 수도 있다.
제2 실리콘-게르마늄 층(200)은 제1 실리콘-게르마늄 층(190) 상에 형성되어 제2 트렌치(180)를 부분적으로 채울 수 있으며, 스페이서(170) 상부로 돌출되도록 형성될 수 있다. 이에 따라 제2 실리콘-게르마늄 층(200)은 스페이서들(170)의 상면 아래에서는 제1 실리콘-게르마늄 층(190) 및 스페이서들(170)의 내측벽에 의해 정의되는 공간에서만 형성되지만, 스페이서들(170)의 상면 위에서는 수직 방향뿐만 아니라 수평 방향으로도 성장할 수 있다. 기판(100)이 (100) 실리콘 기판이고 액티브 핀(105)이 <110> 결정 방향을 가지는 경우, 제2 실리콘-게르마늄 층(200)은 <111> 결정 방향으로 가장 낮은 성장 속도를 가지므로, 결과적으로 제2 실리콘-게르마늄 층(200)은 {111} 결정면을 갖도록 형성될 수 있다.
이에 따라, 제2 실리콘-게르마늄 층(200)은 상기 제1 방향을 따라 절단된 단면이 5각형 혹은 6각형의 외곽 형상을 가질 수 있다. 또한, 제2 실리콘-게르마늄 층(200)은 제2 트렌치(180)의 상기 제2 방향으로의 양 측벽 상에 형성된 제1 실리콘-게르마늄 층(190) 부분들로부터도 성장하여 {111} 결정면을 가질 수 있으므로, 제2 실리콘-게르마늄 층(200)은 상기 제2 방향을 따라 절단된 단면의 상부 외곽이 가운데가 오목한 V자 형상을 가질 수 있다.
특히, 액티브 핀(105)의 상기 제1 방향으로의 폭(W1)이 너무 좁거나, 상기 더미 게이트 구조물들 사이의 상기 제2 방향으로의 간격(G)이 너무 넓어 제2 트렌치(180)의 상기 제2 방향으로의 폭(W2)이 너무 넓거나, 혹은 제2 트렌치(180)의 깊이(D)가 너무 깊은 경우에는, 제2 실리콘-게르마늄 층(200)은 상기 제2 방향을 따라 절단된 단면의 상부 외곽이 깊게 패인 V자 형상을 가질 수 있으며, 경우에 따라서는 제2 트렌치(180) 중심부 저면 상에 제2 실리콘-게르마늄 층(200)이 아예 형성되지 않을 수도 있다.
도 15 및 도 16을 참조하면, 상기 공정 챔버 내에 잔류하는 가스들을 외부로 배출시키는 제1 퍼지(purge) 공정을 수행한다.
예시적인 실시예들에 있어서, 상기 제1 퍼지 공정은 상기 제1 및 제2 선택적 에피택시얼 성장(SEG) 공정들을 수행할 때 캐리어 가스로 사용되는 수소(H2) 가스를 상기 공정 챔버 내로 공급하면서 수행될 수 있다. 즉, 수소(H2) 가스는 상기 제1 퍼지 공정에서는 일종의 퍼지 가스로서 사용될 수 있다.
이때, 상대적으로 분자량이 작은 가스들이 상대적으로 분자량이 큰 가스들보다 빠른 속도로 상기 공정 챔버로부터 외부로 배출될 수 있다. 이에 따라, 상기 제1 및 제2 선택적 에피택시얼 성장(SEG) 공정들에서 사용된 상기 가스들 중에서 상대적으로 분자량이 작은 저메인(GeH4) 가스가 빠른 속도로 상기 공정 챔버로부터 외부로 배출될 수 있으며, 상대적으로 분자량이 큰 염화수소(HCl) 가스 혹은 디클로로실란(DCS) 가스는 느린 속도로 상기 공정 챔버로부터 외부로 배출될 수 있다.
따라서 상기 제1 퍼지 공정을 수행하는 적어도 초기의 일정 시간 동안에는 상기 제2 선택적 에피택시얼 성장(SEG) 공정에 비하여, 상기 공정 챔버 내에 잔류하는 저메인(GeH4) 가스에 대한 염화수소(HCl) 가스의 비율이 더 높을 수 있다. 이에 따라, 상기 공정 챔버 내에는 상대적으로 게르마늄 소스 가스보다 식각 가스가 더 많이 잔류하여, 이미 형성되어 있는 제2 실리콘-게르마늄 층(200)이 부분적으로 식각될 수 있다. 즉, 상기 제1 퍼지 공정은 일종의 식각 공정의 역할을 수행할 수 있다.
예시적인 실시예들에 있어서, 상기 제1 퍼지 공정에서 제2 실리콘-게르마늄 층(200)의 {111} 결정면이 식각될 수 있으며, 이에 따라 제2 실리콘-게르마늄 층 패턴(205)이 형성될 수 있다. 제2 실리콘-게르마늄 층 패턴(205)은 상기 제1 방향을 따라 절단된 단면의 상부 외곽이 타원형 링 또는 원형 링의 일부 형상을 가질 수 있다. 또한, 제2 실리콘-게르마늄 층 패턴(205)은 상기 제2 방향을 따라 절단된 단면의 상부 외곽이 제2 실리콘-게르마늄 층(200)보다 부드러운 오목한 곡선 형상을 가질 수 있다.
한편, 상기 제1 퍼지 공정을 수행하는 동안 상기 공정 챔버 내에는 적은 양이지만 실리콘 소스 가스 및 게르마늄 소스 가스도 잔류하므로, 실리콘-게르마늄 층이 얇은 두께로 성장할 수 있다. 전술한 바와 같이, 게르마늄 소스 가스에 비해 실리콘 소스 가스가 상기 공정 챔버 내에 상대적으로 많이 잔류하므로, 제2 실리콘-게르마늄 층(200) 혹은 제2 실리콘-게르마늄 층 패턴(205)에 비해 게르마늄 함량이 적고 실리콘 함량이 많은 제1 실리콘 리치 실리콘-게르마늄 층(210)이 형성될 수 있다. 예시적인 실시예들에 있어서, 제1 실리콘 리치 실리콘-게르마늄 층(210)은 제2 실리콘-게르마늄 층 패턴(205) 상에 얇은 두께로 컨포멀하게 형성될 수 있으며, 이에 따라 상기 제2 방향을 따라 절단한 단면이 타원형 링 혹은 원형 링의 일부 형상을 갖는 띠 모양일 수 있다.
한편, 상기 제1 퍼지 공정에서 공급되는 수소(H2) 가스의 유량을 조절하거나 혹은 상기 제1 퍼지 공정이 수행되는 온도를 조절함으로써 제2 실리콘-게르마늄 층(200)이 식각되는 정도를 조절할 수 있다. 즉, 상기 제1 퍼지 공정에서 공급되는 수소(H2) 가스의 유량을 증가시키거나 혹은 상기 제1 퍼지 공정이 수행되는 온도를 높임으로써 제2 실리콘-게르마늄 층(200)을 보다 많이 식각할 수 있다. 일 실시예에 있어서, 상기 제1 퍼지 공정은 상기 제2 선택적 에피택시얼 성장(SEG) 공정에 비해 높은 온도에서 수행될 수 있다.
도 17 및 도 18을 참조하면, 도 13 및 도 14를 참조로 설명한 공정 중에서 제2 선택적 에피택시얼 성장(SEG) 공정과 실질적으로 동일하거나 유사한 제3 선택적 에피택시얼 성장(SEG) 공정을 수행한다.
이에 따라, 제1 실리콘 리치 실리콘-게르마늄 층(210) 상에 제3 실리콘-게르마늄 층(220)이 형성될 수 있다. 이때, 제3 실리콘-게르마늄 층(220)은 상기 제1 방향을 따라 절단된 단면이 5각형 혹은 6각형의 외곽 형상을 가질 수 있다. 또한, 제3 실리콘-게르마늄 층(220)은 상기 제2 방향을 따라 절단된 단면의 상부 외곽이 가운데가 오목한 V자 형상을 가질 수 있다. 다만, 제3 실리콘-게르마늄 층(220)은 제2 실리콘-게르마늄 층(200)에 비해 보다 얕게 패인 V자 형상의 상부 외곽 단면을 가질 수 있다.
예시적인 실시예들에 있어서, 제3 실리콘-게르마늄 층(220)은 제2 실리콘-게르마늄 층(200)과 실질적으로 동일한 조성을 갖도록 형성될 수 있다. 이와는 달리, 제3 선택적 에피택시얼 성장(SEG) 공정을 수행할 때 각종 가스들의 유량을 조절함으로써, 제3 실리콘-게르마늄 층(220)은 제2 선택적 에피택시얼 성장(SEG) 공정에 의해 형성된 제2 실리콘-게르마늄 층(200)과 다른 조성을 갖도록 형성될 수도 있다.
도 19 및 도 20을 참조하면, 도 15 및 도 16을 참조로 설명한 공정과 실질적으로 동일하거나 유사한 공정을 수행한다.
즉, 상기 공정 챔버 내에 수소(H2) 가스를 공급하면서, 상기 공정 챔버 내에 잔류하는 가스들을 외부로 배출시키는 제2 퍼지(purge) 공정을 수행한다.
이에 따라, 상기 제1 방향을 따라 절단된 단면의 상부 외곽이 타원형 링 또는 원형 링의 일부 형상을 가지며, 상기 제2 방향을 따라 절단된 단면의 상부 외곽이 제3 실리콘-게르마늄 층(220)보다 부드러운 오목한 곡선 형상을 갖는 제3 실리콘-게르마늄 층 패턴(225)이 형성된다. 또한, 제3 실리콘-게르마늄 층(220) 혹은 제3 실리콘-게르마늄 층 패턴(225)에 비해 게르마늄 함량이 적고 실리콘 함량이 많은 제2 실리콘 리치 실리콘-게르마늄 층(230)이 형성될 수 있다. 예시적인 실시예들에 있어서, 제2 실리콘 리치 실리콘-게르마늄 층(230)은 제3 실리콘-게르마늄 층 패턴(225) 상에 얇은 두께로 컨포멀하게 형성될 수 있으며, 이에 따라 상기 제2 방향을 따라 절단한 단면이 타원형 링 혹은 원형 링의 일부 형상의 띠 모양일 수 있다.
예시적인 실시예들에 있어서, 제2 실리콘 리치 실리콘-게르마늄 층(230)은 제1 실리콘 리치 실리콘-게르마늄 층(210)과 실질적으로 동일한 조성을 갖도록 형성될 수 있다. 이와는 달리, 제2 퍼지 공정에서 공급되는 수소(H2) 가스의 유량을 조절하거나 혹은 상기 제2 퍼지 공정이 수행되는 온도를 조절함으로써, 제2 실리콘 리치 실리콘-게르마늄 층(230)은 제1 퍼지 공정에 의해 형성되는 제1 실리콘 리치 실리콘-게르마늄 층(210)과 다른 조성을 갖도록 형성될 수도 있다.
도 21 내지 도 23을 참조하면, 먼저 도 17 및 도 18을 참조로 설명한 공정과 실질적으로 동일하거나 유사한 제4 선택적 에피택시얼 성장(SEG) 공정을 수행한다.
이에 따라, 제2 실리콘 리치 실리콘-게르마늄 층(230) 상에 제4 실리콘-게르마늄 층(240)이 형성될 수 있다. 이때, 제4 실리콘-게르마늄 층(240)은 상기 제1 방향을 따라 절단된 단면이 5각형 혹은 6각형의 외곽 형상을 가질 수 있다. 한편, 제4 실리콘-게르마늄 층(240)은 제2 트렌치(180)를 완전히 채울 수 있으며, 이에 따라 상기 제2 방향을 따라 절단된 단면의 상부 외곽이 편평한 형상을 가질 수 있다.
만약, 상기 제4 선택적 에피택시얼 성장(SEG) 공정에 의해 형성되는 제4 실리콘-게르마늄 층(240)이 제2 트렌치(180)를 완전히 채우지 못하는 경우에는, 상기 퍼지 공정 및 상기 선택적 에피택시얼 성장(SEG) 공정을 각각 1회 이상 더 수행할 수도 있다. 즉, 선택적 에피택시얼 성장(SEG) 공정에 의해 제2 트렌치(180)를 완전히 채울 때까지 상기 퍼지 공정 및 상기 선택적 에피택시얼 성장(SEG) 공정을 반복하여 수행할 수 있다.
이후, 제5 선택적 에피택시얼 성장(SEG) 공정을 수행하여, 제4 실리콘-게르마늄 층(240) 상에 실리콘 층(250)을 형성한다.
상기 제5 선택적 에피택시얼 성장(SEG) 공정을 수행할 때, 상기 공정 챔버 내로 게르마늄 소스 가스를 공급하지 않으며, 이에 따라 제4 실리콘-게르마늄 층(240) 상에는 실리콘 층(250)이 형성될 수 있다. 예시적인 실시예들에 있어서, 실리콘 층(250)은 제4 실리콘-게르마늄 층(240) 상에 컨포멀하게 형성될 수 있으며, 이에 따라 상기 제1 방향을 따라 절단된 단면이 5각형 혹은 6각형의 링 형상을 가질 수 있다. 한편, 실리콘 층(250)은 상기 제2 방향을 따라 절단된 단면의 상부 외곽이 편평한 형상을 가질 수 있다.
실리콘 층(250)은 게르마늄을 포함하지 않으므로, 이후 실리콘 층(250)을 커버하는 층간 절연막(270, 도 24 내지 도 27 참조)을 형성한 후 이를 식각하여 실리콘 층(250)을 노출시키는 콘택 홀(도시되지 않음)을 형성할 때, 실리콘 층(250)이 빠르게 식각되지 않을 수 있다.
도 13 내지 도 23을 참조로 설명한 공정들에 의해 형성된 제1 실리콘-게르마늄 층(190), 제2 실리콘-게르마늄 층 패턴(205), 제1 실리콘 리치 실리콘-게르마늄 층(210), 제3 실리콘-게르마늄 층 패턴(225), 제2 실리콘 리치 실리콘-게르마늄 층(230), 제4 실리콘-게르마늄 층(240) 및 실리콘 층(250)은 함께 피모스(Positive-channel Metal Oxide Semiconductor: PMOS) 트랜지스터의 소스/드레인 영역의 기능을 수행할 수 있으며, 이에 따라 전체적으로 이들을 소스/드레인 층(260)으로 정의할 수 있다.
도 24 내지 도 27을 참조하면, 먼저 상기 더미 게이트 구조물들, 게이트 스페이서(160), 소스/드레인 층(260), 스페이서(170) 및 소자 분리막(120)을 덮는 층간 절연막(270)을 충분한 높이로 형성한 후, 상기 더미 게이트 구조물들의 더미 게이트 전극들(140)의 상면이 노출될 때까지 층간 절연막(270)을 평탄화한다. 이때, 상기 더미 게이트 구조물들의 게이트 마스크들(150) 및 게이트 스페이서(160)의 상부도 함께 제거될 수 있다. 예시적인 실시예들에 있어서, 상기 평탄화 공정은 화학 기계적 연마(Chemical Mechanical Polishing: CMP) 공정 및/또는 에치 백(etch back) 공정에 의해 수행될 수 있다.
이후, 노출된 더미 게이트 전극들(140)을 제거하여, 게이트 절연막 패턴들(130)의 상면을 노출시키는 개구들(280)을 형성한다.
예시적인 실시예들에 있어서, 더미 게이트 전극들(140)은 1차적으로 건식 식각 공정을 수행한 후, 2차적으로 습식 식각 공정을 수행함으로써 충분히 제거될 수 있다. 상기 습식 식각 공정은 HF를 식각액으로 사용하여 수행될 수 있다.
도 28 내지 도 31을 참조하면, 각 개구들(280)을 채우는 고유전막 패턴(290) 및 게이트 전극(300)을 형성한다.
구체적으로, 먼저 노출된 게이트 절연막 패턴들(130) 상면, 개구들(280)의 측벽 및 층간 절연막(270)의 상면에 고유전막을 형성하고, 각 개구들(280)의 나머지 부분을 충분히 채우는 게이트 전극막을 상기 고유전막 상에 형성한다.
상기 고유전막은, 예를 들어, 하프늄 산화물(HfO2), 탄탈륨 산화물(Ta2O5), 지르코늄 산화물(ZrO2) 등과 같은 고유전율을 갖는 금속 산화물을 포함하도록 형성할 수 있다. 상기 게이트 전극막은 예를 들어, 알루미늄(Al), 구리(Cu), 탄탈륨(Ta) 등의 금속과 금속 질화물과 같은 저 저항 금속을 사용하여 원자층 증착(ALD) 공정, 물리 기상 증착(Physical Vapor Deposition: PVD) 공정 등을 통해 형성될 수 있다. 이후, 상기 게이트 전극막에 대해 급속 열처리(Rapid Thermal Annealing: RTA) 공정, 스파이크 RTA(spike-RTA) 공정, 플래시 RTA 공정 또는 레이저 어닐링(laser annealing) 공정 등과 같은 열처리 공정을 더 수행할 수도 있다. 이와는 달리, 상기 게이트 전극막은 불순물이 도핑된 폴리실리콘을 사용하여 형성될 수도 있다.
이후, 층간 절연막(270)의 상면이 노출될 때까지, 상기 게이트 전극막 및 상기 고유전막을 평탄화하여, 각 게이트 절연막 패턴들(130) 상면 및 각 개구들(280)의 측벽 상에 고유전막 패턴(290)을 형성하고, 고유전막 패턴(290) 상에 각 개구들(280)의 나머지 부분을 채우는 게이트 전극(300)을 형성할 수 있다. 이에 따라, 게이트 전극(300)의 저면 및 측벽은 고유전막 패턴(290)에 의해 커버될 수 있다. 예시적인 실시예들에 따르면, 상기 평탄화 공정은 화학 기계적 연마(CMP) 공정 및/또는 에치 백 공정에 의해 수행될 수 있다.
게이트 절연막 패턴(130), 고유전막 패턴(290) 및 게이트 전극(300)은 게이트 구조물을 형성할 수 있으며, 상기 게이트 구조물과 이에 인접하는 소스/드레인 층(260)은 피모스 트랜지스터를 형성할 수 있다.
한편, 상기 피모스 트랜지스터를 커버하는 층간 절연막(도시되지 않음)을 더 형성하고, 이를 관통하면서 소스/드레인 층(260) 또는 상기 게이트 구조물에 전기적으로 연결되는 콘택 플러그(도시되지 않음) 및 배선(도시되지 않음)을 더 형성할 수도 있다.
전술한 바와 같이, 예시적인 실시예들에 따른 반도체 장치 제조 방법에서, 상기 더미 게이트 구조물들에 의해 커버되지 않은 액티브 핀(105)의 상부를 제거하여 제2 트렌치(180)를 형성하고, 제2 트렌치(180)에 의해 노출된 액티브 핀(105) 상면을 시드로 하여 선택적 에피택시얼 성장(SEG) 공정을 수행함으로써 실리콘-게르마늄 층을 형성한다. 이후, 수소(H2) 가스를 공급하여 퍼지 공정을 수행하며, 이때 상기 실리콘-게르마늄 층이 부분적으로 식각된다. 상기 선택적 에피택시얼 성장(SEG) 공정 및 퍼지 공정을 반복적으로 수행함으로써, 제2 트렌치(180)를 완전히 채우는 실리콘-게르마늄 층을 형성할 수 있다.
전술한 공정들에 의해 형성되는 상기 반도체 장치는 상기 제2 방향으로 연장되는 액티브 핀(105)을 포함하는 기판(100)과, 상기 제1 방향으로 각각 연장되며 액티브 핀(105) 상에 형성된 상기 복수 개의 게이트 구조물들과, 상기 게이트 구조물들(310) 사이의 액티브 핀(105) 상부에 형성된 제2 트렌치(180)를 채우며 상기 제1 방향으로의 단면이 내부에 타원형 링 또는 원형 링 일부 형상의 띠를 포함하고 외곽은 오각형 또는 육각형 형상인 소스/드레인 층(260)을 포함할 수 있다.
전술한 반도체 장치 및 그 제조 방법은 핀펫(finFET) 및 에피택시얼 성장 공정에 의해 형성되는 소스/드레인 층을 포함하는 다양한 메모리 장치 및 시스템에 사용될 수 있다. 예를 들어, 상기 반도체 장치 및 그 제조 방법은 중앙처리장치(CPU, MPU), 애플리케이션 프로세서(AP) 등과 같은 로직 소자, 에스램(SRAM) 장치, 디램(DRAM) 장치 등과 같은 휘발성 메모리 장치, 및 플래시 메모리 장치, 피램(PRAM) 장치, 엠램(MRAM) 장치, 알램(RRAM) 장치 등과 같은 불휘발성 메모리 장치 및 그 제조 방법에 적용될 수 있다.
이상에서는 본 발명의 실시예들을 참조하여 설명하였지만, 해당 기술 분야의 숙련된 당업자는 하기의 특허 청구의 범위에 기재된 본 발명의 사상 및 영역으로부터 벗어나지 않는 범위 내에서 본 발명을 다양하게 수정 및 변경시킬 수 있음을 이해할 수 있을 것이다.
100: 기판 105: 액티브 핀
110, 180: 제1, 제2 트렌치 120: 소자 분리막
130: 게이트 절연막 패턴 140: 더미 게이트 전극
150: 게이트 마스크 160: 게이트 스페이서
170: 스페이서
190, 200, 220, 240: 제1, 제2, 제3, 제4 실리콘-게르마늄 층
205, 225: 제2, 제3 실리콘-게르마늄 층 패턴
210, 230: 제1, 제2 실리콘 리치 실리콘-게르마늄 층
250: 실리콘 층 260: 소스/드레인 층
270: 층간 절연막 280: 개구
290: 고유전막 패턴 300: 게이트 전극

Claims (10)

  1. 챔버 내에 배치된 기판의 액티브 핀(active fin) 상부를 부분적으로 제거하여 트렌치를 형성하는 단계; 및
    상기 챔버 내에 실리콘 소스 가스, 게르마늄 소스 가스, 식각 가스 및 캐리어 가스를 공급하여, 상기 트렌치에 의해 노출된 상기 액티브 핀의 상면을 시드로 하는 선택적 에피택시얼 성장(SEG) 공정을 수행함으로써 실리콘-게르마늄 층을 성장시키는 단계; 및
    상기 캐리어 가스를 공급하면서 상기 챔버를 퍼지(purge)함으로써, 상기 실리콘-게르마늄 층을 식각하는 단계를 포함하는 소스/드레인 층을 형성하는 단계를 구비하며,
    상기 소스/드레인 층을 형성하는 단계는,
    상대적으로 낮은 농도의 게르마늄 및 붕소를 포함하는 제1 실리콘-게르마늄 층을 형성하는 단계;
    상대적으로 높은 농도의 게르마늄 및 붕소를 포함하는 제2 실리콘-게르마늄 층을 상기 제1 실리콘-게르마늄 층 상에 형성하는 단계; 및
    상기 제2 실리콘-게르마늄 층 상에 실리콘 층을 형성하는 단계를 포함하며,
    상기 제2 실리콘-게르마늄 층을 형성하는 단계는 상기 실리콘-게르마늄 층을 성장시키는 단계 및 상기 실리콘-게르마늄 층을 식각하는 단계를 포함하는 것을 특징으로 하는 반도체 장치의 제조 방법.
  2. 제 1 항에 있어서, 상기 실리콘 소스 가스는 디클로로실란(DCS) 가스를 포함하고, 상기 게르마늄 소스 가스는 저메인(GeH4) 가스를 포함하며, 상기 식각 가스는 염화수소(HCl) 가스를 포함하고, 상기 캐리어 가스는 수소(H2) 가스를 포함하는 것을 특징으로 하는 반도체 장치의 제조 방법.
  3. 제 2 항에 있어서, 상기 실리콘-게르마늄 층을 식각하는 단계는, 상기 챔버를 퍼지함에 따라, 적어도 일정 시간 동안 상기 실리콘-게르마늄 층을 성장시키는 단계에 비하여 상기 챔버 내에 잔류하는 상기 게르마늄 소스 가스에 대한 상기 식각 가스의 비율이 더 높은 것을 특징으로 하는 반도체 장치의 제조 방법.
  4. 제 2 항에 있어서, 상기 실리콘-게르마늄 층을 성장시키는 단계는 상기 실리콘 소스 가스, 게르마늄 소스 가스, 식각 가스 및 캐리어 가스 이외에, p형 불순물 소스 가스로서 디보란(B2H6) 가스를 더 공급하여 수행되는 것을 특징으로 하는 반도체 장치의 제조 방법.
  5. 삭제
  6. 제 1 항에 있어서, 상기 실리콘-게르마늄 층을 성장시키는 단계 및 상기 실리콘-게르마늄 층을 식각하는 단계는 각각 복수 회 수행되는 것을 특징으로 하는 반도체 장치의 제조 방법.
  7. 제2 방향으로 연장되는 액티브 핀을 포함하는 기판;
    상기 제2 방향에 수직한 제1 방향으로 각각 연장되며, 상기 액티브 핀 상에 형성된 복수 개의 게이트 구조물들; 및
    상기 게이트 구조물들 사이의 상기 액티브 핀 상부에 형성된 트렌치를 채우며, 상기 제1 방향으로의 단면이 내부에 타원형 링 또는 원형 링 일부 형상의 띠를 포함하고 외곽은 오각형 또는 육각형 형상인 소스/드레인 층을 포함하며,
    상기 소스/드레인 층은,
    상대적으로 낮은 농도의 게르마늄 및 붕소를 포함하는 제1 실리콘-게르마늄 층;
    상대적으로 높은 농도의 게르마늄 및 붕소를 포함하며 상기 제1 실리콘-게르마늄 층 상에 형성된 제2 실리콘-게르마늄 층; 및
    상기 제2 실리콘-게르마늄 층 상에 형성된 실리콘 층을 포함하는 것을 특징으로 하는 반도체 장치.
  8. 제 7 항에 있어서, 상기 소스/드레인 층은 상기 제2 방향을 따라 일정한 높이의 상면을 갖는 것을 특징으로 하는 반도체 장치.
  9. 삭제
  10. 제 7 항에 있어서, 상기 제2 실리콘-게르마늄 층은 상기 제1 방향으로의 단면이 내부에 타원형 링 또는 원형 링 일부 형상의 띠를 포함하는 것을 특징으로 하는 반도체 장치.
KR1020140010416A 2014-01-28 2014-01-28 반도체 장치 및 그 제조 방법 KR102155181B1 (ko)

Priority Applications (3)

Application Number Priority Date Filing Date Title
KR1020140010416A KR102155181B1 (ko) 2014-01-28 2014-01-28 반도체 장치 및 그 제조 방법
US14/516,603 US9337031B2 (en) 2014-01-28 2014-10-17 Semiconductor devices and methods of manufacturing the same
US15/146,106 US9728645B2 (en) 2014-01-28 2016-05-04 Semiconductor devices

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020140010416A KR102155181B1 (ko) 2014-01-28 2014-01-28 반도체 장치 및 그 제조 방법

Publications (2)

Publication Number Publication Date
KR20150089597A KR20150089597A (ko) 2015-08-05
KR102155181B1 true KR102155181B1 (ko) 2020-09-11

Family

ID=53679682

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020140010416A KR102155181B1 (ko) 2014-01-28 2014-01-28 반도체 장치 및 그 제조 방법

Country Status (2)

Country Link
US (2) US9337031B2 (ko)
KR (1) KR102155181B1 (ko)

Families Citing this family (205)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8779517B2 (en) 2012-03-08 2014-07-15 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET-based ESD devices and methods for forming the same
US9397098B2 (en) 2012-03-08 2016-07-19 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET-based ESD devices and methods for forming the same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9129823B2 (en) * 2013-03-15 2015-09-08 Taiwan Semiconductor Manufacturing Co., Ltd. Silicon recess ETCH and epitaxial deposit for shallow trench isolation (STI)
KR102193493B1 (ko) * 2014-02-03 2020-12-21 삼성전자주식회사 반도체 장치 및 그 제조 방법
US9443769B2 (en) 2014-04-21 2016-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Wrap-around contact
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
CN105097554B (zh) * 2015-08-24 2018-12-07 上海华力微电子有限公司 用于减少高浓度外延工艺中的位错缺陷的方法和系统
US10103249B2 (en) 2015-09-10 2018-10-16 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET device and method for fabricating the same
KR102366295B1 (ko) * 2015-09-15 2022-02-22 삼성전자주식회사 반도체 장치 및 그 제조 방법
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
KR102480447B1 (ko) * 2015-11-20 2022-12-22 삼성전자주식회사 반도체 장치 및 그 제조 방법
US9331148B1 (en) * 2015-12-08 2016-05-03 International Business Machines Corporation FinFET device with channel strain
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
CN107026084B (zh) * 2016-02-02 2020-03-31 中芯国际集成电路制造(上海)有限公司 半导体装置及其制造方法
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10205025B2 (en) * 2016-03-11 2019-02-12 Samsung Electronics Co., Ltd. Methods to achieve strained channel finFET devices
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
TWI690984B (zh) 2016-08-10 2020-04-11 聯華電子股份有限公司 半導體元件及其製作方法
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102432467B1 (ko) 2017-08-30 2022-08-12 삼성전자주식회사 반도체 장치 및 이의 제조 방법
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10269803B2 (en) * 2017-08-31 2019-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid scheme for improved performance for P-type and N-type FinFETs
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10468501B2 (en) * 2017-09-29 2019-11-05 Taiwan Semiconductor Manufacturing Company, Ltd. Gap-filling germanium through selective bottom-up growth
CN109599338B (zh) * 2017-09-30 2022-04-15 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102612196B1 (ko) 2018-06-20 2023-12-12 삼성전자주식회사 반도체 장치
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
KR102456669B1 (ko) 2018-07-16 2022-10-20 삼성전자주식회사 반도체 소자
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
KR20210011834A (ko) 2019-07-23 2021-02-02 삼성전자주식회사 반도체 소자
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
US11532731B2 (en) 2020-05-28 2022-12-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices and methods of manufacture
DE102021102939A1 (de) * 2020-05-28 2021-12-02 Taiwan Semiconductor Manufacturing Co., Ltd. Halbleiterbauelemente und herstellungsverfahren
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
US11600728B2 (en) * 2020-06-15 2023-03-07 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a facet-free source/drain epitaxial structure having an amorphous or polycrystalline layer
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110073952A1 (en) * 2009-09-29 2011-03-31 Taiwan Semiconductor Manufacturing Company, Ltd. Controlling the Shape of Source/Drain Regions in FinFETs

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100678465B1 (ko) * 2005-02-03 2007-02-02 삼성전자주식회사 선택적인 에피택셜 반도체층의 형성방법
US7384838B2 (en) 2005-09-13 2008-06-10 International Business Machines Corporation Semiconductor FinFET structures with encapsulated gate electrodes and methods for forming such semiconductor FinFET structures
JP2009032955A (ja) 2007-07-27 2009-02-12 Toshiba Corp 半導体装置、およびその製造方法
US8264021B2 (en) 2009-10-01 2012-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Finfets and methods for forming the same
WO2011067821A1 (ja) 2009-12-04 2011-06-09 株式会社 東芝 半導体装置の製造方法
US8598003B2 (en) 2009-12-21 2013-12-03 Intel Corporation Semiconductor device having doped epitaxial region and its methods of fabrication
US8263451B2 (en) 2010-02-26 2012-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Epitaxy profile engineering for FinFETs
US8362574B2 (en) 2010-06-04 2013-01-29 Kabushiki Kaisha Toshiba Faceted EPI shape and half-wrap around silicide in S/D merged FinFET
US8753942B2 (en) 2010-12-01 2014-06-17 Intel Corporation Silicon and silicon germanium nanowire structures
US8841701B2 (en) 2011-08-30 2014-09-23 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device having a channel defined in a diamond-like shape semiconductor structure
US8441072B2 (en) 2011-09-02 2013-05-14 United Microelectronics Corp. Non-planar semiconductor structure and fabrication method thereof
KR20130074353A (ko) * 2011-12-26 2013-07-04 삼성전자주식회사 트랜지스터를 포함하는 반도체 소자
US9466696B2 (en) 2012-01-24 2016-10-11 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs and methods for forming the same
US8659032B2 (en) 2012-01-31 2014-02-25 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET and method of fabricating the same

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110073952A1 (en) * 2009-09-29 2011-03-31 Taiwan Semiconductor Manufacturing Company, Ltd. Controlling the Shape of Source/Drain Regions in FinFETs

Also Published As

Publication number Publication date
US20160247924A1 (en) 2016-08-25
US20150214051A1 (en) 2015-07-30
US9337031B2 (en) 2016-05-10
US9728645B2 (en) 2017-08-08
KR20150089597A (ko) 2015-08-05

Similar Documents

Publication Publication Date Title
KR102155181B1 (ko) 반도체 장치 및 그 제조 방법
US11469237B2 (en) Semiconductor devices with layers commonly contacting fins and methods of manufacturing the same
KR102193493B1 (ko) 반도체 장치 및 그 제조 방법
TWI602301B (zh) 鰭式場效電晶體裝置結構及其形成方法
KR102330757B1 (ko) 반도체 장치 및 그 제조 방법
TWI685035B (zh) 場效電晶體的製造方法及積體電路結構
US10685957B2 (en) Semiconductor devices and methods of manufacturing the same
US10037924B2 (en) Fin-FET device and fabrication method thereof
KR102366295B1 (ko) 반도체 장치 및 그 제조 방법
KR102264542B1 (ko) 반도체 장치 제조 방법
KR102326112B1 (ko) 반도체 소자
KR102502885B1 (ko) 반도체 장치 및 그 제조 방법
US20080290370A1 (en) Semiconductor devices and methods of manufacturing thereof
KR102195230B1 (ko) 정전기 보호 소자
TWI671901B (zh) 半導體元件及其製造方法
US11289479B2 (en) Fin-type field effect transistor structure and manufacturing method thereof
US20150364574A1 (en) Semiconductor devices and methods of manufacturing the same
TWI697039B (zh) 半導體裝置及其形成方法
KR102279939B1 (ko) 반도체 소자의 제조 방법
KR20200008902A (ko) 반도체 장치
KR102264257B1 (ko) 막 형성 방법 및 이를 이용한 반도체 장치 제조 방법
TWI777024B (zh) 用於半導體製程的方法與半導體裝置
TWI790608B (zh) 設置接觸插塞的不對稱磊晶區域
KR20160061615A (ko) 반도체 장치의 제조 방법
US11315834B2 (en) FinFETs with epitaxy regions having mixed wavy and non-wavy portions

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant