KR101013413B1 - 플라즈마 표면 처리를 이용한 투명 기체 차단 필름의 제조방법 및 이로부터 제조된 투명 기체 차단 필름 - Google Patents

플라즈마 표면 처리를 이용한 투명 기체 차단 필름의 제조방법 및 이로부터 제조된 투명 기체 차단 필름 Download PDF

Info

Publication number
KR101013413B1
KR101013413B1 KR1020080136382A KR20080136382A KR101013413B1 KR 101013413 B1 KR101013413 B1 KR 101013413B1 KR 1020080136382 A KR1020080136382 A KR 1020080136382A KR 20080136382 A KR20080136382 A KR 20080136382A KR 101013413 B1 KR101013413 B1 KR 101013413B1
Authority
KR
South Korea
Prior art keywords
organic
inorganic
gas barrier
layer
inorganic hybrid
Prior art date
Application number
KR1020080136382A
Other languages
English (en)
Other versions
KR20090076787A (ko
Inventor
곽순종
전재호
Original Assignee
한국과학기술연구원
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 한국과학기술연구원 filed Critical 한국과학기술연구원
Priority to US12/811,762 priority Critical patent/US20100285319A1/en
Priority to PCT/KR2009/000062 priority patent/WO2009088214A2/en
Publication of KR20090076787A publication Critical patent/KR20090076787A/ko
Application granted granted Critical
Publication of KR101013413B1 publication Critical patent/KR101013413B1/ko

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B27/00Layered products comprising a layer of synthetic resin
    • B32B27/16Layered products comprising a layer of synthetic resin specially treated, e.g. irradiated
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08JWORKING-UP; GENERAL PROCESSES OF COMPOUNDING; AFTER-TREATMENT NOT COVERED BY SUBCLASSES C08B, C08C, C08F, C08G or C08H
    • C08J7/00Chemical treatment or coating of shaped articles made of macromolecular substances
    • C08J7/12Chemical modification
    • C08J7/123Treatment by wave energy or particle radiation
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B27/00Layered products comprising a layer of synthetic resin
    • B32B27/06Layered products comprising a layer of synthetic resin as the main or only constituent of a layer, which is next to another layer of the same or of a different material
    • B32B27/08Layered products comprising a layer of synthetic resin as the main or only constituent of a layer, which is next to another layer of the same or of a different material of synthetic resin
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08JWORKING-UP; GENERAL PROCESSES OF COMPOUNDING; AFTER-TREATMENT NOT COVERED BY SUBCLASSES C08B, C08C, C08F, C08G or C08H
    • C08J5/00Manufacture of articles or shaped materials containing macromolecular substances
    • C08J5/18Manufacture of films or sheets
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D2252/00Sheets
    • B05D2252/10Applying the material on both sides
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D3/00Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials
    • B05D3/14Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials by electrical means
    • B05D3/141Plasma treatment
    • B05D3/145After-treatment
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D7/00Processes, other than flocking, specially adapted for applying liquids or other fluent materials to particular surfaces or for applying particular liquids or other fluent materials
    • B05D7/02Processes, other than flocking, specially adapted for applying liquids or other fluent materials to particular surfaces or for applying particular liquids or other fluent materials to macromolecular substances, e.g. rubber
    • B05D7/04Processes, other than flocking, specially adapted for applying liquids or other fluent materials to particular surfaces or for applying particular liquids or other fluent materials to macromolecular substances, e.g. rubber to surfaces of films or sheets
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/31504Composite [nonstructural laminate]

Landscapes

  • Chemical & Material Sciences (AREA)
  • Health & Medical Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Medicinal Chemistry (AREA)
  • Polymers & Plastics (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Materials Engineering (AREA)
  • Laminated Bodies (AREA)

Abstract

본 발명은 플라즈마 표면 처리를 이용한 투명 기체 차단 필름의 제조방법 및 이로부터 제조된 투명 기체 차단 필름에 관한 것으로, 구체적으로 a) 투명 플라스틱 필름의 표면에 유/무기 하이브리드 용액을 코팅하여 유/무기 하이브리드층을 형성하는 단계; 및 b) 상기에서 투명 플라스틱 필름 상에 형성된 유/무기 하이브리드층의 표면을 반응성 기체의 플라즈마로 처리하여 경사 조성형 계면구조를 갖는 무기층을 형성하는 단계를 포함하는 투명 기체 차단 필름의 제조방법, 및 상기 방법에 의해 제조된 유/무기 하이브리드층과 무기층 사이에 경사 조성형 계면구조를 갖는 투명 기체 차단 필름에 관한 것이다. 본 발명의 제조방법은 고진공 하에서의 증착공정을 사용하지 않고 플라즈마 표면 처리를 통해 기체 차단 박막을 형성하기 때문에 기체 차단 효과가 우수한 투명 기체 차단 필름을 간단한 공정에 의해 경제적으로 대량 생산할 수 있다. 또한 본 발명의 방법에 따라 제조된 투명 기체 차단 필름은 경사 조성형 계면구조로 인해 유/무기 하이브리드층과 무기층 사이의 계면에서 크랙(crack) 및 층간 박리현상이 유발되지 않으면서 기체 차단 효과가 탁월하여 각종 디스플레이 패널용으로 유용하게 사용될 수 있다.
투명 기체 차단 필름, 경사 조성형 계면구조, 졸-겔 코팅, 플라즈마, 표면처 리, 디스플레이

Description

플라즈마 표면 처리를 이용한 투명 기체 차단 필름의 제조방법 및 이로부터 제조된 투명 기체 차단 필름{METHOD FOR THE FABRICATION OF TRANSPARENT GAS BARRIER FILM USING PLASMA SURFACE TREATMENT}
본 발명은 플라즈마 표면 처리를 이용하여 기체 차단성이 우수하고 층간 박리현상이 유발되지 않는 투명 기체 차단 필름을 제조하는 방법에 관한 것이다.
정보통신기술이 발달함에 따라 TV, 휴대전화, 노트북 컴퓨터, PDA, LCD 모니터, 자동차 네비게이션, 휴대용 게임기 등의 각종 전자기기에 사용되는 디스플레이 패널에 대한 수요가 증가하고 있다. 특히, 대형 LCD 모니터를 사용한 가정용 TV와 휴대용 전자기기의 사용량이 크게 증가하면서 얇고 가벼운 제품을 선호하는 소비자가 증가함에 따라 디스플레이 패널의 무게, 두께 등을 줄이고자 하는 노력이 시도되고 있다.
종래에 사용되던 디스플레이 패널은 유리로 구성되어 투명하고 견고하다는 장점은 있으나, 충격에 약하고 유연성이 부족하며 단위 부피당 중량이 큰 문제점이 있어 가볍고 얇으면서도 유연하여 충격에 강해 잘 깨지지 않는 디스플레이 패널을 제조하는데 어려움이 많았다. 이러한 유리 기판의 문제점을 해결하기 위한 대안으 로 제시되고 있는 것이 투명 플라스틱 필름의 사용이다.
플라스틱 기판은 유리 기판에 비해 얇고 가벼우며 무엇보다도 기판 자체가 유연하여 롤-투-롤(roll-to-roll) 공정을 이용한 생산이 가능할 뿐만 아니라, 유연성이 필요한 플렉시블 디스플레이의 구현이 가능하다는 장점이 있는 반면, 유리 기판에 비해 내열성, 내약품성, 치수안정성 등이 열악하며 유리에 비해 상대적으로 높은 열팽창계수와 기체투과도를 갖고 있다. 특히 플라스틱 필름의 높은 기체투과도는 플라스틱 기판이 LCD나 유기 EL 등에 사용될 경우 산소 또는 수증기의 유입을 초래하여 액정이나 유기 EL 소자의 기능 상실 또는 금속전극박리 등과 같은 기능상의 근본적인 문제를 유발한다. 이러한 플라스틱 기판의 기체투과도에 대한 문제는 플라스틱 필름 자체의 성능 개선을 통해서는 해결하기 어렵기 때문에, 이를 위해 플라스틱 필름 표면에 산소 및 수증기와 같은 기체의 침투를 차단할 수 있는 박막을 코팅하는 방법이 사용되고 있다.
기체 차단 박막으로 사용되는 물질은 디스플레이 패널의 특성상 기체 차단 성능 외에도 높은 광 투과율, 표면 경도, 내열성 등을 갖춘 것이면 유기물이나 무기물에 관계없이 어떠한 물질도 사용될 수 있으나, 일반적으로는 산화규소(SiOx), 산화알루미늄(AlxOy), 산화탄탈륨(TaxOy), 산화티타늄(TiOx) 등과 같은 투명한 무기물이 주로 사용된다. 이들 박막은 일반적으로 플라즈마 화학증착법(plasma-enhanced chemical vapor deposition, PECVD), 스퍼터링법(sputtering) 등의 진공증착법이나 졸-겔 법을 이용하여 플라스틱 필름의 표면에 코팅된다.
이러한 기체 차단 박막의 형태로는 무기물로 구성된 하나의 층으로 이루어진 것, 유기층과 무기층의 2층 구조나 유기층/무기층/유기층 또는 무기층/유기층/무기층의 3층 구조를 갖는 것, 같은 구조가 수차례 반복되는 것 등의 여러 가지가 포함되나, 기체 차단 박막 내에 보통 한층 이상의 무기층이 존재하는 것이 일반적이다. 여기서 유기층은 기체 차단 특성보다는 무기층에서 발생할 수 있는 박막의 결함이 그 다음 무기층으로 전파되는 것을 방지하는 역할을 수행한다.
위와 같이 플라스틱 필름 위에 무기층이 직접 코팅되거나, 무기층 위에 유기층이 직접 코팅되는 형태에서 발생할 수 있는 문제점은 각 층의 물성이 다르고 층 사이의 경계가 구분되므로 계면에서 크랙(crack)이 발생하거나 막이 박리될 수 있다는 것이다. 특히, 일본특허 제1994-0031850호 및 제2005-0119148호와 같이 무기층이 스퍼터링에 의해 플라스틱 필름의 표면에 직접 코팅되는 경우에는, 플라스틱 필름과 무기층의 탄성계수, 열팽창계수, 굴곡반경 등이 크게 달라 외부에서 열 또는 반복적인 힘이 가해지거나 휘게 되면 계면에서 스트레스를 받아 크랙이 발생하고 이로 인해 막이 쉽게 박리될 수 있다. 이를 방지하기 위해 일본특허 제2003-0260749호에서는 플라스틱 필름과 무기물 박막 사이에 두 물질의 중간 물성을 갖는 유/무기 하이브리드층을 추가하여 계면에서의 급격한 물성의 변화를 감소시키는 방법이 제안되었다. 그러나 유/무기 하이브리드층과 같은 중간층을 추가하는 경우에도 각 층의 물성은 동일하지 않고 중간층과 무기층은 구분되는 계면을 가지므로 크랙이 발생하거나 박리될 수 있는 가능성은 여전히 존재하게 된다. 그 외에도 기체 차단 성능을 높이기 위하여, 일본특허 제2004-0082598호에서는 유기층과 무기층으 로 이루어진 다층 기체 차단 박막을 사용하는 방법이 개시되었으나, 이 역시 물성이 상이한 여러 층의 존재로 인해 각각의 계면에서 크랙이 발생하거나 박막의 박리 가능성은 더욱 증가하는 결과를 초래하였다. 더욱이, 기존에 사용되는 기체 차단 박막의 형성은 고진공 하에서 이루어지는 증착공정을 필요로 하기 때문에 고가의 장치가 요구되고, 고진공에 도달하기 위해 오랜 시간이 소요되어 경제적이지 못하다는 문제점이 있다.
이에 본 발명자들은 종래 기체 차단 필름 및 그 제조공정의 문제점을 해결하기 위하여 예의 연구 노력한 결과, 고진공 하에서의 진공증착을 하지 않고 유/무기 하이브리드층의 플라즈마 표면 처리에 의해 무기층을 형성하여 기체 차단 필름을 제조하면, 상기 유/무기 하이브리드층과 무기층 사이의 계면이 무기물에서 유/무기물로의 점진적인 조성 변화를 나타내어 크랙과 박리현상의 유발이 억제된 고성능 기체 차단 필름을 얻을 수 있음을 확인함으로써 본 발명을 완성하였다.
따라서 본 발명의 목적은 종래 기체 차단 필름의 문제점을 해결하기 위한 것으로, 고진공 하에서의 진공증착을 하지 않는 간단하고 경제적인 공정에 의해 층간 박리현상이 유발되지 않으면서 우수한 기체 차단 성능을 발휘하는 새로운 개념의 투명 기체 차단 필름을 제공하는 것이다.
상기 목적을 달성하기 위하여, 본 발명은 기체 차단 박막으로 유/무기 하이브리드층의 표면을 반응성 기체를 이용한 플라즈마로 처리하여 무기층을 형성하는 단계를 포함하는 투명 기체 차단 필름의 제조방법을 제공한다.
또한 본 발명은 상기 방법에 의해 제조된 유/무기 하이브리드층과 무기층을 기체 차단 박막으로 포함하고, 상기 유/무기 하이브리드층과 무기층 사이의 경계면이 무기물 조성에서 유/무기물 조성으로의 점진적인 조성 변화를 나타내는 경사 조성형 계면구조를 갖는 투명 기체 차단 필름을 제공한다.
본 발명에 따른 제조방법은 고진공 하에서의 증착공정을 사용하지 않고 단순한 플라즈마 표면 처리를 통해 기체 차단 박막을 형성하기 때문에 공정이 간단하고 고진공을 얻기 위한 고가의 장치가 필요하지 않으며 고진공에 도달하기 위한 시간이 단축되어 보다 경제적으로 투명 기체 차단 필름을 생산할 수 있다. 또한 본 발명의 방법에 따라 제조된 투명 기체 차단 필름은 기체 차단 박막으로 형성된 무기 층과 유/무기 하이브리드층의 계면에서 그 조성이 점진적으로 변화하여 경계를 명확히 구분할 수 없는 경사 조성형 계면구조를 가지므로 이들 간에 크랙이 발생하거나 층간 박리현상이 유발되지 않으면서 탁월한 기체 차단 효과를 발휘할 수 있어 각종 디스플레이 패널로 유용하게 사용될 수 있다.
본 발명은 투명 플라스틱 필름, 유/무기 하이브리드층 및 무기층으로 구성되고, 상기 유/무기 하이브리드층과 무기층의 경계면이 무기물 조성에서 유/무기물 조성으로의 점진적인 조성 변화를 나타내는 경사 조성형 계면구조를 갖는, 기체 차단 효과가 우수한 투명 기체 차단 필름을 제공한다.
본 발명에 따른 투명 기체 차단 필름은 하기 단계를 포함하는 방법에 의해 제조될 수 있다:
a) 투명 플라스틱 필름의 표면에 유/무기 하이브리드 용액을 코팅하여 유/무기 하이브리드층을 형성하는 단계; 및
b) 상기에서 투명 플라스틱 필름 상에 형성된 유/무기 하이브리드층의 표면을 반응성 기체의 플라즈마로 처리하여 경사 조성형 계면구조를 갖는 무기층을 형성하는 단계.
본 발명에 따른 투명 기체 차단 필름은 기체 차단 박막으로서 무기층과 유/무기 하이브리드층을 포함하는데, 이들의 경계면이 무기물 조성에서 유/무기물 조성으로의 점진적인 조성 변화를 나타내는 경사 조성형 계면구조를 갖는 것을 특징으로 한다. 상기한 특징은 투명 플라스틱 필름 상에 코팅된 유/무기 하이브리드층 위에 무기층을 고진공 하에서 증착시켜 달성되는 것이 아니라, 상기 유/무기 하이브리드층의 표면을 반응성 기체를 이용한 플라즈마로 처리하여 탄화수소를 제거함으로써 유/무기 하이브리드층의 일부를 무기층으로 변화시켜 달성된다.
본 발명에서 "경사 조성형 계면구조"란 무기층과 유/무기 하이브리드층의 경계면에서 조성이 급격히 변화하지 않고 무기층에서 유/무기 하이브리드층으로 갈수록 계면의 조성이 무기물에서 유/무기물로 점진적으로 변하는 구조를 의미한다. 본 발명에 따른 경사 조성형 계면구조를 갖는 투명 기체 차단 필름은 무기층과 유/무기 하이브리드층의 경계가 확실히 구분되지 않아 이들의 계면에서 크랙이 발생하거나 층간 박리현상이 유발되지 않는다는 장점을 갖는다.
이하에서는, 본 발명에 따른 투명 기체 차단 필름의 제조방법을 단계별로 상세히 설명하고자 한다.
단계 a)에 기재로 사용되는 투명 플라스틱 필름은 광학특성이 우수한 필름을 만들 수 있는 것으로 열가소성(thermoplastic) 고분자나 열경화성(thermosetting) 고분자이면 어느 것이나 사용될 수 있다. 본 발명에 적합한 열가소성 고분자의 예로는 폴리에테르설폰(ployethersulfone, PES), 폴리카보네이트(polycarbonate, PC), 폴리이미드(polyimide, PI), 폴리아릴레이트(polyarylate, PAR), 폴리에틸렌테레프탈레이트(polyethylene terephthalate, PET), 폴리에틸렌나프탈레이트(polyethylene naphthalate, PEN), 환상올레핀 공중합체(cycloolefin copolymer) 등이 포함되고, 열경화성 고분자로는 에폭시 수지, 불포화 폴리에스테르 등이 포함되지만, 특별히 이에 한정되는 것은 아니다.
단계 a)에서 코팅 용액으로 사용되는 유/무기 하이브리드 용액은 주로 졸/겔 가수분해 반응에 의해 제조되지만, 유/무기 하이브리드 용액을 제조할 수 있는 방법이라면 어떠한 방법도 사용될 수 있다. 졸/겔 가수분해 반응에 의해 유/무기 하이브리드 용액을 제조하는 경우, 졸/겔 가수분해 반응의 원료로는 하기 화학식 1로 표시되는 알콕시실란, 화학식 2로 표시되는 실란알콕사이드, 또는 이들의 혼합물이 사용될 수 있다.
Figure 112008090266296-pat00001
상기 식에서, R1은 탄소수 1~20의 알킬기, 탄소수 6~20의 아릴기, 비닐기, 아크릴기, 메타크릴기 또는 에폭시기이고; R2는 탄소수 1~20의 알킬기 또는 탄소수 6~20의 아릴기이고; x는 1 내지 3의 정수이고; R1 및 R2가 알킬기인 경우, 상기 알킬기는 수소 대신에 불소로 치환될 수 있다.
Figure 112008090266296-pat00002
상기 식에서, R3은 탄소수 1~20의 알킬기 또는 탄소수 6~20의 아릴기이고; R3이 알킬기인 경우, 상기 알킬기는 수소 대신에 불소로 치환될 수 있다.
또한, 상기 화학식 1의 알콕시실란과 화학식 2의 실란알콕사이드에서 Si 대 신 Ti, Zr 등의 다른 금속이 대체된 화합물이 사용될 수도 있다.
바람직하게는 화학식 1의 알콕시실란에서 x가 1인 트라이알콕시실란(R1Si(OR2)3)과 x가 2인 다이알콕시실란(R1 2Si(OR2)2)이 사용될 수 있다. 트라이알콕시실란(R1Si(OR2)3)의 대표적인 화합물로는, 이에 한정되는 것은 아니지만, 메틸트라이메톡시실란(methyltrimethoxysilane), 메틸트라이에톡시실란(methyltriethoxysilane), 에틸트라이메톡시실란(ethyltrimethoxysilane), 에틸트라이에톡시실란(ethyltriethoxysilane), 3-글리시독시프로필트라이메톡시실란(3-glycidoxypropyltrimethoxysilane), 3-아크릴옥시프로필트라이메톡시실란(3-acryloxypropyltrimethoxysilane), 3-아크릴옥시프로필트라이에톡시실란(3-acryloxypropyltriethoxysilane), 3-메타크릴옥시프로필트라이메톡시실란(3-methacryloxypropyltrimethoxysilane), 3-메타크릴옥시프로필트라이에톡시실란(3-methacryloxypropyltriethoxysilane), 비닐트라이에톡시실란(vinyltriethoxysilane), 비닐트라이메톡시실란(vinyltrimethoxysilane) 등이 포함된다. 다이알콕시실란(R1 2Si(OR2)2)의 대표적인 화합물로는, 이에 한정되는 것은 아니지만, 다이메틸다이메톡시실란(dimethyldimethoxysilane), 다이메틸다이에톡시실란(dimethyldiethoxysilane), 다이에틸다이메톡시실란(diethyldimethoxysilane), 다이에틸다이에톡시실란(diethyldiethoxysilane) 등이 포함된다. 화학식 2의 실란 알콕사이드(Si(OR3)4)로는 테트라에틸실리케이트(tetraethylorthosilicate), 테트라메틸실리케이트(tetramethylorthosilicate), 테트라아이소프로폭시실리케이트(tetraisopropoxysilicate), 테트라부톡시실리케이트(tetrabutoxysilicate) 등을 사용하는 것이 바람직하다.
통상적으로 트라이알콕시실란과 실란알콕사이드를 극성 용매 중에서 졸/겔 가수분해 반응시켜 유/무기 하이브리드 용액을 얻지만, 다이알콕시실란과 실란알콕사이드, 다이알콕시실란과 트라이알콕시실란을 반응시켜 유/무기 하이브리드 용액을 얻을 수도 있고, 각각의 다이알콕시실란, 트라이알콕시실란 및 실란알콕사이드를 단독으로 반응시켜 유/무기 하이브리드 용액을 얻을 수도 있다. 상기와 같이 다이알콕시실란, 트라이알콕시실란 및 실란알콕사이드의 여러 화합물을 다양한 조합과 몰비로 반응시킬 수 있으므로, 다양한 종류의 유/무기 하이브리드 용액이 수득될 수 있다. 이렇게 수득된 유/무기 하이브리드 용액을 통상적인 코팅 작업에 따라 투명 플라스틱 필름 위에 코팅한 후 열경화나 광경화에 의해 경화시켜 유/무기 하이브리드층을 형성한다.
알콕시실란과 실란알콕사이드를 사용하여 졸/겔 가수분해 반응에 의해 유/무기 하이브리드 용액을 제조하는 경우를 예로 들어 구체적으로 설명하면, 실란알콕사이드와 극성 용매를 혼합하여 교반하면서 알콕시실란을 상기 용액에 첨가하면서 이들을 가수분해시킨다. 이때 극성 용매로는 증류수; 메탄올, 에탄올, 아이소프로판올, 부탄올과 같은 알코올; 메틸에틸케톤, 메틸아이소부틸케톤과 같은 케톤; 아 세트산에틸, 아세트산부틸과 같은 에스테르; 톨루엔, 자일렌과 같은 방향족 탄화수소; 할로겐화 탄화수소 등이 사용될 수 있으며, 이들은 단독으로 또는 혼합물의 형태로 사용될 수 있다. 가수분해를 촉진하는 촉매로서 염산, 질산, 황산, 아세트산, 불화수소산(HF) 등의 산이나 암모니아를 극성 용매에 추가할 수도 있다. 또한 혼합되는 알콕시실란과 실란알콕사이드의 몰비는 1:5 내지 10:1이 바람직하다. 상기 혼합용액으로부터 수분이나 알코올 성분, 촉매로 사용된 산이나 암모니아를 추출이나 투석 등을 이용하여 제거하여 최종적으로 유/무기 하이브리드 용액을 수득한다.
상기 유/무기 하이브리드 용액을 스핀코팅(spin coating), 딥코팅(dip coating), 롤코팅(roll coating), 스크린 코팅(screen coating), 분무코팅(spray coating), 스핀캐스팅(spin casting), 흐름코팅(flow coating), 스크린 인쇄(screen printing), 잉크젯팅(ink-jetting), 드롭캐스팅(drop casting) 등을 이용하여 투명 플라스틱 필름 위에 0.5 내지 5 ㎛ 두께로 코팅한 후 열경화나 광경화에 의해 경화시켜 유/무기 하이브리드층을 형성한다. 이때 열경화는 기재로 사용된 투명 플라스틱 필름의 열변형 온도 이하에서 실시하는데, 열처리 조건은 사용되는 기재의 종류나 두께에 따라 달라질 수 있다. 또한 광경화는 상기 화학식 1의 알콕시실란에서 R1이 비닐기, 아크릴기, 메타크릴기 등과 같이 불포화 탄화수소기를 포함하는 화합물을 졸/겔 가수분해 반응의 원료로 사용하는 경우에 작용될 수 있는데, 상기 화합물은 광에 의해 라디칼이 발생되고 불포화 탄화수소기가 가교화되므 로 광경화에 의해 유/무기 하이브리드층을 형성할 수 있다. 상기 광경화에는 통상적인 광개시제가 사용될 수 있는데, 적합한 광개시제의 예로는, 이에 한정되는 것은 아니지만, 1-하이드록시사이클로헥실페닐케톤(1-hydroxycyclohexylphenylketone, Irgacure 184), 벤조페논(benzophenone), 3,3,4,4-테트라-(t-부틸퍼옥시카보닐)벤조페논(3,3,4,4-tetra-(t-butyloxycarbonyl)benzophenone), 2-하이드록시-2-메틸프로피오페논(2-hydroxy-2-methylpropiophenone), 2,2-다이에톡시아세토페논(2,2-diethoxyacetophenone) 등이 포함된다. 이때, 광경화제는 유/무기 하이브리드 용액 100 중량부를 기준으로 0.1 내지 10 중량부로 사용하는 것이 바람직하다.
이렇게 제조된 유/무기 하이브리드층은 Si-O 결합과 탄화수소의 비에 따라 유기물과 무기물의 중간 특성을 갖기 때문에 유기물인 필름과 이후 단계 b)에서 생성되는 무기층 사이에서 완충역할을 수행함으로써 필름에 외력이 작용하거나 온도에 의해 수축 또는 팽창될 때 계면에서 발생하는 응력을 감소시켜 크랙이 발생하거나 투명 플라스틱 필름으로부터 기체 차단 박막이 박리되는 것을 억제하는 효과를 나타낸다.
단계 a)를 수행하기 전에 투명 플라스틱 필름의 표면을 플라즈마로 전처리하는 과정을 추가로 포함할 수도 있다. 구체적으로, 투명 플라스틱 필름을 플라즈마 반응 챔버에 투입하고, 산소(O2), 헬륨(He), 아르곤(Ar), 아산화질소(N2O), 질소(N2), 암모니아(NH3), 수소(H2), H2O, 이들의 혼합물 등과 같은 기체를 공급한 후 플라즈마를 발생시켜서 필름의 표면을 처리한다. 이때, 반응 챔버 내로 공급되는 기체는 단일 기체뿐만 아니라 혼합 기체의 형태로도 사용 가능하며, 라디오 주파수(radio frequency, RF) 전원, 중주파수(medium frequency, MF) 전원, 직류(direct current, DC) 전원, 마이크로파(microwave, MW) 전원 등의 플라즈마 전원의 종류에 관계없이 모두 사용 가능하다. 이와 같이 필름의 표면을 플라즈마로 전처리하면, 플라스틱 필름과 단계 a)에서 코팅될 유/무기 하이브리드층 사이의 접착력이 강화되고, 그로 인해 필름과 유/무기 하이브리드층 사이의 박리현상을 억제할 수 있다.
단계 b)는 본 발명에 따른 제조방법의 특징이 되는 단계로, 고진공 하에서의 진공증착을 수행하지 않고 플라즈마 표면 처리만으로 단계 a)에서 형성된 유/무기 하이브리드층의 표면에 무기층을 형성하여 기체 차단 박막을 완성하는 단계이다. 이 단계에서 형성된 무기층은 기체 차단 효과가 탁월하면서도 무기층에서 유/무기 하이브리드층으로 갈수록 계면의 조성이 무기물에서 유/무기물로 점진적으로 변하는 경사 조성형 계면구조를 가져 두 층의 계면에서 크랙이 발생하거나 층간 박리현상이 유발되지 않는다.
단계 b)에서 형성되는 무기층은 유/무기 하이브리드층 위에 새로운 층이 증착되는 것이 아니고 유/무기 하이브리드층 표면 근처의 일부분이 플라즈마 처리에 의해 탄화수소가 제거된 무기층으로 변하는 것이다. XPS(X-ray Photoelectron Spectroscopy)를 사용하여 상기 단계에 의해 제조된 기체 차단 박막의 표면 부분을 깊이에 따라 분석한 결과에 따르면, 본 발명에 따른 기체 차단 필름은 바깥 표면 근처의 탄소가 검출되지 않는 제1 영역, 제1 영역 아래에 위치하고 탄소의 함량이 서서히 증가하는 제2 영역, 및 제2 영역 아래에 위치하고 탄소의 함량이 일정하여 변하지 않는 제3 영역으로 구분될 수 있다. 즉, 제1 영역은 본 발명의 단계 b)에서 플라즈마 표면 처리로 인해 유/무기 하이브리드층의 표면에서 탄화수소 성분이 제거되면서 형성된 무기층을 나타내고, 제2 영역은 상기 무기층과 유/무기 하이브리드층의 경계를 이루는 층으로 본 발명의 특징인 무기층에서 유/무기 하이브리드층으로 갈수록 계면의 조성이 무기물에서 유/무기물로 점진적으로 변하는 경사 조성형 계면 구조를 나타내며, 제3 영역은 일정한 탄소 함량을 나타내는 단계 a)에서 형성된 유/무기 하이브리드층이다. 본 발명에 따라 형성된 기체 차단 박막의 단면을 주사전자현미경(scanning electron microscope, SEM)으로 관찰하여 경사 조성형 계면구조로 인해 표면의 무기층과 유/무기 하이브리드층의 경계가 명확하게 구분되지 않음을 확인한다(도 1 참조). 이처럼 무기층과 유/무기 하이브리드층의 계면이 경사 조성형 구조를 가져 계면의 조성이 무기물에서 유/무기물로 점진적으로 변하게 되면, 기체 차단 박막이 외력이나 변형에 완충(buffering) 역할을 담당할 수 있어 크랙이 발생하거나 층간 박리현상이 유발되는 것을 억제할 수 있다.
조성이 점진적으로 변하는 경사 조성형 계면구조를 얻기 위해서 기존에는 다른 조성을 갖는 층을 여러 차례 반복하여 코팅 또는 증착하거나, 압력, 기체 유량, 혼합 기체의 조성, 플라즈마 출력 등의 공정 조건을 시간에 따라 바꾸어가며 조절하여 한 번의 공정으로 연속적으로 증착하는 방법 등이 사용되었다. 그러나 이들 방법은 동일한 공정을 수차례 반복하거나, 반응기 내에서의 공정 조건을 점진적으 로 변화시켜야 하는 어려움이 있었다.
그러나 본 발명에 따르면 조성이 점진적으로 변하는 경사 조성형 계면구조를 얻기 위하여 다른 조성을 갖는 층을 여러 차례 반복하여 코팅 또는 증착할 필요가 없을 뿐만 아니라, 압력, 기체 유량, 혼합 기체의 조성, 플라즈마 출력 등의 공정 조건을 시간에 따라 바꾸어가며 조절할 필요도 없다. 다만 동일한 조건 하에서 유/무기 하이브리드층의 표면에 플라즈마 처리를 수행하는 것만으로 경사 조성형 계면구조를 쉽게 얻을 수 있어 공정의 단순화가 가능하고 제조 속도가 빨라 대량 생산이 용이하다는 장점을 갖는다.
구체적으로, 단계 b)에서의 플라즈마 표면 처리는 단계 a)에서 표면에 유/무기 하이브리드층이 형성된 투명 플라스틱 필름을 플라즈마 반응 챔버에 투입하고 압력을 낮춘 후에, O2, N2O, N2, NH3, H2, H2O 등과 같은 증착되지 않는 반응성 기체를 공급하고 전극에 전원을 인가하여 플라즈마를 발생시켜서 단계 a)에서 코팅된 유/무기 하이브리드층의 표면을 처리하여 수행된다. 이때, 반응 챔버 내로 공급되는 기체는 단일 기체뿐만 아니라 O2/N2O, O2/N2, O2/NH3, O2/H2, Ar/O2, He/O2, Ar/N2O, He/N2O, Ar/NH3, He/NH3 등과 같이 상기 기체들의 혼합 기체나 헬륨(He), 아르곤(Ar)과 같은 불활성 기체를 포함하는 혼합 기체의 형태로도 사용 가능하며, 라디오 주파수(radio frequency, RF) 전원, 중주파수(medium frequency, MF) 전원, 직류(direct current, DC) 전원, 마이크로파(microwave, MW) 전원 등의 플라즈마 전원 종류에 관계없이 모두 사용 가능하다.
단계 b)는 앞에서 언급한 통상의 플라즈마 전처리 공정과 유사하지만, 그 효과와 목적은 전혀 다르다. 통상의 플라즈마 전처리 공정에서는 투명 플라스틱 필름과 그 표면에 형성되는 유/무기 하이브리드층의 접착력을 향상시키는 것이 주된 목적인 반면에, 단계 b)에서는 유/무기 하이브리드층에 존재하는 탄화수소 성분을 플라즈마로 처리하여 제거함으로써 유/무기 하이브리드층의 일부를 기체 차단 박막으로 작용하는 경사 조성형 계면 구조를 갖는 무기층으로 만드는 것이 목적이다.
이와 같이 단계 b)에서 플라즈마 표면 처리에 의해 형성되는 무기층은 사용하는 반응성 기체의 종류에 따라 Si-O, Si-N, Si-ON 등의 결합이 가능하며, 플라즈마 출력, 처리 압력, 처리 시간 및 전극과 기판간의 거리 등에 따라 기체 차단 성능을 조절할 수 있다. 일반적으로 플라즈마 출력이 높을수록, 처리압력이 낮을수록, 처리시간이 길어질수록 탄화수소 성분이 많이 제거되어 무기층의 두께가 증가하고 기체 차단 성능이 향상된다. 플라즈마 출력이 높으면 단시간의 처리만으로도 기체 차단 성능을 높일 수 있으나, 플라즈마 처리에 따른 온도 상승으로 인해 기재로 사용되는 투명 플라스틱 필름이 변형될 수 있으므로 플라즈마 출력과 처리 시간을 적절히 조절할 필요가 있다. 플라즈마 처리 조건은 플라즈마 전원의 종류와 전극과 기판간의 거리에 따라 달라질 수 있다. 본 발명의 바람직한 실시예에서는, 플라즈마 전원으로 RF 전원을 사용하는 경우에, 2 내지 7 sccm의 기체 유량으로 출력은 50 내지 600 W, 처리 시간은 10초 내지 10분, 처리 압력은 10 내지 500 mtorr를 유지하면서 플라즈마 처리를 수행한다. 상기에서 플라즈마 출력이 50 W 미만인 경우는 10분 이내의 처리 시간으로는 기체 차단 성능을 얻기 힘들고, 600 W를 초과 하는 경우는 필름에 손상이 생길 수 있다는 문제점이 있다. 또한 플라즈마 처리 압력이 500 mtorr을 초과하거나 처리 시간이 10초 미만일 경우는 목적하는 수준의 기체 차단 성능을 획득하기가 어렵다. 상기한 플라즈마 처리 조건으로 제조된 경사 조성형 계면구조를 갖는 기체 차단 필름의 조성을 XPS로 분석(SiO2 기준으로 스퍼터 속도는 10 ㎚/분이며, 기체 차단 필름의 스퍼터 속도 역시 동일하다고 가정함)한 결과, 무기층은 10 내지 500 ㎚의 두께를 가지며 무기층에서 Si/O의 비율은 1.7 내지 2.5의 값을 나타냄을 확인한다.
본 발명은 상기한 도 2와 같이 단계 a) 및 b)를 투명 플라스틱 필름의 한 면에 실시하는 것에만 한정되지 않으며, 도 3과 같이 투명 플라스틱 필름의 한 면에 반복하여 실시하거나, 도 4, 5 및 6과 같이 양면에 1회 또는 반복하여 실시하는 경우도 포함한다. 도 4에서는 한 면에 단계 a) 및 b)를 실시한 후에 다른 면에 단계 a) 및 b)를 실시할 수도 있고, 양면에 단계 a)를 먼저 실시하고 이어서 단계 b)를 실시할 수도 있다. 따라서 상기한 구성에 따라 투명 플라스틱 필름의 한 면에 한 쌍의 유/무기 하이브리드층과 무기층이 형성되는 형태뿐만 아니라, 필름의 한 면에 두 쌍 이상의 하이브리드층과 무기층이 형성되는 형태, 필름의 양면에 이들이 한 쌍씩 형성되는 형태나, 필름의 양면에 이들이 두 쌍 이상 형성되는 형태의 투명 기체 차단 필름도 모두 본 발명의 범위에 포함된다.
전술한 바와 같이, 본 발명의 제조방법은 고진공 하에서의 증착공정을 사용하지 않고 단순한 플라즈마 처리를 통해 기체 차단 박막을 형성하기 때문에, 고진 공을 얻기 위한 고가의 장치가 필요하지 않으며 고진공에 도달하기 위한 시간이 단축되어 보다 경제적으로 투명 기체 차단 필름을 생산할 수 있다. 또한 상기 방법에 따라 제조된 본 발명의 투명 기체 차단 필름은 무기층과 유/무기 하이브리드층의 계면이 경사 조성형 구조를 가져 물성의 변화가 완만하기 때문에 변형 및 외력에 대한 완충효과를 기대할 수 있다. 따라서 본 발명의 투명 기체 차단 필름은 무기층과 유/무기 하이브리드층 사이의 계면에서 크랙이나 층간 박리현상을 유발하지 않으면서 우수한 기체 차단 효과를 나타내므로 각종 디스플레이 패널용으로 유용하게 사용될 수 있다.
이하, 본 발명을 하기 실시예에 의거하여 좀 더 상세하게 설명하고자 한다. 단, 하기 실시예는 본 발명을 예시하기 위한 것일 뿐, 본 발명의 범위가 이들만으로 제한되는 것은 아니다.
실시예 1
투명 플라스틱 필름으로 200 ㎛ 두께의 폴리에테르설폰(PES) 필름을 사용하였으며, 유/무기 하이브리드층을 형성하기 전에 PES 필름의 표면을 플라즈마로 처리하였다. 플라즈마 표면 처리는 PES 필름을 플라즈마 챔버에 넣고 진공 펌프를 사용하여 용기 내부의 압력을 10-3 torr 이하로 떨어뜨린 후, 진공 펌프를 계속 가동한 상태로 5 sccm의 아르곤 기체를 투입하여 50 mtorr의 압력에서 RF(radio frequency) 출력을 100 W로 플라즈마를 발생시켜 수 초간 수행하였다.
a) 유/무기 하이브리드층 형성
95% 초산(acetic acid) 0.3 g을 혼합한 증류수 100 g에 테트라에틸실리케이트(TEOS) 25.62 g을 투입하고 교반하면서 메틸트라이메톡시실란(MTMS) 33.51 g을 투입하여 상온에서 유/무기 하이브리드 용액을 제조하였다. 이때 투입된 테트라에틸실리케이트와 메틸트라이메톡시실란의 몰비는 1:2이다. 제조된 유/무기 하이브리드 용액을 상기에서 플라즈마로 전처리된 PES 필름 위에 250 rpm으로 스핀 코팅하여 3 ㎛ 두께의 유/무기 하이브리드층을 형성한 후 이를 130℃에서 1시간 동안 열경화시켰다.
b) 기체 차단 박막 형성
단계 a)에서 표면에 유/무기 하이브리드층이 형성된 PES 필름을 플라즈마 반응 챔버에 넣고 진공 펌프를 사용하여 용기 내부의 압력을 10-3 torr 이하로 떨어뜨린 후, 진공 펌프를 계속 가동한 상태로 5 sccm의 산소 기체를 투입하여 50 mtorr의 압력에서 RF 출력을 100 W 로 플라즈마를 발생시켜 10분간 필름의 표면을 처리하여 유/무기 하이브리드층 표면의 탄화수소를 제거하였다. 이로부터 투명 플라스틱 필름에 경사 조성형 계면구조를 갖는 기체 차단 박막으로 무기층 및 유/무기 하이브리드층이 형성된 투명 기체 차단 필름을 제조하였다.
실시예 2 내지 14
하기 표 1에 기재된 조건에 따라 단계 a)에서 TEOS:MTMS의 몰비를, 단계 b)에서 처리가스, 압력, RF 출력 및 플라즈마 처리 시간을 달리하는 것을 제외하고는 상기 실시예 1과 동일한 방법으로 공정을 수행하여 경사 조성형 계면구조를 갖는 기체 차단 박막이 형성된 투명 기체 차단 필름을 제조하였다.
실시예 15
하기 표 1에 기재된 조건에 따라 단계 b)에서 RF 출력 및 플라즈마 처리 시간을 달리하고 PES 필름의 한 면에 단계 a) 및 b)를 2회 반복하여 실시하는 것을 제외하고는 상기 실시예 1과 동일한 방법으로 공정을 수행하여 경사 조성형 계면구조를 갖는 기체 차단 박막이 형성된 투명 기체 차단 필름을 제조하였다.
실시예 16
하기 표 1에 기재된 조건에 따라 단계 b)에서 RF 출력 및 플라즈마 처리 시간을 달리하고 PES 필름의 양면에 단계 a) 및 b)를 실시하는 것을 제외하고는 상기 실시예 1과 동일한 방법으로 공정을 수행하여 경사 조성형 계면구조를 갖는 기체 차단 박막이 형성된 투명 기체 차단 필름을 제조하였다.
실시예 TEOS:MTMS1) 처리가스 압력(mtorr) 플라즈마 출력(W) 플라즈마 처리 시간(분)
1 1:2 O2 50 100 10
2 1:3 O2 50 100 10
3 1:2 O2 50 150 2
4 1:2 O2 50 150 3
5 1:2 O2 50 200 2
6 1:2 O2 50 200 5
7 1:2 O2 50 250 1
8 1:2 O2 50 250 2
9 1:2 O2 50 250 3
10 1:2 O2 50 300 0.5
11 1:2 O2 50 300 5
12 1:2 O2 15 200 5
13 1:2 NH3 50 250 3
14 1:2 Ar/O2 2) 50 250 3
15 1:2 O2 50 250 1
16 1:2 O2 50 250 1
1)유/무기 하이브리드 용액의 합성 원료로 사용되는 TEOS와 MTMS의 몰비
2)Ar과 O2의 유량비는 1:1
비교예 1
본 발명에 따라 제조된 기체 차단 박막의 성능을 확인하기 위하여 실시예 1과 동일한 조건 하에서 단계 a)만을 수행하고 단계 b)를 거치지 않은 기체 차단 필름을 제조하였다.
실험예 1: 산소투과도 측정
상기 실시예 1 내지 16 및 비교예 1에서 제조된 기체 차단 필름의 산소투과도(oxygen transmittance rate, OTR)를 산소투과도 측정 장치(Oxtran 2/20 MB, Mocon사)를 이용하여 35℃, 상대습도 0%에서 측정하였고, 그 결과를 하기 표 2에 나타내었다.
OTR(cc/m2/일)
실시예 1 0.34
실시예 2 0.37
실시예 3 1.2
실시예 4 0.26
실시예 5 0.98
실시예 6 0.35
실시예 7 1.2
실시예 8 0.44
실시예 9 0.35
실시예 10 1.0
실시예 11 0.20
실시예 12 0.14
실시예 13 0.75
실시예 14 0.95
실시예 15 모콘 한계치(0.05) 이하
실시예 16 모콘 한계치(0.05) 이하
비교예 1 310
상기 표 2에 나타난 바와 같이, 본 발명의 실시예 1 내지 16에 따라 유/무기 하이브리드 용액 코팅 및 플라즈마 표면 처리의 2단계에 의해 표면에 기체 차단 박막이 형성된 투명 기체 차단 필름은 측정 장치의 한계치(Mocon limit)인 0.05 cc/m2/일 이하에서부터 최대 1.2 cc/m2/일의 매우 낮은 산소투과도를 나타내는 반면, 플라즈마 표면 처리 단계를 거치지 않고 제조된 비교예 1의 필름은 310 cc/m2/일의 산소투과도를 나타내어 본 발명에 따른 기체 차단 필름이 매우 우수한 기체 차단 효과를 나타냄을 알 수 있다.
실험예 2: 필름 내구성 측정
본 발명의 방법에 따라 제조된 기체 차단 필름의 내구성을 조사하기 위하여 상기 실시예 9에서 제조된 기체 차단 필름을 대상으로 하기 방법에 따라 굽힘 운동 실험을 수행하였다.
굽힘 운동 실험 장치는 ASTM D2236을 기초로 제작되었으며, 실시예 9의 기체 차단 필름을 100 ㎜×30 ㎜ 크기로 절단하여 시료를 준비한 후, 시료의 길이 방향을 항상 필름의 기계 운동 방향으로 정하여 굽힘 운동 실험을 수행하였다. 이때, 굽힘 운동의 주파수는 0.25 Hz, 각변이(angular displacement)는 (1/24)π로 하였고, 반복횟수는 5,000회로 하였다.
굽힘 운동 실험을 거친 실시예 9의 기체 차단 필름의 산소투과도를 상기 실험예 1과 동일한 방법으로 35℃, 상대습도 0%에서 측정하였고 이를 굽힘 운동 실험 전의 기체 차단 필름의 산소투과도와 비교하였다.
그 결과, 굽힘 운동 전에 0.35 cc/m2/일의 산소투과도를 나타내었던 실시예 9의 기체 차단 필름은 굽힘 운동 후에도 산소투과도가 0.35 cc/m2/일로 나타나, 본 발명에 따른 기체 차단 필름은 외력에 의한 굽힘에도 기체 차단 효과가 저해되지 않음을 알 수 있다.
상기 결과로부터, 본 발명에 따라 제조된 투명 기체 차단 필름은 고진공 하에서의 증착공정을 사용하지 않고 유/무기 하이브리드 용액이 코팅된 필름 표면을 플라즈마 표면 처리한 것만으로도 낮은 산소투과도를 나타내고 외부 힘에 의한 굽힘에도 성능의 저하 없이 탁월한 기체 차단 효과를 나타낼 수 있음을 확인하였다. 본 발명에 따른 투명 기체 차단 필름에서 이러한 기체 차단 효과는 단계 a)에서 형성된 유/무기 하이브리드층이 아니라 단계 b)에서 상기 유/무기 하이브리드층의 플라즈마 표면 처리를 통해 기체 차단 박막으로서 형성된 경사 조성형 계면구조를 갖는 무기층에 의해 달성되는 것으로, 이는 본 발명에서 특징적으로 고안된 것이다.
이상으로 본 발명 내용의 특정 부분을 상세히 기술하였는바, 당업계의 통상의 지식을 가진 자에게 있어서, 이러한 구체적 기술은 단지 바람직한 실시양태일 뿐이며, 이에 의해 본 발명의 범위가 제한되는 것이 아닌 점은 명백할 것이다. 따라서 본 발명의 실질적인 범위는 첨부된 청구항들과 그것들의 등가물에 의하여 정의된다고 할 것이다.
도 1은 본 발명에 따라 제작된 투명 기체 차단 필름의 단면에서 경사 조성형 계면구조를 갖는 무기층과 유/무기 하이브리드층을 주사전자현미경(SEM)으로 관찰한 사진이고,
도 2는 본 발명의 일 구성에 따라 제작된 투명 기체 차단 필름의 단면 구성도이고,
도 3은 본 발명의 다른 구성에 따라 제작된 투명 기체 차단 필름의 단면 구성도이고,
도 4는 본 발명의 다른 구성에 따라 제작된 투명 기체 차단 필름의 단면 구성도이고,
도 5는 본 발명의 다른 구성에 따라 제작된 투명 기체 차단 필름의 단면 구성도이고,
도 6은 본 발명의 다른 구성에 따라 제작된 투명 기체 차단 필름의 단면 구성도이다.
<도면의 주요부분에 대한 부호의 설명>
1: 투명 플라스틱 필름
2: 유/무기 하이브리드층
3: 경사 조성형 계면구조를 갖는 무기층

Claims (20)

  1. 하기 단계를 포함하는 기체 차단 효과가 우수한 투명 기체 차단 필름의 제조방법:
    a) 투명 플라스틱 필름의 표면에 유/무기 하이브리드 용액을 코팅하여 유/무기 하이브리드층을 형성하는 단계; 및
    b) 상기에서 투명 플라스틱 필름 상에 형성된 유/무기 하이브리드층의 표면을 반응성 기체의 플라즈마로 처리하여 경사 조성형 계면구조를 갖는 무기층을 형성하는 단계.
  2. 제1항에 있어서,
    단계 a)에서 투명 플라스틱 필름이 폴리에테르설폰(ployethersulfone, PES), 폴리카보네이트(polycarbonate, PC), 폴리이미드(polyimide, PI), 폴리아릴레이트(polyarylate, PAR), 폴리에틸렌테레프탈레이트(polyethylene terephthalate, PET), 폴리에틸렌나프탈레이트(polyethylene naphthalate, PEN), 환상올레핀 공중합체(cycloolefin copolymer), 에폭시 수지 및 불포화 폴리에스테르로 구성된 군으로부터 선택되는 것을 특징으로 하는 제조방법.
  3. 제1항에 있어서,
    단계 a)에서 유/무기 하이브리드 용액이 졸/겔 가수분해 반응에 의해 제조되 는 것을 특징으로 하는 제조방법.
  4. 제1항에 있어서,
    단계 a)에서 유/무기 하이브리드 용액이 하기 화학식 1의 알콕시실란, 화학식 2의 실란알콕사이드 및 이들의 혼합물로 구성된 군으로부터 선택되는 화합물로 제조되는 것을 특징으로 하는 제조방법:
    <화학식 1>
    Figure 112008090266296-pat00003
    상기 식에서, R1은 탄소수 1~20의 알킬기, 탄소수 6~20의 아릴기, 비닐기, 아크릴기, 메타크릴기 또는 에폭시기이고; R2는 탄소수 1~20의 알킬기 또는 탄소수 6~20의 아릴기이고; x는 1 내지 3의 정수이고; R1 및 R2가 알킬기인 경우, 상기 알킬기는 수소 대신에 불소로 치환될 수 있음.
    <화학식 2>
    Figure 112008090266296-pat00004
    상기 식에서, R3은 탄소수 1~20의 알킬기 또는 탄소수 6~20의 아릴기이고; R3이 알킬기인 경우, 상기 알킬기는 수소 대신에 불소로 치환될 수 있음.
  5. 제4항에 있어서,
    상기 화학식 1의 알콕시실란이 트라이알콕시실란(R1Si(OR2)3) 또는 다이알콕시실란(R1 2Si(OR2)2)인 것을 특징으로 하는 제조방법.
  6. 제5항에 있어서,
    상기 트라이알콕시실란(R1Si(OR2)3)이 메틸트라이메톡시실란(methyltrimethoxysilane), 메틸트라이에톡시실란(methyltriethoxysilane), 에틸트라이메톡시실란(ethyltrimethoxysilane), 에틸트라이에톡시실란(ethyltriethoxysilane), 3-글리시독시프로필트라이메톡시실란(3-glycidoxypropyltrimethoxysilane), 3-아크릴옥시프로필트라이메톡시실란(3-acryloxypropyltrimethoxysilane), 3-아크릴옥시프로필트라이에톡시실란(3-acryloxypropyltriethoxysilane), 3-메타크릴옥시프로필트라이메톡시실란(3-methacryloxypropyltrimethoxysilane), 3-메타크릴옥시프로필트라이에톡시실란(3-methacryloxypropyltriethoxysilane), 비닐트라이에톡시실란(vinyltriethoxysilane), 및 비닐트라이메톡시실란(vinyltrimethoxysilane)으로 구성된 군으로부터 선택되는 것을 특징으로 하는 제조방법.
  7. 제5항에 있어서,
    상기 다이알콕시실란(R1 2Si(OR2)2)이 다이메틸다이메톡시실란(dimethyldimethoxysilane), 다이메틸다이에톡시실란(dimethyldiethoxysilane), 다이에틸다이메톡시실란(diethyldimethoxysilane), 및 다이에틸다이에톡시실란(diethyldiethoxysilane)으로 구성된 군으로부터 선택되는 것을 특징으로 하는 제조방법.
  8. 제4항에 있어서,
    상기 화학식 2의 실란알콕사이드(Si(OR3)4)가 테트라에틸실리케이트(tetraethylorthosilicate), 테트라메틸실리케이트(tetramethylorthosilicate), 테트라아이소프로폭시실리케이트(tetraisopropoxysilicate) 및 테트라부톡시실리케이트(tetrabutoxysilicate)로 구성된 군으로부터 선택되는 것을 특징으로 하는 제조방법.
  9. 제4항에 있어서,
    상기 화학식 1의 알콕시실란과 화학식 2의 실란알콕사이드가 혼합물의 형태로 사용되는 경우, 알콕시실란과 실란알콕사이드가 1:5 내지 10:1의 몰비로 혼합되는 것을 특징으로 하는 제조방법.
  10. 제1항에 있어서,
    단계 a)에서 유/무기 하이브리드층이 투명 플라스틱 필름의 표면에 코팅된 유/무기 하이브리드 용액을 열경화 또는 광경화시켜 형성되는 것을 특징으로 하는 제조방법.
  11. 제1항에 있어서,
    단계 a)에서 유/무기 하이브리드층이 0.5 내지 5 ㎛ 두께로 형성되는 것을 특징으로 하는 제조방법.
  12. 제1항에 있어서,
    단계 b)에서 반응성 기체가 산소(O2), 아산화질소(N2O), 질소(N2), 암모니아(NH3), 수소(H2), H2O, 이들의 혼합물 및 이들과 불활성 기체의 혼합물로 구성된 군으로부터 선택되는 것을 특징으로 하는 제조방법.
  13. 제1항에 있어서,
    단계 b)에서 플라즈마 처리에 의해 유/무기 하이브리드층의 표면에서 탄화수소가 제거되어 무기층이 형성되는 것을 특징으로 하는 제조방법.
  14. 제1항에 있어서,
    단계 b)에서 무기층이 10 내지 500 ㎚ 두께로 형성되는 것을 특징으로 하는 제조방법.
  15. 제1항에 있어서,
    단계 b)에서 형성된 무기층이 유/무기 하이브리드층과의 계면에서 경사 조성형 계면구조를 가져 이들 사이의 층간 경계가 명확하지 않으면서 무기물에서 유/무기물로의 점진적인 조성 변화를 갖는 것을 특징으로 하는 제조방법.
  16. 제1항에 있어서,
    상기 단계 a) 및 b)를 투명 플라스틱 필름의 한 면에 1회 실시하거나, 투명 플라스틱 필름의 한 면에 반복적으로 실시하거나, 투명 플라스틱 필름의 양면에 각각 1회 실시하거나, 투명 플라스틱 필름의 양면에 각각 반복적으로 실시하는 것을 특징으로 하는 제조방법.
  17. 제16항에 있어서,
    상기 단계 a) 및 b)를 투명 플라스틱 필름의 양면에 실시하는 경우, 한 면에 단계 a) 및 b)를 실시한 후에 다른 면에 단계 a) 및 b)를 실시하거나, 앙면에 단계 a)를 먼저 실시한 후에 이어서 단계 b)를 실시하는 것을 특징으로 하는 제조방법.
  18. 제1항의 방법에 따라 제조된, 투명 플라스틱 필름, 유/무기 하이브리드층 및 무기층으로 구성되고, 상기 유/무기 하이브리드층과 무기층 사이의 경계면이 무기물 조성에서 유/무기물 조성으로의 점진적인 조성 변화를 나타내는 경사 조성형 계면구조를 갖는 투명 기체 차단 필름.
  19. 제18항에 있어서,
    상기 무기층이 유/무기 하이브리드층의 표면을 플라즈마로 처리하여 상기 표면으로부터 탄화수소를 제거하여 형성된 것임을 특징으로 하는 투명 기체 차단 필름.
  20. 제18항에 있어서,
    상기 유/무기 하이브리드층과 무기층 사이의 경계면이 경사 조성형 계면구조를 가져 층간 구분이 명확하지 않은 것을 특징으로 하는 투명 기체 차단 필름.
KR1020080136382A 2008-01-07 2008-12-30 플라즈마 표면 처리를 이용한 투명 기체 차단 필름의 제조방법 및 이로부터 제조된 투명 기체 차단 필름 KR101013413B1 (ko)

Priority Applications (2)

Application Number Priority Date Filing Date Title
US12/811,762 US20100285319A1 (en) 2008-01-07 2009-01-07 Method for fabrication of transparent gas barrier film using plasma surface treatment and transparent gas barrier film fabricated thereby
PCT/KR2009/000062 WO2009088214A2 (en) 2008-01-07 2009-01-07 Method for fabrication of transparent gas barrier film using plasma surface treatment and transparent gas barrier film fabricated thereby

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR1020080001674 2008-01-07
KR20080001674 2008-01-07

Publications (2)

Publication Number Publication Date
KR20090076787A KR20090076787A (ko) 2009-07-13
KR101013413B1 true KR101013413B1 (ko) 2011-02-14

Family

ID=41333843

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020080136382A KR101013413B1 (ko) 2008-01-07 2008-12-30 플라즈마 표면 처리를 이용한 투명 기체 차단 필름의 제조방법 및 이로부터 제조된 투명 기체 차단 필름

Country Status (2)

Country Link
US (1) US20100285319A1 (ko)
KR (1) KR101013413B1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101472917B1 (ko) 2013-07-11 2014-12-19 한국과학기술연구원 수분차단막 및 그 제조방법

Families Citing this family (342)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9397318B2 (en) 2012-09-04 2016-07-19 Applied Materials, Inc. Method for hybrid encapsulation of an organic light emitting diode
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20140147684A1 (en) * 2012-11-26 2014-05-29 Korea Institute Of Science And Technology Gas barrier film and method of preparing the same
DE102012111710B4 (de) 2012-12-03 2014-12-11 Ernst-Moritz-Arndt-Universität Greifswald Verfa zur Plasmabehandlung einer kolloidalen Lösung und Anwendung des Verfahrens
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
CN103191856B (zh) * 2013-04-27 2014-06-25 福州大学 一种提高有机材料表面耐候性的方法
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US9464352B2 (en) * 2014-05-02 2016-10-11 Asm Ip Holding B.V. Low-oxidation plasma-assisted process
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
DE102017212974A1 (de) * 2017-07-27 2019-01-31 Gerresheimer Bünde Gmbh Verfahren zur Beschichtung und Werkstück
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US11745477B2 (en) 2018-10-11 2023-09-05 Sabic Global Technologies B.V. Polyolefin based multilayer film with a hybrid barrier layer
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6638645B2 (en) * 2001-03-05 2003-10-28 Hitachi, Ltd. Film for organic EL device and an organic EL device using the film
US20040116555A1 (en) * 2002-09-19 2004-06-17 Fuji Photo Film Co., Ltd. Polymer composition containing organic modified layered silicate, film and gas barrier film as well as substrate and image display device using them

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE19814805A1 (de) * 1998-04-02 1999-10-07 Bosch Gmbh Robert Beschichtungsverfahren eines Wischergummis
JP4852822B2 (ja) * 2004-02-17 2012-01-11 大日本印刷株式会社 バリア性フィルムおよびそれを使用した積層材
JP4310786B2 (ja) * 2004-05-31 2009-08-12 恵和株式会社 高バリア性シート
DE102004032013B4 (de) * 2004-07-02 2007-05-16 Rehau Ag & Co Multilagenschichtaufbau für Polymere, Verfahren zu dessen Herstellung und die Verwendung von Kunststoffformteilen mit dem Multilagenschichtaufbau
US8652625B2 (en) * 2004-09-21 2014-02-18 Konica Minolta Holdings, Inc. Transparent gas barrier film
US7931969B2 (en) * 2006-01-13 2011-04-26 Northern Illinois University Molecular fan
DE102006044310A1 (de) * 2006-09-18 2008-03-27 Nano-X Gmbh Silanbeschichtungsmaterial und Verfahren zur Herstellung eines Silanbeschichtungsmaterials
EP2361942A1 (en) * 2010-02-25 2011-08-31 Corning Incorporated Non-shrinkable sol-gel-polymer hybrid and methods thereof

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6638645B2 (en) * 2001-03-05 2003-10-28 Hitachi, Ltd. Film for organic EL device and an organic EL device using the film
US20040116555A1 (en) * 2002-09-19 2004-06-17 Fuji Photo Film Co., Ltd. Polymer composition containing organic modified layered silicate, film and gas barrier film as well as substrate and image display device using them

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101472917B1 (ko) 2013-07-11 2014-12-19 한국과학기술연구원 수분차단막 및 그 제조방법

Also Published As

Publication number Publication date
KR20090076787A (ko) 2009-07-13
US20100285319A1 (en) 2010-11-11

Similar Documents

Publication Publication Date Title
KR101013413B1 (ko) 플라즈마 표면 처리를 이용한 투명 기체 차단 필름의 제조방법 및 이로부터 제조된 투명 기체 차단 필름
JP5835324B2 (ja) 水蒸気バリアフィルム、及びその製造方法、並びにこれを用いた電子機器
WO2013011872A1 (ja) ガスバリア性フィルム及びその製造方法
JP5999260B2 (ja) ガス遮断フィルム及びその製造方法
TWI510365B (zh) 多層塑膠基板及製造其之方法
WO2013035432A1 (ja) 変性ポリシラザンフィルム、および、ガスバリアフィルムの製造方法
KR20110030381A (ko) 가스 배리어 코팅 및 가스 배리어 필름
JP2018089976A (ja) ガスバリア性フィルム、その製造方法、およびこれを用いた電子デバイス
WO2014119750A1 (ja) ガスバリア性フィルム
JP6252493B2 (ja) ガスバリア性フィルム
KR101335266B1 (ko) 디스플레이용 광학 투명 복합 필름 및 이의 제조방법
WO2015020011A1 (ja) ガスバリア性フィルム
JP2009133000A (ja) シリコン窒化物膜及びそれを用いたガスバリア膜、薄膜素子
US20140147684A1 (en) Gas barrier film and method of preparing the same
KR101557187B1 (ko) 가스 차단성 필름 및 그 제조방법
JP4969495B2 (ja) ガスバリアフィルムの製造方法及びガスバリアフィルム
JP2004082598A (ja) ガスバリア性積層材及びその製造方法
JP2004291453A (ja) シリカ系膜で被覆されたステンレス箔
KR100884183B1 (ko) 표면경도 및 가스 배리어성이 우수한 다층 플라스틱 기판및 그 제조방법
KR101489959B1 (ko) 가스 배리어 필름, 그 제조방법 및 이를 포함하는 디스플레이 부재
KR100887869B1 (ko) 내화학성이 향상된 다층 플라스틱 기판 및 그 제조 방법
WO2009088214A2 (en) Method for fabrication of transparent gas barrier film using plasma surface treatment and transparent gas barrier film fabricated thereby
KR101494883B1 (ko) 기체차단막 및 그 제조방법
KR101202545B1 (ko) 플라스틱 기판 및 이의 제조방법
JP5422607B2 (ja) ガスバリアフィルムの製造方法

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20140103

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20150202

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20161018

Year of fee payment: 6

R401 Registration of restoration
FPAY Annual fee payment

Payment date: 20170725

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20171227

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20190107

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20200102

Year of fee payment: 10