CN103578906B - 用于处理基板的装置 - Google Patents

用于处理基板的装置 Download PDF

Info

Publication number
CN103578906B
CN103578906B CN201310322596.2A CN201310322596A CN103578906B CN 103578906 B CN103578906 B CN 103578906B CN 201310322596 A CN201310322596 A CN 201310322596A CN 103578906 B CN103578906 B CN 103578906B
Authority
CN
China
Prior art keywords
region
conducting element
housing
substrate
substrate board
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201310322596.2A
Other languages
English (en)
Other versions
CN103578906A (zh
Inventor
金炯俊
李承杓
禹亨济
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Semes Co Ltd
Original Assignee
Semes Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from KR1020120154514A external-priority patent/KR101408790B1/ko
Application filed by Semes Co Ltd filed Critical Semes Co Ltd
Publication of CN103578906A publication Critical patent/CN103578906A/zh
Application granted granted Critical
Publication of CN103578906B publication Critical patent/CN103578906B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32633Baffles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • H01J37/32834Exhausting
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps

Abstract

本发明提供了一种利用等离子的基板处理装置。基板处理装置包括:壳体,其具有在其中进行基板处理的内部空间;支撑件,其布置在壳体内以支撑基板;气体供给单元,其将气体供给到壳体中;等离子源,其从供给到壳体中的气体产生等离子;以及导流件单元,其布置为围绕在壳体内的支撑件,导流件单元包括导流件,其中限定用于将气体排放到壳体的内部空间中的通孔。当从上面观察时导流件被分成多个区域,并且所述多个区域的一部分由金属材料形成,并且所述多个区域的其它部分由非金属材料形成。

Description

用于处理基板的装置
技术领域
这里公开的本发明涉及一种用于处理基板的装置,并且更具体地说,涉及一种通过利用等离子处理基板的装置。
背景技术
为了制造半导体器件,可以在基板上执行诸如光刻处理、蚀刻处理、灰化处理、离子注入处理、薄膜沉积处理以及清洗处理的多种处理以在基板上形成期望的图案。在这些处理中,可以执行蚀刻处理以移除形成在基板上的层中的选定的区域。蚀刻处理可以包括湿蚀刻处理与干蚀刻处理。
这里,利用等离子的蚀刻器件可以用于执行干蚀刻处理。一般地说,可以在室内形成磁场以形成等离子。磁场可以将提供到室中的处理气体激发成等离子状态。
等离子表示包含离子、电子与自由基的离子化的气体。可以通过超高温、强电场或电磁场(EF)产生等离子。包含在等离子中的离子颗粒与基板碰撞以执行蚀刻处理。
等离子不仅存在于室内的基板的直接上面中,而且沿着多个方向散布。特别地,如果大量等离子散布到室内的边缘区域中,那么可能使基板处理过程的效率变差。
发明内容
本发明提供了一种利用等离子的基板处理装置,所述基板处理装置在基板处理过程中能够将室内的等离子集中到其中布置有基板的中心区域中。
本发明还提供了一种基板处理装置,所述基板处理装置在将流体排放到室中时能够通过导流件防止发生电弧放电。
本发明的特征不限于上述,而且本领域中的技术人员将会从下面的描述中清楚地理解这里未描述的其它特征。
本发明的实施方式提供了基板处理装置。
基板处理装置包括:壳体,其具有在其中进行基板处理的内部空间;支撑件,其布置在壳体内以支撑基板;气体供给单元,其将气体供给到壳体中;等离子源,其从供给到壳体中的气体产生等离子;以及导流件单元,其布置为围绕在壳体内的支撑件,导流件单元包括导流件,其中限定了用于将气体排放到壳体的内部空间中的通孔,其中当从上面观察时所述导流件被分成多个区域,并且多个区域中的一部分中的每个都由金属材料形成,并且多个区域中的其它部分中的每个都由非金属材料形成。
在一些实施方式中,通孔限定在每个金属材料区域与每个非金属材料区域之间的边界中。
在其它实施方式中,多个区域可以同心地布置,并且多个区域中的每个都可以具有环形形状。
在此外的其它实施方式中,金属材料区域可以布置在每个非金属材料区域的的两个侧面上。
在此外的其它实施方式中,金属材料区域与非金属材料区域可以交替地重复设置。
在此外的其它实施方式中,多个区域中的部分可以彼此具有不同的厚度。
在此外的其它实施方式中,多个区域中的每个都可以具有从其中心区域到边缘区域逐渐增加的厚度。
在此外的其它实施方式中,多个区域的顶面可以彼此结合以提供圆形的形状。
在此外的其它实施方式中,多个区域中的每个都可以具有从其中心区域到边缘区域逐渐增加的厚度。
在此外的其它实施方式中,非金属材料可以包括电介质物质。
在此外的其它实施方式中,导流件单元还可以包括导流件接地板,所述导流件接地板具有与导流件的底面接触的顶面,导流件接地板连接到壳体上以使导流件接地。
在此外的其它实施方式中,基板处理装置还可以包括布置在壳体顶面上的等离子感应件,等离子感应件具有朝向其边缘区域逐渐增加的厚度。
在此外的其它实施方式中,等离子感应件可以具有圆形形状的底面。
在此外的其它实施方式中,基板处理装置还可以包括布置在壳体顶面上的等离子感应件,等离子感应件具有朝向其边缘区域逐渐增加的厚度。
本发明的其它实施方式提供了导流件单元。
导流件单元包括:导流件,其中限定了用于将气体排放到处理基板的空间中的通孔;接地板,其与导流件接触以使导流件接地,其中当从上面观察时所述导流件被分成多个区域,并且多个区域的一部分设置为金属材料区域,并且多个区域中的其它部分设置为非金属材料区域,
在一些实施方式中,通孔限定在每个金属材料区域与每个非金属材料区域之间的边界中。
在其它实施方式中,金属材料区域与非金属材料区域可以同心地布置,并且多个区域中的每个都可以具有环形形状。
在此外的其它实施方式中,金属材料区域与非金属材料区域可以交替地重复设置。
在此外的其它实施方式中,多个区域中的部分可以彼此具有不同的厚度。
在此外的其它实施方式中,多个区域中的每个都可以具有从其中心区域到边缘区域逐渐增加的厚度。
附图说明
附图提供了对本发明的进一步理解,并且并入并且构成本说明书的一部分。附图示出了本发明的示例性实施方式,其连同附图说明一起,用于解释本发明的原理。在附图中:
图1是根据本发明的实施方式的基板处理装置的横截面视图;
图2是图1的导流件单元的立体图;
图3是图2的导流件的分解立体图;
图4是图2的导流件单元的平面图;
图5是沿着图4的线X-X’剖切的横截面视图;
图6是根据图2的导流件单元的修改实例的剖开表面的横截面视图;
图7是根据另一个实施方式的图1的导流件单元的立体图;
图8是沿着图7的线Y-Y’剖切的横截面视图;以及
图9是根据本发明的另一个实施方式的基板处理装置的横截面视图。
具体实施方式
然而,本发明可以以不同的形式体现并且不应理解为局限于这里阐述的实施方式。相反,这些实施方式设置为使得本公开将更全面与完整,并且将本发明的范围充分地传达到本领域中的技术人员。在附图中,为了清楚起见,放大了层和区域的厚度。
图1是根据本发明的实施方式的基板处理装置的横截面视图。
参照图1,基板处理装置10通过利用等离子处理基板W。例如,基板处理装置10可以在基板W上执行蚀刻处理。基板处理装置10包括室100、支撑件200、气体供给单元300、等离子源400、以及导流件单元500。
室100提供在其中执行基板处理过程的空间。室100包括壳体110、密封盖120、以及内衬130。
壳体110具有包含开口上表面的内部空间。壳体110的内部空间设置为在其中执行基板处理过程的空间。壳体110由金属材料形成。壳体110可以由铝材料形成。壳体110可以接地。在壳体110的底面中限定排放孔102。排放孔102连接到排放管线151。在处理过程中产生的反应副产物以及停留在壳体110中的气体可以通过排放管线151排放到外部。此外,壳体110的内部空间通过排放过程减压到预定压力。
密封盖120覆盖壳体110的开口上表面。密封盖120可以设置成板状以密封壳体110的内部空间。密封盖120可以包括电介质物质窗。
内衬130布置在壳体110内。内衬130具有包含开口的顶面与底面的内部空间。内衬130可以具有圆柱形形状。内衬130可以具有与壳体110的内表面的半径相应的半径。内衬130可以沿着壳体110的内表面布置。支撑环131布置在内衬130的上端上。支撑环131可以设置为具有环形形状的板。支撑环131沿着内衬130的圆周从内衬130向外突出。支撑环131布置在上壳体110的端部上以支撑内衬130。内衬130与壳体110可以由相同的材料形成。内衬130可以由铝材料形成。内衬130保护壳体110的内表面。当处理气体被激发时,在室100内可能发生电弧放电。电弧放电可能损坏周边器件。内衬130可以保护壳体110的内表面以防止壳体110的内表面被电弧放电损坏。此外,内衬130可以防止在基板处理过程中产生的杂质沉积在壳体110的内部侧壁上。当与壳体110相比时,内衬130制造成本便宜并且容易替换。当内衬130被电弧放电损坏时,工作人员可以以新的内衬130替换受损的内衬130。
支撑件200布置在壳体110内。支撑件200支撑基板W。支撑件200可以包括用于利用静电力吸引基板W的静电卡盘210。另选地,支撑件200可以通过诸如机械夹紧的多种方法支撑基板W。在下文中,将要描述包括静电卡盘210的支撑件200。
支撑件200包括静电卡盘210、绝缘板250、以及下盖270。支撑件200在室100内与壳体110的底面向上地隔开。
静电卡盘210包括电介质板220、电极223、加热器225、支撑板230、以及聚焦环240。
电介质板220布置在静电卡盘210的上端上。电介质板220具有圆形形状并且由电介质物质形成。基板W布置在电介质板220的顶面上。电介质板220的顶面具有比基板W的半径小的半径。因此,基板W可以具有布置在电介质板220外部的边缘区域。第一供给通道221限定在电介质板220中。从电介质板210的顶面一直到底面形成第一供给通道221。可以设有多个第一供给通道221。此外,多个第一供给通道221彼此隔开。第一供给通道221用作将热传送介质供给到基板W的底面的通道。
下电极223与加热器225嵌入在电介质板220中。下电极223布置在加热器225上方。下电极223与第一下电源223a电性地相连接。第一下电源223a可以包括直流电源。开关223b布置在下电极223与第一下电源223a之间。下电极223可以通过开关223b的打开/关闭操作电连接到第一下电源223a。当开关223b打开时,直流电流施加到下电极223中。静电力可以通过施加在下电极223中的电流在下电极223与基板W之间起作用。由此,基板W可以被静电力吸引到电介质板220。
加热器225与第二下电源225a电性地相连接。加热器225可以阻抗从第二下电源225a施加的电流以产生热量。产生的热量可以通过电介质板220传送到基板W中。可以通过在加热器225中产生的热量将基板W保持在预定的温度。加热器225包括螺旋线圈。
支撑板230布置在电介质板220的下方。电介质板220的底面与支撑板230的顶面可以利用粘结剂236彼此粘附。支撑板230可以由铝材料形成。支撑板230可以具有阶梯式部分,以使其顶面的中心区域布置在比其边缘区域的高度高的高度处。支撑板230的顶面的中心区域具有与电介质板220的底面的中心区域相应的表面区域并且粘附到电介质板220的底面上。在支撑板230中限定了第一循环通道231、第二循环通道232、以及第二供给通道233。
第一循环通道231提供了热传送介质循环通过的通道。第一循环通道231可以以螺旋形状限定在支撑板230内。另选地,第一循环通道231可以设置为使得彼此具有具有不同半径的环状通道同心地布置。在此情形中,第一循环通道231可以相互连通。第一循环通道231可以限定在相同的高度处。
第二循环通道232提供了冷却流体循环通过的通道。第二循环通道232可以以螺旋形状限定在支撑板230内。另选地,第二循环通道232可以设置为使得彼此彼此具有不同的半径的环状通道同心地布置。在此情形中,第二循环通道232可以相互连通。第二循环通道232的横截面积可以大于第一循环通道231的横截面积。第一循环通道232可以限定在相同的高度处。第二循环通道232可以限定在第一循环通道231的下方。
第二供给通道233从第一循环通道231向上延伸直到支撑板230的顶面。第二供给通道243的数量可以设置为与第一供给通道221的数量相应。第二供给通道243将第一循环通道231连接到第一供给通道221。
第一循环通道231通过热传送介质供给管线231b连接到热传送介质存储单元231a。热传送介质存储在热传送介质存储单元231a中。热传送介质包括惰性气体。根据实施方式,热传送介质可以包括氦(He)气。氦气通过热传送介质供给管线231b供给到第一循环通道231中。然后,氦气相继地通过第二供给通道233与第一供给通道221,然后供给到基板W的底面。氦气可以用作用于将从等离子传送的热量朝向静电卡盘210传送到基板W的介质。
第二循环通道232通过冷却流体供给管线232c连接到冷却流体存储单元232a。冷却流体存储在冷却流体存储单元232a中。冷却器232b可以布置在冷却流体存储单元232a内。冷却器232b将冷却流体冷却到预定温度。另选地,冷却器232b可以布置在冷却流体供给管线232c上。通过冷却流体供给管线232c供给到第二循环通道232中的冷却单元沿着第二循环通道232循环以冷却支撑板230。当支撑板230被冷却时,电介质板220和基板W可以被一起冷却以将基板W保持在预定的温度。
聚焦环240布置在静电卡盘210的边缘区域上。聚焦环240具有环形形状,并且沿着电介质板220的圆周布置。聚焦环240可以具有阶梯式部分,以使得其顶面的外部240a的高度布置为大于顶面的内部240b的高度。聚焦环240的顶面的内部240b的高度布置为与电介质板220的高度相同。
聚焦环240的顶面的内部240b支撑布置在电介质板220的外侧的基板W的边缘区域。聚焦环240的外部240a围绕基板W的边缘区域。聚焦环240可以在室100内使等离子集中在面向基板W的区域中。
绝缘板250布置在支撑板230的下方。绝缘板250的横截面积与支撑板230的横截面积相应。绝缘板250布置在支撑板230与下盖270之间。绝缘板250由绝缘材料形成以使支撑板230与下盖270电绝缘。
下盖270布置在支撑件200的下端上。下盖270与壳体110的底面向上地隔开。下盖270具有包含开口顶面的内部空间。下盖270的顶面由绝缘板250覆盖。因此,在下盖270的截面区域中的外半径可以具有与绝缘板250的外半径相同的长度。用于将运送的基板W从外部运送件移动到静电卡盘210的提升销组件(未示出)可以布置在下盖270的内部空间中。
下盖270包括连接件273。连接件273将下盖270的外表面连接到壳体110的内部侧壁。可以设置多个连接件273。多个连接件273可以以预定距离布置在下盖270的外表面上。连接件273在室100内部支撑支撑件200。此外,连接件273可以连接到壳体110的内部侧壁上以允许下盖电性地接地。连接到第一下电源223a的第一电源线223c、连接到第二下电源225a的第二电源线225c、连接到热传送介质存储单元231a的热传送供给管线231b、以及连接到冷却流体存储单元232a的冷却供给管线232c可以通过连接件273的内部空间延伸到下盖270中。
气体供给单元300将处理气体供给到室100中。气体供给单元300包括气体供给喷嘴310、气体供给管线320、以及气体存储单元330。气体供给喷嘴310布置在密封盖120的中心部分。注入孔限定在气体供给喷嘴310的底面中。注入孔限定在密封盖120的下部中以将处理气体供给到室100中。气体供给管线320将气体供给喷嘴310连接到气体存储单元330。气体供给管线320将存储在气体存储单元330中的处理气体供给到气体供给喷嘴310中。阀321布置在气体供给管线320中。阀321开口或关闭气体供给管线320以调节通过气体供给管线320供给的处理气体的流量。
等离子源400将室100内的处理气体激发成等离子状态。电感耦合等离子体(ICP)可以用作等离子源400。等离子源400包括天线室410、天线420、以及等离子电源430。天线室410具有包含开口下侧的圆柱形形状。天线室410具有内部空间。天线室410可以具有与室100的直径相应的直径。天线室410可以具有可拆卸地布置在密封盖120上的下端。天线420布置在天线室410的内部。天线420可以设置为缠绕多次的螺旋线圈。天线420连接到等离子电源430。天线420接收来自等离子电源430的功率。等离子电源430可以布置在室100的外部。功率所施加到的天线420可以在室100的处理空间中产生电磁场。处理气体由电磁场激发到等离子状态。
图2是图1的导流件单元的立体图;图3是图2的导流件的分解立体图;图4是图2的导流件单元的平面图;图5是沿着图4的线X-X’剖切的横截面视图;
参照图2-图5,导流件单元5000包括导流件5010和导流件接地板5030。导流件单元5000控制供给到壳体110中的处理气体的流量。
导流件单元5000布置在壳体110的内部侧壁与支撑件400之间。导流件5010可以具有圆环形状。在导流件5010中限定多个通孔5011。供给到壳体110中的处理气体经由导流件5010的通孔5011通过排放孔102排放。可以根据导流件5010的形状以及每个通孔5011的形状控制处理气体的流量。
导流件接地板5030与导流件5010接触。根据实施方式,导流件接地板5030可以具有与导流件5010的底面接触的顶面。导流件接地板5030可以具有与壳体110接触的边缘区域。导流件5010通过导流件接地板5030电性地连接到壳体110。
当从上面观察时导流件5010具有多个区域5011a到5011f。根据实施方式,区域5011a到5011f中的每个都具有环形形状。此外,区域5011a到5011f同心地布置。这里,多个区域5011a到5011f中的部分5011a、5011c、与5011e中的每个都由金属材料形成,并且其它部分5011b、5011d、与5011f中的每个都由非金属材料形成。铝作可以作为金属材料提供。电介质物质可以作为非金属材料提供。氧化铝可以用作电介质物质。可以交替地重复设置金属材料区域5011a、5011c和5011e以及非金属材料区域5011b、5011d和5011f。例如,金属材料区域5011a与5011c可以布置在非金属材料区域5011b的两侧上,并且非金属材料区域5011b和5011d可以布置在金属材料区域5011c的两侧上。
可以在导流件5010中限定多个通孔5015。每个通孔5015都可以竖直地穿过导流件5010的顶面与底面。根据实施方式,通孔5015限定在每个金属材料区域5011a、5011c和5011e与每个非金属材料区域5011b、5011d和5011f之间的边界中。可以沿着每个金属材料区域5011a、5011c和5011e与每个非金属材料区域5011b、5011d和5011f之间的边界以预定的距离限定通孔5015。
导流件5010的多个区域5011a到5011f的部分可以彼此具有不同的厚度。根据实施方式,多个区域5011a到5011f中的每个都可以具有从其中心部分向外厚度逐渐增加的形状。例如,尽管导流件5010具有沿着水平方向布置的底面,但是由于导流件5010的顶面的高度向外逐渐地增加,因此导流件5010具有逐渐增加的厚度。这里,导流件5010的顶面可以彼此结合并且设置成圆形的形状。另选地,导流件5010的顶面可以结合成一组以使导流件5010具有从其内部向外地向上倾斜的顶面。另选地,导流件5010可以具有在高度上向外逐渐增加或减小的底面。
图6是示出根据图2的导流件单元的修改实例的剖开表面的横截面视图。
参照图6,导流件单元5100包括导流件5110与导流件接地板5130。导流件单元5100可以具有与图2的导流件单元5000相同的形状。另一方面,导流件5110中的区域5111a到5111f中的每个的材料都与图2的导流件5010的区域5011a到5011f中的每个的材料不同。在下文中,将主要地描述与图2的导流件单元5000中的特征不同的特征。
导流件5110的多个区域5111a到5111f的部分可以彼此具有不同的厚度。根据实施方式,导流件5110可以由金属材料形成,并且导流件5110的多个区域5111a到5111f的部分5111b、5111d和5111f可以涂覆以非金属材料。在此情形中,涂覆区域5111b、5111d和5111f以及非涂覆区域5111b、5111d和5111f可以交替地重复设置。另选地,导流件5110可以由非金属材料形成,并且导流件5110的多个区域5111a到5111f的部分5111a、5111c和5111f可以涂覆以金属材料。
图7是根据另一个实施方式的图1的导流件单元的立体图,并且图8是沿着图7的线Y-Y’剖切的横截面视图。
参照图7和图8,导流件单元5200包括导流件5210和导流件接地板5230。在导流件单元5200中,导流件5210中的区域5211a到5211f中的每个的厚度都与图2的导流件5010的区域5011a到5011f中的每个的厚度不同。由于除了上述厚度以外,导流件单元5200与图2的导流件单元5000相同,因此将主要描述与图2的导流件单元的特征不同的特征。
当从上面观察时导流件5210具有多个区域5211a到5211f。根据实施方式,区域5211a到5211f中的每个都具有环形形状。此外,区域5211a到5211f同心地布置。这里,多个区域5211a到5211f中的部分5211a、5211c与5211e中的每个都由金属材料形成,以及其它部分5211b、5211d与5211f中的每个都由非金属材料形成。铝作可以作为金属材料提供。电介质物质可以作为非金属材料提供。氧化铝可以用作电介质物质。可以交替地重复设置金属材料区域5211a、5211c和5211e以及非金属材料区域5211b、5211d和5211f。
可以在导流件5215中限定多个通孔5210。每个通孔5215都可以竖直地穿过导流件5210的顶面与底面。根据实施方式,通孔5215限定在每个金属材料区域5211a、5211c和5211e与每个非金属材料区域5211b、5211d和5211f之间的边界中。例如,金属材料区域5211a、5211c和5211e中的每个都可以布置在通孔5215的一侧上,并且非金属材料区域5211b、5211d和5211f中的每个都可以布置在通孔5215的另一侧上。可以沿着每个金属材料区域5211a、5211c和5211e的与每个非金属材料区域5211b、5211d和5211f的之间的边界以预定的距离限定通孔5215。
导流件5210的多个区域5211a到5211f可以具有相同的厚度。图2的导流件5010与根据当前实施方式的导流件5210的区别在于导流件5010的多个区域5011a到5011f彼此具有不同的厚度。
尽管在上述实施方式以及修改的实例中在导流件上设置有六个区域,但是本发明不限于此。例如,可以在导流件上设置六个以上的区域或者六个以下的区域。
在下文中,将描述利用图1的基板处理装置10处理基板的过程。
当将基板W布置在支撑件200上时,直流电流从第一下电源223a施加到下电极223中。静电力可以通过施加在下电极223中的直流电流在下电极223与基板W之间起作用。由此,基板W可以由静电力吸引到静电卡盘210上。
当将基板W吸引到静电卡盘210上时,处理气体便通过气体供给喷嘴310供给到壳体110中。此外,在等离子电源430中产生的高频功率通过电线420供给到壳体110中。施加的高频功率激发停留在壳体110中的处理气体。将激发的处理气体提供至基板W上以处理基板W。可以通过利用激发的处理气体执行蚀刻处理。
当将供给到壳体110中的处理气体提供到支撑件200的上方时,可以提高基板处理过程的效率。然而,由于通过邻近支撑件200的边缘区域布置的导流件排放处理气体,因此处理气体的密度在壳体110内的边缘区域中可能比在中心区域中相对要低。
根据当前实施方式,导流件5010具有从其中心区域朝向边缘区域逐渐增加的厚度。因此,壳体110内的处理气体不易于朝向壳体110的边缘区域排放并且因此朝向壳体110的中心区域移动。因此,处理气体可以在支撑件200上方从边缘区域到中心区域以均匀的密度分布。当处理气体均匀地分布在支撑件200上方时,便可以在基板W的整个区域的上方均匀地执行等离子处理。因此,可以提高基板W的处理的可靠性。
此外,在整个导流件5010都由金属材料形成的情形中,当通过导流件5010的通孔5015排放处理气体时,在通孔5015中可能发生电弧放电。由于等离子处理在壳体110内形成高温高压大气,并且通孔5015由金属材料形成的导流件5010围绕,因此可能发生电弧放电。
然而,根据本发明的实施方式,导流件5010被分成多个区域5011a到5011f。此外,区域5011a到5011f的部分5011a、5011c和5011e由金属材料形成,并且区域5011a到5011f的其它部分5011b、5011d和5011f由作为非金属材料的电介质物质形成。此外,通孔5015限定在每个金属材料区域5011a、5011c和5011e与每个非金属材料区域5011b、5011d和5011f之间的边界中。因此,即使通孔5015之间的物理距离被恒定地保持,也可以扩宽通孔5015之间的电距离。由于通孔具有相同的直径,并且物理距离被恒定地保持,因此可以排放相同数量的处理气体,并且此外可以控制处理气体的流量。然而,由于金属材料区域之间的距离彼此远离,因此可以扩宽通孔5015之间的电距离。因此,可以减小当处理气体通过通孔5015时发生的电弧放电。因此,可以提高基板处理过程的效率与可靠性。
图9是根据本发明的另一个实施方式的基板处理装置的横截面视图。
参照图9,基板处理装置20包括室1000、支撑件200、气体供给单元300、等离子源400、以及导流件单元500。根据当前实施方式的基板处理装置20与图1的基板处理装置10在室100的构造与功能上不同。除了室1000以外,支撑件200、气体供给单元300、等离子源400、以及导流件单元500与图1的基板处理装置10的所述部分具有相同的构造与功能。因此,下面将主要地描述室1000。此外,除了室1000以外的其它部件都与图1的基板处理装置10的部件相同,因此将省略对它们的描述。
室1000提供了在其中执行基板处理过程的空间。室1000包括壳体1010、密封盖1020、内衬1030、以及等离子感应件1070。
壳体1010具有包含开口上表面的内部空间。壳体1010的内部空间设置为在其中执行基板处理过程的空间。壳体1010由金属材料形成。壳体1010可以由铝材料形成。在壳体1010的底面中限定排放孔1002。排放孔1002连接到排放管线1051。在处理过程中产生的反应副产物以及停留在壳体1010中的气体可以通过排放管线1051排放到外部。此外,壳体1010的内部空间通过排放过程减压到预定压力。
密封盖1020覆盖壳体1010的开口上表面。密封盖1020可以设置成板状以密封壳体1010的内部空间。密封盖1020与壳体1010可以由彼此不同的材料形成。根据实施方式,密封盖1020可以包括电介质物质窗。
内衬1030布置在壳体1010内。内衬1030具有包含开口顶面与开口底面的内部空间。内衬1030可以具有圆柱形形状。内衬1030可以具有与壳体1010的内表面的半径相应的半径。内衬1030可以沿着壳体1010的内表面布置。支撑环1031布置在内衬1030的上端上。支撑环1031可以设置为具有环形形状的板。支撑环1031沿着内衬1030的圆周从内衬1030向外突出。支撑环1031布置在上壳体1010的端部上以支撑内衬1030。内衬1030与壳体1010可以由相同的材料形成。内衬1030可以由铝材料形成。内衬1030保护壳体1010的内表面。当处理气体被激发时,在室1100内可能发生电弧放电。电弧放电可能损坏周边器件。内衬1030可以保护壳体1010的内表面以防止壳体1010的内表面由电弧放电损坏。当与壳体1010相比较时,内衬1030制造成本便宜并且容易替换。当内衬1030由电弧放电损坏时,可以以新的内衬1030替换受损的内衬1030。
等离子感应件1070布置在壳体1010的顶面上。根据实施方式,等离子感应件1070可以具有从其中心部分向外厚度逐渐增加的形状。例如,接触密封盖1020的等离子感应件1070的顶面可以设置为水平面。此外,等离子感应件1070可以具有与壳体1010的底面隔开的高度逐渐减小的底面。等离子感应件1070可以具有圆形形状的底面。
等离子感应件1070将壳体1010内的处理气体引入到支撑件200的上面中。在支撑件200的上面中的处理气体的密度可能增加。此外,可以在支撑件200的整个区域上方均匀地执行等离子处理。因此,可以提高通过等离子处理基板W的效率。等离子感应件1070的位置或厚度可以调节以调节壳体1010内的处理气体的密度。另选地,可以不设置等离子感应件1070。
尽管在上述实施方式中利用等离子执行蚀刻处理,但是根据本发明的基板处理过程不限于此。例如,根据本发明的基板处理过程可以应用到利用等离子的例如沉积处理、灰化处理、以及清洗处理的多种基板处理过程中。
根据本发明的实施方式,在利用等离子的基板处理过程中,室内的等离子可以集中于在其中布置有基板的中心区域中。
此外,根据本发明的实施方式,当流体通过导流件排放到室内时,可以防止发生电弧放电。
本发明的特征不限于上述,而且本领域中的技术人员将会从本说明书和附图中清楚地理解这里未描述的其它特征。
上面公开的主题将被认为是说明性的,而非限定性的,并且从属权利要求旨在覆盖落入本发明的真实精神与范围内的全部这些修改、增强、以及其它实施方式。因此,为了说明在本发明中公开的实施方式不限于本发明的技术构思,并且在不偏离本发明的范围或精神的情况下不受限于实施方式。在本发明的保护范围,在其等效物的范围内的全部技术构思,都由下面被认为包括在本发明的范围内的权利要求来解释。

Claims (16)

1.一种基板处理装置,其包括:
壳体,其具有在其中进行基板处理的内部空间;
支撑件,其布置在所述壳体内以支撑所述基板;
气体供给单元,其将气体供给到所述壳体中;
等离子源,其从供给到所述壳体中的所述气体产生等离子;以及
导流件单元,其布置为围绕在所述壳体内的所述支撑件,所述导流件单元包括导流件,其中限定用于将所述气体排放到所述壳体的内部空间中的通孔,
其中,当从上面观察时所述导流件被分成多个区域,
所述多个区域的一部分中的每个都由金属材料形成,并且所述多个区域的其它部分中的每个都由非金属材料形成,并且,
其中,所述多个区域的部分彼此具有不同的厚度,所述多个区域中的每个都具有从其中心区域到边缘区域逐渐增加的厚度。
2.根据权利要求1所述的基板处理装置,其中,所述通孔限定在每个所述金属材料区域与每个所述非金属材料区域之间的边界中。
3.根据权利要求2所述的基板处理装置,其中,所述多个区域同心地布置,并且所述多个区域中的每个都具有环形形状。
4.根据权利要求3所述的基板处理装置,其中,所述金属材料区域布置在每个所述非金属材料区域的两侧上。
5.根据权利要求3所述的基板处理装置,其中,所述金属材料区域与所述非金属材料区域交替地重复设置。
6.根据权利要求1所述的基板处理装置,其中,所述多个区域的顶面彼此结合以提供圆形的形状。
7.根据权利要求5所述的基板处理装置,其中,所述多个区域中的每个都具有从其中心区域到边缘区域逐渐增加的厚度。
8.根据权利要求1-7中任一项所述的基板处理装置,其中,所述非金属材料包括电介质物质。
9.根据权利要求8所述的基板处理装置,其中,所述导流件单元还包括导流件接地板,所述导流件接地板具有与所述导流件的底面接触的顶面,所述导流件接地板连接到所述壳体上以使所述导流件接地。
10.根据权利要求1所述的基板处理装置,还包括布置在所述壳体的顶面上的等离子感应件,所述等离子感应件具有朝向其边缘区域逐渐增加的厚度。
11.根据权利要求10所述的基板处理装置,其中,所述等离子感应件具有圆形形状的底面。
12.根据权利要求9所述的基板处理装置,其中,还包括布置在所述壳体的顶面上的等离子感应件,所述等离子感应件具有朝向其边缘区域逐渐增加的厚度。
13.一种导流件单元,其包括;
导流件,其中限定了用于将气体排放到处理基板的空间中的通孔;
接地板,其与所述导流件接触以使所述导流件接地,
其中,当从上面观察时所述导流件被分成多个区域,
所述多个区域中的部分设置为金属材料区域,并且所述多个区域中的其它部分设置为非金属材料区域,并且
其中,所述多个区域的部分彼此具有不同的厚度,所述多个区域中的每个都具有从其中心区域到边缘区域逐渐增加的厚度。
14.根据权利要求13所述的导流件单元,其中,所述通孔限定在每个所述金属材料区域与每个所述非金属材料区域之间的边界中。
15.根据权利要求14所述的导流件单元,其中,所述金属材料区域与所述非金属材料区域同心地布置,并且所述多个区域中的每个都具有环形形状。
16.根据权利要求15所述的导流件单元,其中,所述金属材料区域与所述非金属材料区域交替地重复设置。
CN201310322596.2A 2012-07-31 2013-07-29 用于处理基板的装置 Active CN103578906B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
KR20120084128 2012-07-31
KR10-2012-0084128 2012-07-31
KR10-2012-0154514 2012-12-27
KR1020120154514A KR101408790B1 (ko) 2012-07-31 2012-12-27 기판 처리 장치

Publications (2)

Publication Number Publication Date
CN103578906A CN103578906A (zh) 2014-02-12
CN103578906B true CN103578906B (zh) 2016-04-27

Family

ID=50024318

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201310322596.2A Active CN103578906B (zh) 2012-07-31 2013-07-29 用于处理基板的装置

Country Status (2)

Country Link
US (1) US10103018B2 (zh)
CN (1) CN103578906B (zh)

Families Citing this family (241)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
CN103377979B (zh) * 2012-04-30 2016-06-08 细美事有限公司 调节板和具有该调节板的用于处理基板的装置
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
CN105789015B (zh) * 2014-12-26 2018-06-29 中微半导体设备(上海)有限公司 一种实现均匀排气的等离子体处理设备
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
KR20170066080A (ko) * 2015-12-04 2017-06-14 삼성전자주식회사 배플 플레이트, 플라스마 챔버, 기판 처리 장치 및 기판 처리 방법
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
CN107301941B (zh) * 2016-04-14 2019-04-23 北京北方华创微电子装备有限公司 等离子体处理设备及其操作方法
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) * 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
KR20190092154A (ko) * 2018-01-30 2019-08-07 삼성전자주식회사 반도체 설비의 실링 장치 및 기류 산포 제어 장치
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR102357066B1 (ko) * 2019-10-31 2022-02-03 세메스 주식회사 기판 처리 장치
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
KR20220116519A (ko) * 2019-12-18 2022-08-23 램 리써치 코포레이션 비균일성 (non-uniformity) 을 관리하기 위한 웨이퍼 평면 아래의 비대칭 퍼지된 블록
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
JP2022076807A (ja) * 2020-11-10 2022-05-20 東京エレクトロン株式会社 基板処理装置
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
KR20220148996A (ko) * 2021-04-29 2022-11-08 삼성전자주식회사 플라즈마 한정 링, 이를 포함하는 반도체 제조 장비 및 이를 이용한 반도체 소자 제조 방법
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6051100A (en) * 1997-10-24 2000-04-18 International Business Machines Corporation High conductance plasma containment structure
CN1461494A (zh) * 2000-11-10 2003-12-10 东京毅力科创株式会社 等离子体处理装置及排气环
US6733620B1 (en) * 1998-03-06 2004-05-11 Tokyo Electron Limited Process apparatus
CN201514924U (zh) * 2009-04-30 2010-06-23 中微半导体设备(上海)有限公司 等离子体约束装置及利用该等离子体约束装置的等离子体处理装置
CN101853765A (zh) * 2009-03-31 2010-10-06 东京毅力科创株式会社 等离子体处理装置以及等离子体处理方法

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5891350A (en) * 1994-12-15 1999-04-06 Applied Materials, Inc. Adjusting DC bias voltage in plasma chambers
US6178919B1 (en) * 1998-12-28 2001-01-30 Lam Research Corporation Perforated plasma confinement ring in plasma reactors
KR100431660B1 (ko) * 2001-07-24 2004-05-17 삼성전자주식회사 반도체 장치의 제조를 위한 건식 식각 장치
US20040129218A1 (en) * 2001-12-07 2004-07-08 Toshiki Takahashi Exhaust ring mechanism and plasma processing apparatus using the same
JP4330315B2 (ja) * 2002-03-29 2009-09-16 東京エレクトロン株式会社 プラズマ処理装置
US7686918B2 (en) * 2002-06-21 2010-03-30 Tokyo Electron Limited Magnetron plasma processing apparatus
US7767055B2 (en) * 2004-12-03 2010-08-03 Tokyo Electron Limited Capacitive coupling plasma processing apparatus
US7552521B2 (en) 2004-12-08 2009-06-30 Tokyo Electron Limited Method and apparatus for improved baffle plate
US8366829B2 (en) * 2005-08-05 2013-02-05 Advanced Micro-Fabrication Equipment, Inc. Asia Multi-station decoupled reactive ion etch chamber
US8608851B2 (en) * 2005-10-14 2013-12-17 Advanced Micro-Fabrication Equipment, Inc. Asia Plasma confinement apparatus, and method for confining a plasma
US7988815B2 (en) * 2007-07-26 2011-08-02 Applied Materials, Inc. Plasma reactor with reduced electrical skew using electrical bypass elements
JP2009200184A (ja) 2008-02-20 2009-09-03 Tokyo Electron Ltd プラズマ処理装置及びプラズマ処理装置のバッフル板
JP5264231B2 (ja) * 2008-03-21 2013-08-14 東京エレクトロン株式会社 プラズマ処理装置
KR100992392B1 (ko) 2008-05-09 2010-11-05 주식회사 디엠에스 플라즈마 반응장치
JP2010186949A (ja) 2009-02-13 2010-08-26 Nuflare Technology Inc 半導体製造装置および半導体製造方法
JP5444044B2 (ja) * 2010-03-02 2014-03-19 東京エレクトロン株式会社 プラズマ処理装置及びシャワーヘッド

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6051100A (en) * 1997-10-24 2000-04-18 International Business Machines Corporation High conductance plasma containment structure
US6733620B1 (en) * 1998-03-06 2004-05-11 Tokyo Electron Limited Process apparatus
CN1461494A (zh) * 2000-11-10 2003-12-10 东京毅力科创株式会社 等离子体处理装置及排气环
CN101853765A (zh) * 2009-03-31 2010-10-06 东京毅力科创株式会社 等离子体处理装置以及等离子体处理方法
CN201514924U (zh) * 2009-04-30 2010-06-23 中微半导体设备(上海)有限公司 等离子体约束装置及利用该等离子体约束装置的等离子体处理装置

Also Published As

Publication number Publication date
US10103018B2 (en) 2018-10-16
US20140034240A1 (en) 2014-02-06
CN103578906A (zh) 2014-02-12

Similar Documents

Publication Publication Date Title
CN103578906B (zh) 用于处理基板的装置
US11450509B2 (en) Inductive plasma source with metallic shower head using b-field concentrator
CN107919263B (zh) 基板支撑单元、包括其的基板处理装置及其控制方法
US9623503B2 (en) Support unit and substrate treating device including the same
KR101980203B1 (ko) 지지 유닛 및 그를 포함하는 기판 처리 장치
CN109037018A (zh) 基板处理设备
CN103794540A (zh) 静电卡盘与基板处理装置
CN105655222B (zh) 支撑单元和包括其的基板处理装置
US10777387B2 (en) Apparatus for treating substrate
CN104681387B (zh) 基板支撑单元及包含该基板支撑单元的基板处理装置
KR101395229B1 (ko) 기판 처리 장치
CN108695132B (zh) 等离子体生成单元以及包括其的基板处理装置
KR101408787B1 (ko) 기판 처리 장치
KR101430745B1 (ko) 정전 척 및 기판 처리 장치
TWI810697B (zh) 基板處理設備
KR101408790B1 (ko) 기판 처리 장치
KR101605722B1 (ko) 피더 및 기판 처리 장치
KR101966793B1 (ko) 기판 지지 유닛 및 그를 포함하는 기판 처리 장치
KR102344523B1 (ko) 지지 유닛 및 이를 포함하는 기판 처리 장치
KR101955584B1 (ko) 기판 처리 장치
KR20170035141A (ko) 냉각구조를 개선한 플라즈마 반응기

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant