TW214599B - - Google Patents

Download PDF

Info

Publication number
TW214599B
TW214599B TW080108043A TW80108043A TW214599B TW 214599 B TW214599 B TW 214599B TW 080108043 A TW080108043 A TW 080108043A TW 80108043 A TW80108043 A TW 80108043A TW 214599 B TW214599 B TW 214599B
Authority
TW
Taiwan
Prior art keywords
film
layer
silicon
silicon film
radonized
Prior art date
Application number
TW080108043A
Other languages
English (en)
Original Assignee
Seiko Epson Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Seiko Epson Corp filed Critical Seiko Epson Corp
Application granted granted Critical
Publication of TW214599B publication Critical patent/TW214599B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Formation Of Insulating Films (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Chemical Vapour Deposition (AREA)

Description

五、發明説明(/ ) Α β Η 6 種 1 於 有 是 8 特 置 裝 體 導 半 till 種 圍一 範於 用關 利有 之偽 上明 業發 産本
層 0 ο 金 法 或 方 層 造 腥 製 導 其 半 及 在 以 成 良 形 改 一 的 偽 膜 , 化 膜 鈍 化 之 鈍 上 的 層 D 置 線術裝 配技體 在知導 成習半 形 C 經沭部中央捣準局貝工消费合作杜印5i 等之配線層上的介電體,僳一對付在套裝模製(package mould)時;έ應力或來自外界之污染、水分之進入等因素 保護半導體層或金羼層等之配線層者。該鈍化膜可作為 半導體裝置的外層保護膜,或是在多層配線層時,刖形 成在其之間,此時&可發揮作為中間絶緣層的功能。 該鈍化膜有以往之 CVD(Chemical Vapor Deposition) 法所形成之氣化矽膜(Si02)以及PSG (Phospho-Silicate Glass),以及緒電漿CVD法所形成之氮化矽膜 (P-SiN)及氣化矽膜(Ρ-SiO)等。 近年來,隨箸元件的微細化,對鈍化膜乃要求各種的 特性》該恃性卽為在對形成鈍化膜之後孃工程中之熱應 力、機械應力的耐久性以及為與外部環境之間的遮蔽性 ,特別是對濕氣、Na+等之鹼金屬的遮蔽性等。又隨著 半導體裝置之微細化、多層配線化所産生之特別值得重 視的故障現象有電子遷移electro migration (EM)以及 應力遷移Stress migration (SM)等現象。而鈍化膜本 身所具有之内部應力對該些故障乃有所影鬱。 〔本發明所要解決的問題〕 (請先閱讀背而之注意事項再填窍本ΙΚ) 裝· 訂- 線. 本紙張尺度边用中aBTiMSiMCNS)T4««}(210x2!)7H) 214599 Λ 6 Η 6 五、發明説明(> )
膜 之化 應鈍 反到 解得 分法 熱無 蕕於 由由 則 , , 膜 G 時 S Ρ 性 特* 之 2 膜 ο 知S1 習的 8 域 各形 察所 考法 若 D V 膜 度 強 械 機 之 求 要 所 點應 觀反 的解 性分 濕熱 耐藉 由由 ,者 低更 量 0 氫能 含功 之的 膜膜 此護 因保 ,為 高作 較揮 比發 度能 溫不 膜看 成來 且 稱 下 以 膜 之 成 形 所 法 D V C 之 痕 裂 生 産 易 容 時 膜 成 在 力 應 伸 拉 為 成 力 應 部 内 之 膜 題 問 之 _ 來 ρ V 上CV N,痕較 S1裂量 P-制氫 之抑含 成時此 形膜因 所成, 法由低 D ’較 CV力tb 漿應度 電縮溫 由壓膜 ,為成 此成其 對*力於 應由 部 , 内想 之理 膜較 10看 高 為 膜 由 較 卻 然 高 為 膜 0 D 越 C 優較 為然 膜雖 VDS C強 較 槭 機 看之 來 點0B 觀 S 之P-性是 濕但 耐 為 膜 看差 來較 點亦 觀性 的恃 度 Ϊ 0 強且 械 P 之 以子 G離 Γ 素 te元 鹼 對 案外 圖9 之 , 化差 細膜 L( N 微.1 護-S P 保 由 較 (請先閲背而之注意事項再项窍本π) 裝· 情 上 廉 考 若
I P 化 鈍 作S1 當P- 膜較 N .1 力 Γ5 應 縮 壓 部 内 之 膜 a 鹼 乃 (P對 膜 而 然 0 越 優 極 訂 高 為 膜 厚 愈 厚 .膜 有 而 左 D —υ 針 少在 減要 要必 了有 為厚 ^5膜 而之 般膜 一 化 〇鈍 向則 傾 , 的生 加發 增之 愈等 力e) 應01 縮h 壓in Hu ρ 貝 '. 經濟部屮央31準而只工消铧合作杜印製 S 力 P-應 成縮 形歷 厚部 膜内 該該 以於 若由 ο » 厚.大 更增 或會 右力 膜 應 縮 壓 部 内 則 會 層 線 配 之 層 下 於 裝 體 導 半 化 細 微 的 線 配 〇 箸化 随劣 此會 因乃 ,命 力壽 應SM 的 、 大命 較壽 比EM 到之 受置 本紙张尺度逍用中國B家標準(CHS) TM規怙(210X297公;《:) Λ β Η 6 經濟部中央槛準局貝工消作合作杜印31 五、發明説明(4 ) 如此般由於以往之膜,以一定的膜厚形成單一層的鈍 化膜時,所有均具有膜待性的缺點,因此乃霄試以2層 以上的膜來形成鈍化膜。例如在美國專利第4446194中 ,公開有金羼層上之第1層為由電漿CVD法所形成的Ρ- Si〇膜,而位於其上之第2層,則為以其他之方法,例 如由熱分解反應之CVD法所形成之Si02膜的方法。該方 法乃將接Μ金屬層之第1層,做為内部應力成為壓縮應 力之P-SiO膜,以缓和金屬層中之殘留拉伸應力,藉以 ► · 減少由SM或EM所産生空隙(void)的機率β但是即使該美 國專利所公開之構造,當視為保護膜時,則對於近年來 之被徹細化之半導體裝置之ΕΜ壽命、SM壽命的改善並不 充分,又由於是氣化膜的積層構造,無法得到如P-SiN 膜般的機槭強度,而«元素離子的阻隔待性亦差。再者 當上層為Si02膜時,由於上層之耐濕性不良,因而水 分容易侵入至上層與下層之邊界。又由於第1、2層分別 以不同的方法而形成,因此為了要形成鈍化膜,半導體 裝置之生産效率(through put)會降低。 如此在習知技術中,很難具備一對被微細化之配線層 的鈍化膜所應有的持性,而現黉上乃藉改良配線層本身 而嘗試電子應力遷移(electro stress migration)對策 0 本發明之目的即是在提供可藉利用具有優良膜待性的 氪化矽膜,而具備優良耐濕性,且於應力、電氣特性、 -5 - (請先閲-背而之注意事項#艰寫本頁) 裝· 訂- 線· 本紙張尺度遴用中困國家標準(CNS) Ή規怙(210X297公龙) 五、發明説明(4 ) Λ 6 η 6 經濟部屮央標準局A工消赀合作杜印製 膜 ,膜構層。層要來對移者成 要成可 移與更 化 膜成所外形12所層諝遷一形 π 所之而 遷是變 鈍 化之層之情II膜一所力中藉 Μ 於法, 力則藉 之 鈍法積置一1¾化單是應其此 ^ 近 D 膜 應次可 模 之 V 鬱 有 D 之裝任ΝΪ鈍以別及高因 接 C 成 、其雖 影 成CV層鼸的S1為法持以提。Μ 性漿來 移,者 - 各 劣 形漿矽導層 Ρ 作無。性若傜 1 特電置 遷力兩 惡 上電化半線之與而然持有關»Β有變裝 子應該 生 層在氮為绝性有,使移具的 _具改的 電縮。 産 線變之作間特亦者膜遷,化Til現藉別 對壓童 會。卩配改性在靥膜是反化子性劣0]實係各 :部氫 不法用於藉待用之良即違鈍電濕而’可膜以 是内含 件方作傺傜同適間優由此之之耐反 Ϊ 而各要 性的之 元造其,,不可線有理彼求溢的性S1,於需 持有膜 體製及置膜上 -配具其率要影饗待P-象由不 同具之 導其以裝化以膜層將。成性有影之之現又此 不所偽 半及段醴鈍層化多乃膜達持小所者性的。因 的身關 對以手導述 2 鈍為,化求有大量一特反膜,。出本切 ,置之半上之之作中鈍要所之氫另同違化成率提膜密 性装題之:成-明及明成的足 g 含而不此鈍形效要之有 特體間明為形發以發形性滿縮,被,上彼之而産·先大具 體導決發擻而本層本而待可壓與性以致性件生首較性 晶半解本待件。護;在上之成部性待層導待條升又遒濕 電之 C 其條成保 以求形内待之 2 所求膜提 影耐 (請先閲讀背而之注意事項再填寫木is) 本紙張尺度逍用中a a家標準(CNS) Ή規格(210X297公釐) 五、發明説明(r ) Λ 6 Η 6 經濟部屮央榀準局员工消作合作杜印製 變 將成止之高部氮縮,又層化縮 件形内之強膜 均 是形停層之内之壓時。下氮壓而 條膜之層械部 性 即力力下矽的層部力移則之部’ 膜該化上機全 特 法應應保化膜上内應遷,靥内 Μ 成若劣較之之 兩 一縮縮確氮矽而的縮力時上之^ 的然致成求成 使 之壓壓以之化,下饜、力當膜Λ#膜-不形要構 朝 性部部,層氪 2 以部應應又矽«Λ矽大性膜所所 制 濕内内度下之C1112 内子縮。化 _ 化變耐矽膜層 控 耐的之程保層n/1/的電壓性氮㈣ 氤會移化化積 地 及膜層的確下dy之限之部濕之 之 ξ 遷氮鈍之 立 以矽下化可,10膜上層内耐層^ 層一子之對層 獨 性化將劣即性10矽過線之的下 f 下壓電層且數 法 耐氮而性量待 X 化超配限望過21之部使下,多 無3M述。耐氫項-1氮膜長下# 超12量内在將成由 卻 及上大移含該 9 之矽助於所 ,bf。氫的止果達保 然 性之為遷的到09層化而低保力M移含有停如易確 , 耐層膜 多得X下氮,膜確應IJi遷之具會是容可 整 CEM下矽 較要3X有之大矽法縮員力望所則這即則 調向保之化應有了為具層過化無壓豸、希膜,。薄 , 來方確層氤子-含為宜好下力氪膜部 2m所該時力為厚 件的以線之電膜。力最當應之矽内1/子有然薄·應膜膜 條好用配層使矽性應膜。縮層化的之電具雖較縮矽的 膜良又於上不化濕,縮矽力® 下氮膜力長在 >比壓化高 成得 接較在氮耐壓化應則當之矽應肋 下成部氙度 (請先閲讀背而之注意亊項再艰窍本抒) 本紙5民尺度遑用中团Η家樣準(CNS) T4規格(210X29V公: Λ f) Π 6 五、發明説明(t>) 厚。而上層之氮化矽膜,則可藉盡可能不增加全部之内 部壓縮應力之成膜條件來形成鈍化膜。因此上層之氮化 矽膜由於具有内部壓縮應力,因此在成膜時很難産生裂 痕,而覆蓋耐濕性良好之下層的氮化矽膜,藉此可增加. 配線層與外部環境之遮蔽效果。 又確保EM耐性以及SM耐性,及耐濕性的其他方法,即 是將接於配線層之下層之氮化矽膜的含氫量設得較上層 之氮化矽膜為少。當下層之氮化矽膜之含氫量變少時, 則下層之氮化矽膜的壓縮應力亦會變低,而電子應力 遷移耐性不會劣化。此時由於下層之氮化矽膜的耐濕性 劣化,因此該耐濕性可藉增加上層之氮化矽膜之含氫量 而獲得補償。此時下層之氮化矽膜的含氫量為0.5X ΙΟ20〜5Xl〇2iatlB/cnl3 ,而上層之氮化矽膜最好含有 下層之氮化矽膜之2倍以上的含氫量。當下層之氪化矽 膜的含氫量超過上限時,刖下層之氮化矽膜之内部壓縮 應力會變大,而助長電子應力遷移。又當下層之氮化矽 (請先閱讀背而之注意事項#蜞寫本|1) 裝· 訂_ 線· 經濟部屮央標準局EC工消汾合作杜印製 小層 2 確條膜之 太上之可膜該化 力當置有成使劣 應。篇具的若致 縮化含在膜然不 壓劣之 C 矽,性 部會膜性化大特 内乃矽濕氪變移 之性化耐之雖遷 層耐氮之層力子 下移之求上壓電 於遷層要之縮使 由力下所量壓在 ,應滿膜氫部止 時 ,未層含内停 量性量上之之可 氫耐氫保性有則 含移含確濕具 , 之遷的法耐所時 限子膜無之膜薄 下電矽則.望該較 於此化,,希,得 低因氮時所中設 膜 ,之倍保件厚 本紙張尺度逍用中a B家標準(CNS) 4規格(210x297公釐)
經濟部中央標準局員工消費合作社印製 較上層之氡化矽膜為厚即可„ 通常此種元件之使用薷命被設計為例如10年期間之不 良率為1%以下,若無法谋足此不良率者,邸使用在耐 濕性方面能達成該不良率之笊化矽膜,並以增加配線圏 案之寛之方式降低遷移引起之不良率。依本發明,可在 谋足上述不良率下*比起以往能縮小配線鼷案之寬,從 而可符合今後配合半微米原則之元件撖小化的要求。舉 例而言,目前已合乎實用之1M的SRAM,其面案寬為0.8 wm,但是接下來之4M的SRAM,將變成0.5uia,於此場 合,本案之鈍化膜仍能達成〗0年間1 %之不良率。 該不同特性之多數層之鈍化膜,可藉電漿CVD法,而 改變反應室内蹏力、溫度、反應氣體種類,反應氣體流 置比、RF功率,電極間距離之一種以上的成膜條件即可 形成。由於各層均是藉霄漿CVD法來形成,因此可於同 一電漿CVD裝置内改變成膜條件,而可連續地形成多數 層具不同特性的氘化矽膜。此時成膜條件可呈階段式或 連鑛地變更。 (實施例) 以下誚根據第1圈來詳細說明本發明之實施例之半導 體裝置以及其製造方法。 首先在形成有井部Uell> 11之半導齷基板10上形成 LOCOS (Local Oxidation of Silicon) 12。再形成層 間絕緣膜13,在與井部11成對向之領域藉石印法 (photolithography)工程形成接嫌孔14之独刻画案 (etching pattern)後,乃藉乾独刻形成接觸孔。其次 在層間絕綠膜13上藉哦濺法形成含有矽之鋁膜,在藉石 印法工程形成蝕形匾案後,則藉乾蝕刻形成鋁配線15q 其次就鈍化膜之形成加以說明。 第2圖偽霄漿CVD裝置的斷面_。該裝置20,俱藉上 部室(c h a n b e「)2 1與下部室2 2而構成氣密容器,於其内 部則配置自由晶片(wafer)載置II極23以及對向霣極24 -9 - (請先閲讀背面之注意事項再填寫本頁) •裝, ,17· 本紙張又度適用中國國家標準(CNS>甲4規_格(21P X 297公釐) 214599 Λ fi Β 6 經濟部屮央標準杓A工消贤合作杜印奴 五、發明説明(牙) 所構成之平行平板電極。此外在對向電極24則連接有RF 功率供應器(power supply)25e 反應氣體(process gas) 則經由如與設在晶片載置電極2 3之中心之孔2 3 a連通般 而連接之供給管26,被導入至平行平板電極間。而氣體. 供給管26傜由導體所形成,而兼作為晶片載置電極23之 接地。而容器内氣體之排氣,則經由形成在晶片載置電 極23之周i的排氣孔27而實施。 在形成有鋁配線15之半導體基板10被搬入至電漿CVD 裝置20内部後,乃將反應室内油成真空。此時之成膜條 件是將下層之P-SiN膜16以及上層之P-SiN膜17設定成具 有下述特性。下層之P-SiN膜16之内部壓縮應力為3X 109~1父101〇(1丫11/(}1112,而上層之?-31!<膜17具有下層 之1/2以下的壓縮應力,最好上層之P-SiN膜U具有0.2 X 109〜5X 109 dyn/cm2的壓縮應力。又更好的是將 下層之P-SiN膜16具有下層之1/2以下的鼷縮應力,最好 上層之 P-SiN 膜 17 具有 0.2X 109 〜4X 109 dyn/cn2 的 壓縮應力。又更好的是下層之P-SiN膜16的内部壓縮應 力具有3X 109〜6X 109 dyn/cm2 ,而上層之p-SiN膜 17具有下層之1/2以下的壓縮應力,最好上靥之P-SiN膜 17具有0_2X 109〜3X ID9 dyn/cm2的壓縮應力。 在本實施例中,乃將表1所示之成膜條件作階段式地 設定,而分別連鏟地形成鋁配線15上之P-SiN膜16 (參 照第1圖(B))以及其上層之P-SiN膜17 (參照第1圖 -1 0 - (請先閲讀背而之注意事項孙碭寫本頁) 本紙張尺度逍用中as家標準(CNS)T4規格(210X297公龙) 2^.4599 Λ β Π 6 五、發明説明(夕) (C ) ) 0 〔表1 〕
下層膜1 6之 成膜條件 上層膜17之 成膜條件 溫 ’度 4 0 0 。。 4 0 0 °C 壓 力 5 Τ 〇 r r 5 Τ 〇 r r S i Η 4 流量 1 5 0 S C C Μ 1 5 0 S C C Μ Ν 2 流量 3000SCCM 3 0 0 0 S C C Μ ΝΗ 3 流量 50SCCM 1 0 0 S C C M RF功率 約 5 0 0tf 約 3 0 0 W (請先閲讀背而之注意事項再艰·窍本頁) 裝· 訂 線- 經濟部屮央標準局员工消费合作社印¾ 如上述表1所示,本實施例之P-SiN膜16, 17之成膜 條件中所不同者,僅是NH3流量與RF功率而已,而其他 條件均相同。在改變成膜條件而連鑛地形成各膜16, 17 後,自電漿CVD裝置搬出晶片,而如第1圖(C)所示般形 成結合襯墊(bonding pad) 18β如此形成之P-SiN膜16 -1 1 - 本紙张尺度遑用中a S家樣毕(CNS>1M規格(21(^297公坺) 214599 Λ β Β(ί 五、發明説明(β) ,1 7的膜厚以及持性,則如表2所示。 〔表2〕 Ρ - S i Ν 膜 1 6 Ρ - S i Ν 膜 1 7 膜 厚 ί 0 . 3 μ m 0 . 7 μ m 壓縮應力 5 x 10 9 dyne/cB 2 lx 1 0 9 dyne/cm2 含氫量 1 x 1 0 22 atm/cm3 5 X 1 0 20 atm/cffi3 (請先間讀背而之注念事項孙艰寫本頁) 經濟部屮央標準局员工消费合作杜印^ 如上述表所述,被稍層之各膜16, 17之整體的膜厚為 I Μ 而可確保不致因外部應力産生裂痕、針孔等機械 強度。在本實施例中,除了 P-SiN膜整體確保iyUM的膜 厚,鈍化膜還備有以下所求的持性。 首先接於鋁配線15之下層的P-SiN膜16,其含氳量可 高達1X1022 atm/cm3,而耐濕性良好。P-SiN膜16之 應力為5x 109 dyn/cm2的壓縮應力,而在形成P-SiN膜 6時,可確保在膜16本身不會産生裂痕之充分的膜強度 ,而且壓縮應力不致高到招致電子應力遷移之耐性劣化 之程度,如果將具有含氫量1 X 1 0 22 a t π / c m 3之高耐濕 性之P-SiN膜16以1# ®的厚度來形成時,則内部壓縮應 力與膜厚一起變大,而電子應力遷移耐性會大幅地劣化 -1 2 - 本《•张尺度逍用中《 B家楳準(CNS)T4規格(2丨0X297公徒) 214599 Λ fi 15 6 經濟部屮央楳準局3工消费合作社印54 五、發明説明(u) 。因此為了在0 . 3 # m之膜厚之P - S i N膜1 6的上層,確保 整體厚度為1#B之鈍化膜,乃積層0.7#b膜厚之P-SU 膜17。上層之P-SiN膜17之内部應力雖為lxi〇9(jyn / cm3左右,然由於是壓縮應力,因此不會如CVD膜般, 在膜形成時産生裂痕,再者鈍化膜整髓之内部壓縮應力 ,也可停止在不會使電子應力遷移耐性劣化之範園内。 又P-Sifi膜’17之含氫量為5X lO^dyn/cm3 ,而由耐濕性 上,比P-SiN膜16稍差,然鈍化膜整鼸,可藉下層之p-SiN膜16確保耐濕性。 此外在2種成膜條件中之成膜溫度雖均為4Q{TC,然 由於改變NH3流量以及RF功率,故可使P-SiN膜16, 17 形成不同之含氫量。而此即是由電漿狀態成為SiN之結 合狀態,在P-SiN膜16, 17中有所不同之故。 如此如只在P-SiN膜16形成1//D1膜厚之鈍化膜時,應 力太高,而配線對於電子遷移耐性或電晶體待性之變動 劣化,而變成可靠性不良,相反地如P-SiN膜17般將應 力減小,則耐濕性會劣化,然_積層不同待性之P - S i N 膜16, 17,整痼鈍化膜即可滿足多個必要待性。亦即藉 本實施例之積層特性不會使耐濕性劣化,而可提升配線 對電子遷移耐性及電晶體持性之變動的可篛性。又藉積 層2種不同待性之P-SiH膜,可使對熱之變動之變化變 少。 第3圖表示本發明之不同實施例之半導體装置的斷面 -1 3 - (請先間讀背而之注意事項孙艰寫本只) 本紙張尺度遑用中B Η家標準(CNS) Ή規怙(210X297公龙) 214599 Λ 6 It 6 五、發明説明(t>) I^ST圖。 第3圖所示之半導體裝置與第1圖(c)所示之半導體 之裝置相比,傺將鈍化膜置換成下層厚的氮化矽膜30與 上層薄的氮化矽膜31者,其他之構造則與第1圖(C)相 同。各膜3 0 , 3 1均係藉第2圖所示之電漿C V D裝置2 ϋ連缅 I 地形成,而其成膜條件乃設定各膜3 0 , 3 1具有以下的特 性。下層之Ρ - S i Ν膜3 0的含氫置為0 . 5 X 1 0 20〜5 X 1 0 21 atm/cm3,上層之P-SiN膜31具有下層之2倍以上的含氳 量,而最好上層之P - S i N膜3 1之含氫量為1 X 1 0 2〇〜3 X lOUatm/cm3。又更好的是下層之Ρ-Sifl膜30的含氫量 為 5X 10 20 〜5Xl〇2iatni/cm3 ,上層之 P-SiN 膜 31 具有 下層之2倍以上的含氫量,最好上層之P-SiN膜31之含 氫量為IX 1〇2ί〜3X 1〇22 atm/cm3。又更好的是下層之 P-SiN 膜 30 之含氫量為 7X 10 20 〜SxlOtatm/cni3 ,而 上層之P-SiN膜31具有下層之2倍以上的含氫量,最好上 層之P-SiN膜31之含氫量為2x l〇2i〜3x 10 22 atm/cm3 〇 本實施例之成膜條件則如下述之表3所示。 (請先閲讀背而之注意事項再项寫本灯) 裝· 訂 線· 經濟部屮央#準局β工消费合作社印製 一 1 4 _ 本紙张尺度边用中a Β家標毕(CNS) ΤΜ規怙(210X297公:«:) 314599 Λ 6 It 6 五、發明説明(G) 〔表3 〕
下靥膜30之 成膜條件 上層膜3 1之 成膜條件 溫 度 » 4 0 0 °C 4 0 0 °C 壓 力 5 T 〇 r r 5 T 〇 r r SiH 4 流量 150SCCM 150SCCM N 2 流量 3 0 0 0 S C CM 3 0 0 0 S C C Μ NH 3 流量 1 0 0 S C C Μ 50SCCM RF功率 約 3 0 0 W 約 5 0 0 W 之 層 下 示 所 3 表 述 上 如 上 之 ΊΧ 例 施 實 與 ο 3 膜 (請先閲讀背而之注意事項#项窍本R) 之 層 膜 經濟部屮央標準局β工消t合作杜印製 之之 層成 下形 之所 1 件 例條 施.該 實由 與而 則 同膜 BM N 木 .1 件-S 條 膜 成 之 之 層 上 而 膜 示 所 4 表 如 本紙尺度逍用中國S家標準(CNS) Ή規格(2】0Χ297公;¢) 膜 則 。性 同特 相及 件以 條厚 膜膜 成之 . 1 之 3 314599 Λ β I? β 五、發明説明(ί +) 〔表4 〕 P-S iN 膜 30 P - S i N 膜 3 1 膜 厚 0 . 7 μ. ίο 0.3 μ. m 壓縮應力 lx 10 9 dyne/cm 2 5 x 10 9 dyne/cn 2 含氫量 5 X ΙΟ20 a t πι / c hi 3 lx 1 0 22 atm/cm 3 (請先閲讀背而之注意事項再艰寫木頁) 經濟部屮央標準M工消"合作杜印奴 如上述表所示,被積層之各膜30,31之整匾的膜厚乃 成為l#m,而可確保不會因外部應力産生裂痕、針孔等 之機械強度。在本實施例中,P-SiN膜整體雖確保1#Π1 的膜厚,但具備有作為鈍化膜所要求之下述待性。 首先接於鋁配線1 5之下層之Ρ - S i Ν膜3 0 ,其内部壓縮 應力低至lxi〇9dyne/cio2,而不會使電子應力遷移之 待性劣化》下層之P-SiN膜30之含氫量雖然低至5X 1020 atm/cm 3 ,然上層之P-SiN膜31之含氫量乃高至ΙΧΙΟ^ atm/cm3 ,藉此得以確侏作為鈍化膜的良好耐濕性。在 上層之P-SiN膜31之成膜條件中,雖然傜一使内部壓縮 應力變高之條件,然而可將上層之膜厚薄膜化成0.3#ηι 來停止内部壓縮應力之增大。而若將具有IX 1G9 dyne/ cm2之低的内部壓縮應力之P-SiN膜30以l#m的厚度來 -1 6 - 裝· 訂_ 線- 本紙尺度边用中a Η家«準(CNS) V4規格(210x297公¢) 214599
五、發明説明() 形成時.由於含氫悬變低,而無法實現耐濕性良好的鈍 化膜。如本實施例般,藉2靥的膜30, 31,可互相補傖 達成率相反之特性,而實現具有優越待性之鈍化膜。 在上述實施例中,雖偽就在同一反應室内將成膜條件 階段式地變更之方式加以說明.然徑將RF功率或Gas流 量連鑛地變化亦得到了同樣的效果。又即使藉個別的装 置分別形成P-SiN腆亦有效果。要藉値別的裝置來成膜 時,也可改變成膜條件之一之電極間距離。又反醮室内 壓力、溫度亦可容易地設定為不同的條件。 表5僳將CVD法之CENTER條件中之氡化矽腰之懕縮應 力與將壓力等之處理條件之一變化時之氮化矽膜壓縮應 力加以比較者。 (請先閲讀背面之注意事項再項寫本頁) .裝. 經濟部中央標準局員工消費合作社印製 -17- 本紙張尺度適用中國國家標準(CNS)甲4規格(210 X 297公董) 9 d 5 ά Λ-;*.ηι
A B 明 説 明發 '五 經濟部中央標準局員工消費合作社印製 婆 Ν-ί-ls -α. m m CO 5: 之 oa b3 S CL1 ΙΛ LTD If5 O 0► «〇 CS Ο ιΛ . «3 C3 -«T Ο IX) ΙΛ 寸 CO ” r*H ΙΛ c〇 -*r in 〇o CQ CV» to L〇 <Ξ> <^ 〇 O LO . c^a ·~^τ o LO CO -«· r-H LO C〇 03 OO T—< m m CNJ t—H 〇 cz> oo «—t to 〇 CO C5 O 〇 LO , 03 CD C3 -iT CD ΙΓ3 ΙΛ 'tf· C〇 OO i 1 CO oo CD s s g lT> t—4 O CD C3 C? <=> LO . co 〇 -rr o uo ΙΛ CQ -S' ΙΛ r-» oo "«i· LT3 OO CO c— V—< 3 o CD f-H Wrt C» Ο O <C5 C? ΙΛ . co cd -^r c? u〇 to ΤΓ CO C<3 *-H Γ- οο ς£5 oo IX? c— *—< 豳 m ^3* CO <zr> CS» c—· ·—< «λ «=> o* o o -o ir> . CNi CO C? C*- <=> U-D to -«r co -rr —4 to !0 oo cn cn c=> lO £=3 ~tSi CO 1 o r—I «Ο 〇» CS> 〇 C? 〇 CO . c*j &〇 〇 ir» ΙΛ CQ i—< LT3 CO *·<· LO oo CO «' i CO 〇 't—4 * t in ο ο ο ο o in . CD C? —4 C3 U〇 to ~^· c〇 irs cn v t Cn nx> CD <—· c*a 雔 ffl 运 趙 ASS φΟΓ 1.0 Ο Ο O . Ο Ο* ΙΛ * β>ί M3 vrt O U7> io *r»· co *^r ^-h lo vH -59* CD oo C— r*4 US DL) 卜 8 g ΙΛ Ο Ο Ο Ο O i〇 . CNJ ςσ o ^ c? ιλ lf3 ^»· CO t L〇 OO •^3* UO oo CO C^- 若 <Z> uo CO cr> 〇 o G3 to . <Ni to trj *^r 〇 i〇 to -«r co c〇 —π to CO CT> «—« OO Μ 朗 猢 S c? cr> OO «Λ Ο O CD <0 O· to . <>3 <7? O ,T O LO m co -^r —* \λ >1 Oi 勾 oo oo uo OeA Ce3 (ΧΛ 〇 <=> <x> crj UO 〇 〇 <=>«=> C3 u^> , 0«3 «L〇 «Ο 寸 〇 LO io 々 c。 呀 叫 ιτϊ oo CQ CO CO c— Ut 军 cS (3U oa •^r o C? C— -tt· Irt Ο 〇 O 〇 〇 LT5 . c^· O -^r O i〇 «Λ ^»· e»* -«· »-H LT» f-t c— CO aa oa Cxi cr> 03 '•r UD C5 CP O O C5 LO . CSI t£> O -<»· 〇 ITD W> ^ CO — to oo -sr u~> CO CO c— s <z> t- CO lO 〇 o o o o to . ¢^- ^ o ^ o u-ϊ CO C〇 »—l UO o- <T^) LO 〇〇 CO <=> -R cva wr> to tr> o c? ο o c? irp . cva co o c? lo SO -«J· co uo »—H <=> cn » · oo oo *-H C3 US U9 to LO ιΛ C3 O C3 C> tr? c^a <〇 o -tr 〇 to LO CO t-H Cf5 , 〇〇 *«r in c〇 CO c— *» < — ΙΛ Ο Ο Ο Ο Ο ιΛ . SO <=> *^» C5 U〇 CT3 -5J* »-H t〇 1—H o c— ΦΟ 00 T_M 01 e— <—1 X9 V-* 〇} a« ^ » Ο Σ5* β*·^ ·Η CJ* 〇 LJ t- a co co co 〇 2: 3K 埂 m V -ΈΓ 朗 _ _ O te3 K 堪 co 包二 S; S ~ Π: •邊' S S汔⑺i -C CO o -c i »—i CU i $ 許 .»/) wtt e«J ε 〇 =» \ o c *F? ^ >* ^ X -C3 本紙張尺度適用中國國家標準(CNS)甲4规格(210 X 297公釐) 214593
A6 B6 五、發明説明() 由此表5可知,把①提离壓力,②降低RF功率,③提 高基板溫度,④擴大霣棰間距,©增加SifU流量,©增 加N2流*,〇>減少NH3流量等t項條件中之任一加以變 更,可使壓縮應力降低。 將各處理變數變化時之壓縮醮力有時以極大值變化, 也有時依變化之變數之設定範圔而呈相反的相藺。 一般而言,如氡化矽膜之壓縮應力大時,有含氫量亦 多之傾向。氮化矽膜中之H-H結合之比率愈多,此含氫 量亦愈高。將紅外線照射於氡化矽膜,以初次傳里葉變 換求取被N-H結合吸收之波長之紅外線透射量,即可测 定上述N-H結合之比率。例如,變化表5中之RF功率時 之壓縮應力與對醮於其腰中之N-H結合之比率的測定值 之藺係係如表6所示。 (請先閲讀背面之注意事項再填寫本頁) 丨裝. 經濟部中央標準局员工消費合作社印*1衣 表 6 RF功率(w) 370 420 470 醮力 X 1 8 9 dyn/cm2 0.28 1.73 4.17 N-H比率(測定值) 0.0187 0.0272 0.0377 17b .線· 本紙張尺度迺用中國國家標準(CNS)甲4規格(210 X 297公货)
由上述可知,變更處理條件,可使m化矽膜之壓縮應 力改變*且含氫μ也會改變。 又成膜條件與物性之相藺性,由於有因裝置而顯著差 異的傾向,在實施例中,藉變更RP功率以及氣《流量比 可控制膜之應力與含氫量,但藉使其他之成膜條件,例 如反應室内壓力、霄極間距離、溫度等加以最適化,除 了應力及含氳Λ以外,亦可改變絕鐮性等之恃性。再者 亦可更換氣釅種類以改變成膜條件。而為了減低P-SiN 膜之含氫量,也可以使用SifU -N2 -H2糸之反應氣體 以取代SilU 之氣醱。 有闋P-SiN的腰厚,雖會因配線的空間或所要求應力 的值、耐添性等而有所不同,然可藉菝擇其他的成膜條 件改變其膜厚比或整龌的膜厚,而得以最適化。但是為 了要一邊維持下靥之P-SiN膜16之高的含簠量,而邊壓 抑内部K縮應力,最好將其膜厚設成上層之P - S i N膜之 1/2以下。相反地若是要抑制下層之P-SiN膜30的内部暖 (請先閱讀背面之注意事項再填寫本頁) 經濟部中央標準局員工消費合作社印製 -17c- 本紙張尺度適用中國國家標準(CNS)甲4规格(210 X 297公釐) 214599 Λ 6 It 6 五、發明説明(丨fe) 力 P 應之 縮層 之 層 上 高 提 且 膜 膜之 1N層 S . - 上 5 - 成 設 厚 膜 量 氫 含 的 下 將 好 最 膜 的 厚 膜 之 上 以 時 膜 護 保 之 等 之 力 應 和 键 以 用 時 PS製 成模 形為 欲作 後可 線將 配在 成, 形時 在造 又構 線 配 層 多 或 胺 醯 亞 聚
明 發 本 用 應 可 亦 時 上 膜 化 D 鈍果 在,效 成之 形明 脂發 樹 C 氮而 的件 上條 以膜 層成 2 之 之中 性 D 特CV 膜漿 越電 優更 有變 具藉 用且 使 , 乃膜 ,化 明鈍 發為 本作 據膜 根*矽 化 移 遷 力 應 子 及 以 性 濕 耐 現 實 而 。 ,置 性裝 持體 同導 不半 示之 顯異 膜優 各性 使耐 明 説 單 簡 之 面 圖 (A概係圖偽面 圖的圖面圖斷 1 程 2 斷 3 略 第工第的第槪 造置的 斟 Λ9 之 置 裝 體 導 半 之 用 適 所 明 發 1 本 第 表。成 僳圖形 C)面以 {斷用 )~略表 漿 電 之 膜 化 鈍 之 \)/ C /V 圖 装 置 装 撞 »β_Β 導 半 之 例 施 實 他 其 之 用 過 所 明 發 本 〇 表圖 (請先閱讀背而之注意事項再堝寫木頁) 裝· 訂 線. 經濟部屮央標準局Μ工消炸合作社印製 域 板領 基1) 體el f w 導 { 半并 膜or 緣(C 絶孔 間觸 層接 本紙張尺度逍用中國8家榣準(0阳)肀4規怙(210父2!)7公:«:) 五、發明説明〇7) 膜膜 矽矽 ^Λ 氮m 線之之 配層層 培下上 Λ β Β 6 (請先閱讀背而之注意市項#碣寫木頁) 裝_ 線· 經濟部4-央標準灼员工消许合作社印製 本紙張尺度边用中國B家楳準(CNS) T4規格(2】0x297公;it)

Claims (1)

  1. AT B7 C7 DT 經濟部中夬標準局員工消費合作杜印製 六、申請專利範園 第80 1 08 04 3號「具有鈍化多層膜之半導藷装置及其製法」 專利案 (8 1年6月修正) 1.—種具有鈍化多屬膜之半導醱装置,其持微為: 上述鈍化膜俱由變更電漿CVD法之成摸條件所形成之 内部壓缩應力不同之多數《之氦化矽膜之積層所構成, 下層之m化矽膜之内部s達悪力比上層之氡化矽膜之内 部壓縮力大,且下層之氡化膜之厚度之形成比上層之 m化矽膜之厚度為薄。 Z如申諳專利範圃第1項之半導s裝置,其中,下層之氮 化矽膜之内部釅縮應力為3χ103 ~1χ101α dyne/cm2 - 上®之m化矽膜具有下«之氦化矽膜之以下的内部壓 縮應力。 3.如申謓專利範圍第2項之半導Μ装置,其中,下層之m 化矽膜之厚度形成為上層之氡化矽膜之厚度之4以下。 4 -種具有鈍化多ϋ膜之半導證装置,其特戲為: 上述鈍化多層膜偽由變更電漿CVD法之成膜條件所形 成之氫含董不同之多數層之氦化矽化膜之積層所構成, 下層之氡化矽膜之氩含量比上層之氡化矽膜之含氣量小 ,且下JS之氡化膜之厚度衫成比上層之氡化矽膜之厚度 為厚。 5.如申請專利範圍第4項之半導蘐裝置,其中,下屬之氡 化矽膜之氫含量為0.5χ132() -5x10 2i atom/cn3 ,上 (請先閲讀背面之注意事項再邋窍本W κ. -訂· •線. 木紙張尺度W W :SH+MCNS) Ή规格(210x297公犮) 經濟部中央標哗局員工消費合作杜印製 AT B7 CT DT_ 六、申鲭專利苑S 層之氡化矽膜具有下《之fi化矽膜之2倍以上之念fi量 〇 6. 如申諳專利範®第5項2半導趙装置,其中,下®氡化 矽膜之厚度形成為上層m化矽膜之厚度之2倍以上。 7. —種具有鈍化多層膜之半導體裝置之裂造方法,其特戲 為: 在電漿CVD法,5*室内壓力,溫度,形成氣髏種類, 形成氣體流董比,RF功$,罨極間距雞之任何一種以上 之成膜搽件予以變更形弍多屬之氡化矽膜,下層之m化 矽膜之内部壓缩應力ϋ上層之氡化矽膜之内部壓编應力 大,&下層之氕化矽累2:厚度形成比上層之氛化矽膜之 厚度為薄之包念上述鈍化多®膜之成膜工程。 3.如申請專利範圍苐7項之半導體装置之製造方法,其中 ,在同一霄漿CVD装置内将上述成膜條件階段性的變更 ,形成内部壓缩應力不同之多層之m化矽膜。 9.如申請專利範圍第7項之半導S装置之製造方法,其中 ,在同一霄漿CVD裝置内将上述成膜條件連缠性的變更, 形成内部壓缩應力不同;2多層之氦化矽膜。 10 —種具有鈍化多層縝之半導饉装置之製造方法,其特歡 為: 在霣漿CVD法,反慝室内S力,溫度,形成氣體種類 ,形成氣涯流S比,RF功率,電極間距離之任何一種以 上之成膜條件予以變更形成多層之m化矽膜,下層之氮 -2- 214599 {锜先閱讀背面之注意事項再填"本百 .訂‘ -綠- 木紙張尺度適川、丨,w w iM规格 AT 214599 B1 Cl __D7_ 六、申功專利苑® 化矽膜之含氳董比上層之氡化矽膜之含氫貴少,且下層 之氡化矽瞋之厚度形成比上層之氡化矽膜之厚度為厚之 包含上述鈍化多層膜之成膜工程。 11如申謓專利範圍第10項之半導番装置之製造方法,其中 *在同一電漿(:70装置内将上述成膜條件之最少一種階 段性的變更以形成含氫量不問之多層氡化矽膜。 12如申諳專利範圍第10項之半導g装置之製造方法,其中 ,在同一S漿CVD装置内將上述成膜條件之最少一種連 缠性的變更以形成含氳s不同之多層m化矽膜。 {汸先«!讀背面之注意事項再填窍本百 •訂· 枝濟部中央標芊局員工消費合作社印51 ..本紙張尺度適川屮W W家^iMCNS) '(M规格(210x29?公兌)
TW080108043A 1990-10-15 1991-10-12 TW214599B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP27555790 1990-10-15

Publications (1)

Publication Number Publication Date
TW214599B true TW214599B (zh) 1993-10-11

Family

ID=17557110

Family Applications (1)

Application Number Title Priority Date Filing Date
TW080108043A TW214599B (zh) 1990-10-15 1991-10-12

Country Status (2)

Country Link
US (1) US5306946A (zh)
TW (1) TW214599B (zh)

Families Citing this family (367)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE69433244T2 (de) * 1993-08-05 2004-07-29 Matsushita Electric Industrial Co., Ltd., Kadoma Herstellungsverfahren für Halbleiterbauelement mit Kondensator von hoher dielektrischer Konstante
JP2682403B2 (ja) * 1993-10-29 1997-11-26 日本電気株式会社 半導体装置の製造方法
US5500312A (en) * 1994-10-11 1996-03-19 At&T Corp. Masks with low stress multilayer films and a process for controlling the stress of multilayer films
US5883001A (en) * 1994-11-07 1999-03-16 Macronix International Co., Ltd. Integrated circuit passivation process and structure
US6004875A (en) * 1995-11-15 1999-12-21 Micron Technology, Inc. Etch stop for use in etching of silicon oxide
US6323139B1 (en) * 1995-12-04 2001-11-27 Micron Technology, Inc. Semiconductor processing methods of forming photoresist over silicon nitride materials
US6300253B1 (en) 1998-04-07 2001-10-09 Micron Technology, Inc. Semiconductor processing methods of forming photoresist over silicon nitride materials, and semiconductor wafer assemblies comprising photoresist over silicon nitride materials
US5926739A (en) 1995-12-04 1999-07-20 Micron Technology, Inc. Semiconductor processing method of promoting photoresist adhesion to an outer substrate layer predominately comprising silicon nitride
JPH09205185A (ja) 1996-01-26 1997-08-05 Mitsubishi Electric Corp 半導体装置および半導体装置の製造方法
EP1387394A3 (en) 1997-04-15 2004-04-07 STMicroelectronics S.r.l. Process of final passivation of integrated circuit devices
EP0872879A1 (en) * 1997-04-15 1998-10-21 STMicroelectronics S.r.l. Process of final passivation of an integrated circuit device
US6090686A (en) 1997-06-18 2000-07-18 Lucent Technologies, Inc. Locos isolation process using a layered pad nitride and dry field oxidation stack and semiconductor device employing the same
US6316372B1 (en) 1998-04-07 2001-11-13 Micron Technology, Inc. Methods of forming a layer of silicon nitride in a semiconductor fabrication process
US6635530B2 (en) 1998-04-07 2003-10-21 Micron Technology, Inc. Methods of forming gated semiconductor assemblies
US5985771A (en) 1998-04-07 1999-11-16 Micron Technology, Inc. Semiconductor wafer assemblies comprising silicon nitride, methods of forming silicon nitride, and methods of reducing stress on semiconductive wafers
US6929831B2 (en) * 2001-09-15 2005-08-16 Trikon Holdings Limited Methods of forming nitride films
JP3637332B2 (ja) * 2002-05-29 2005-04-13 株式会社東芝 半導体装置及びその製造方法
US6815234B2 (en) * 2002-12-31 2004-11-09 Infineon Technologies Aktiengesellschaft Reducing stress in integrated circuits
US7247582B2 (en) * 2005-05-23 2007-07-24 Applied Materials, Inc. Deposition of tensile and compressive stressed materials
US20070012661A1 (en) * 2005-07-13 2007-01-18 Vasilyeva Irina V Silicon nitride passivation layers having oxidized interface
JP4400636B2 (ja) * 2007-03-01 2010-01-20 株式会社豊田中央研究所 バリア膜及びバリア膜の製造方法
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US20120097639A1 (en) * 2009-07-06 2012-04-26 Sharp Kabushiki Kaisha Contact-hole forming method
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8563095B2 (en) * 2010-03-15 2013-10-22 Applied Materials, Inc. Silicon nitride passivation layer for covering high aspect ratio features
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US9018093B2 (en) * 2013-01-25 2015-04-28 Asm Ip Holding B.V. Method for forming layer constituted by repeated stacked layers
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10391530B2 (en) 2013-09-27 2019-08-27 The Regents Of The University Of California Liquid-repellent surfaces made of any materials
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
WO2018067136A1 (en) * 2016-10-05 2018-04-12 Hewlett-Packard Development Company, L.P. Insulated sensors
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
TW202405221A (zh) 2018-06-27 2024-02-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210089079A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 채널형 리프트 핀
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5519850A (en) * 1978-07-31 1980-02-12 Hitachi Ltd Semiconductor
US4446194A (en) * 1982-06-21 1984-05-01 Motorola, Inc. Dual layer passivation
JPS601846A (ja) * 1983-06-18 1985-01-08 Toshiba Corp 多層配線構造の半導体装置とその製造方法
JPS61280638A (ja) * 1985-06-06 1986-12-11 Toshiba Corp 半導体装置の製造方法
US4723197A (en) * 1985-12-16 1988-02-02 National Semiconductor Corporation Bonding pad interconnection structure
JPH084109B2 (ja) * 1987-08-18 1996-01-17 富士通株式会社 半導体装置およびその製造方法

Also Published As

Publication number Publication date
US5306946A (en) 1994-04-26

Similar Documents

Publication Publication Date Title
TW214599B (zh)
TW405223B (en) Method for avoiding the poisoning at the trench of the dual damascene structure and the dielectric hole
TWI246117B (en) Bilayer HDP CVD/PE CVD cap in advanced BEOL interconnect structures and method thereof
TWI228790B (en) Integrated circuit and fabrication method thereof and electrical device
TWI277131B (en) Semiconductor device and method for forming the same
TW200809971A (en) Methods to form SiCOH or SiCNH dielectrics and structures including the same
TW517330B (en) Capacitor of semiconductor device and its manufacturing method
TWI323002B (en) Semiconductor device and manufacturing method thereof
TW200539422A (en) Semiconductor device with flowable insulation layer formed on capacitor and method for fabricating the same
US8129269B1 (en) Method of improving mechanical properties of semiconductor interconnects with nanoparticles
JP2012104551A (ja) 半導体記憶装置及びその製造方法
JP2012080094A (ja) 半導体記憶装置及びその製造方法
TW200403713A (en) MIM capacitor with metal nitride electrode materials and method of formation
TW200525563A (en) Integrated capacitor
TW200411765A (en) Improved etch stop layer
TW406332B (en) Insulating layer of semiconductor device
TW412865B (en) Method for fabricating capacitors with hemispherical grains
TWI242247B (en) Method for manufacturing a semiconductor device
TW425696B (en) Semiconductor memory device having capacitor encapsulated with multiple layers and method of manfacturing the same
TWI238490B (en) Heterogeneous low k dielectric
JP2002141424A (ja) 半導体素子のキャパシタ製造方法
JP2022546304A (ja) 薄膜トランジスタ用の窒素リッチな窒化ケイ素膜
CN112750834A (zh) 半导体存储器件和包括其的设备
JP2002164351A (ja) 自己整合型銅キャップ拡散障壁形成方法
JPH08298260A (ja) 誘電体及びその製造方法並びに半導体装置