TWI405295B - 基板處理裝置及方法 - Google Patents

基板處理裝置及方法 Download PDF

Info

Publication number
TWI405295B
TWI405295B TW097123282A TW97123282A TWI405295B TW I405295 B TWI405295 B TW I405295B TW 097123282 A TW097123282 A TW 097123282A TW 97123282 A TW97123282 A TW 97123282A TW I405295 B TWI405295 B TW I405295B
Authority
TW
Taiwan
Prior art keywords
chamber
plate
support
upper chamber
process space
Prior art date
Application number
TW097123282A
Other languages
English (en)
Other versions
TW200908203A (en
Inventor
Chun-Sik Kim
Gyeong-Hoon Kim
Original Assignee
Advanced Display Proc Eng Co
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from KR1020070081382A external-priority patent/KR100898019B1/ko
Priority claimed from KR1020070096099A external-priority patent/KR100915797B1/ko
Application filed by Advanced Display Proc Eng Co filed Critical Advanced Display Proc Eng Co
Publication of TW200908203A publication Critical patent/TW200908203A/zh
Application granted granted Critical
Publication of TWI405295B publication Critical patent/TWI405295B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67126Apparatus for sealing, encapsulating, glassing, decapsulating or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers

Description

基板處理裝置及方法
本文所描述之一個或多個實施例係關於處理包括半導體基板之基板。
使用多種製程製造平板顯示器和半導體裝置。兩類裝置之製造製程非常類似,並且在一保持為真空或大氣狀態之製程室中進行。
該製程室包括一位於一下室上方之上室,並且包括一在製程期間從外部密封之製程空間。在該製程空間中提供一支撐構件和一噴淋頭。在該製程期間,一基板被放置在該製程空間中一支撐構件上,並且經由一噴淋頭將一電漿氣體供應至該基板。在該製程使用電漿之情況下,藉由一另外之電漿產生構件從該製程氣體產生電漿。
為維護之目的,進行一定次數製程之後應對該製程室內部進行修理或檢查。為允許修理,一種類型之製程室包括一用於打開/關閉該上室之裝置。藉由該上室上方提供之一吊鈎或藉由使用在該製程室之一側部提供之一另外之打開/關閉裝置打開該上室。
因而本發明之一目標係提供一種基板處理裝置,該基板處理裝置使用一簡單打開/封閉方法並且同時還用於打開和封閉一製程空間之方法。
本發明之另一目標係提供一種可使安裝佔用面積最小之基板處理裝置,並且同時提供一種可打開和關閉一製程空間之方法。
本發明另外之優點、目標及特徵部分藉由隨後之說明闡述,且藉由分析隨後之說明或經由實踐本發明,熟悉該技藝之人士將明白部分本發明另外之優點、目標及特徵。
根據本發明之一方面,提供一種基板處理裝置,其包括:一 下室;一上室,該上室設置在該下室上方,以在製程期間與該下室在其中形成一製程空間,其中該製程空間從外部被密封;一支撐單元,其支撐該上室從而在該上室與該下室之間形成一間隙;以及一真空單元,其藉由保持該製程空間處於一真空狀態而密封該製程空間。
該基板處理裝置可進一步包括一在該上室與該下室之間形成之間隙中提供之密封構件,用以在該真空狀態期間封閉該間隙。
該支撐單元可包括一由彈性材料製成之支撐軸,藉由該支撐軸一端與該上室連接而另一端與一上板連接,該支撐單元支撐該上室。
另外,該支撐單元可進一步包括:一下板,其設置於該上板下方;以及一連接構件,其使該上板和該下板彼此連接,並且限制該上板以便允許該上板相對該下板移動。
另外,該支撐單元可進一步包括一設置於該上板與該下板之間之彈性構件。
該支撐單元可進一步包括一被插入靠近該下室之一上表面之該上室之一下表面中之活塞,其中該活塞包括一在自該上室之下表面凸出之狀態下支撐該上室之支撐體。
該支撐單元可進一步包括一沿一方向相對該下室水平移動該上室之水平移動構件,其中該上室之下表面和該下室之上表面彼此面對,並且沿該一方向彼此平行傾斜,並且該傾斜方向可從向該下室移動之該上室之前端至後端向下傾斜。
該支撐單元可進一步包括一被插入靠近該下室之上表面之該上室之下表面內之活塞,其中該活塞包括一支撐體,該支撐體在其自該上室之下表面凸出之狀態下對準向該下室移動之該上室之位置。
該支撐單元可進一步包括一旋轉構件,以藉由旋轉該上室使該上室之下表面朝上。
根據本發明之另一方面,提供一種在具有上室和下室之基板 處理裝置中打開/關閉一製程空間之方法,其包括:在該下室上方提供該上室,以便在該上室與該下室之間形成一間隙;以及在該上室和該下室內形成之製程空間被保持在一真空狀態之後封閉該製程空間。
在該真空狀態期間可藉由使用在該上室與該下室之間形成之間隙中設置之一密封構件而封閉該製程空間。
另外,可藉由開啟該真空而打開該製程空間。
該支撐單元可包括一由彈性材料製成之支撐軸,該支撐軸藉由與該上室連接之一端支撐該上室。
該支撐單元可進一步包括一與該支撐軸之另一端連接之上板、一在該上板下方提供之下板以及一在該上板與該下板之間提供之彈性構件。
該基板處理裝置可進一步包括一在該上室與該下室之間形成之間隙中提供之密封構件,用以在該真空狀態期間封閉該間隙。
該基板處理裝置可進一步包括一被插入靠近該下室之上表面之該上室之下表面內之活塞,其中該活塞包括一支撐體,該支撐體在其自該上室之下表面凸出之狀態下支撐該上室。
該支撐單元可進一步包括一沿一方向相對該下室水平移動該上室之水平移動構件,其中該上室之下表面與該下室之上表面彼此面對並且沿該一方向彼此平行傾斜,並且該傾斜方向可以係從向該下室移動之上室之前端至後端向下傾斜。
該基板處理裝置可進一步包括一被插入靠近該下室之上表面之該上室之下表面內之活塞,其中該活塞包括一支撐體,該支撐體在其自該上室之下表面凸出之狀態下對準向該下室移動之該上室之位置。
該支撐單元可進一步包括一旋轉構件,以藉由旋轉該上室而使該上室之下表面朝上。
圖1示出包括一個或多個基板處理裝置10、一負荷固定室 20、及一輸送室30之一製造工具。該負荷固定室20接收一未處理之基板或排出一已處理之基板。該輸送室30可包括一用於將一待處理之基板輸送至室10或從室10輸送一已處理之基板之機器人。例如,該輸送室從該負荷固定室向該等基板處理裝置之一輸送一基板、或者從其中一裝置10向該負荷固定室輸送一已處理之基板。
圖2示出一基板處理裝置10之一實施例,且圖3示出該基板處理裝置之另一視圖。
該基板處理裝置包括上室120和下室140。在進行一製程期間該上室放置在該下室上。然而,當要修理該上室和下室時,該上室可自該下室分開。該上室和該下室內形成一製程空間。在該空間中在該基板上進行製程。在此期間可保持該製程空間處於一真空狀態。
在該製程空間中提供一支撐板150和一噴淋頭。該基板可被放置在該支撐板上,且該噴淋頭可被設置在該支撐板上方以供應製程氣體。較佳地該支撐板接地並且用一上電極132在該支撐板上方產生電漿。
該噴淋頭包括上電極132、一噴霧板134、及一垂直軸136。該垂直軸之一下端與該上電極連接,而該垂直軸之一上端與一供應管138和一RF發生器139連接。藉由一閥138a打開或關閉該供應管138,並且該供應管138向該上電極與噴霧板之間的空間供應源氣體。該RF發生器例如在13.56MHz下工作並且與該上電極連接。在該製程期間,源氣體經由噴霧板134供應至支撐板150上方。於是,藉由在該上電極與支撐板之間形成之一電場產生電漿。然後在該製程中使用該電漿。
該上室120由一支撐單元200支撐。該支撐單元包括一支撐軸220、一旋轉構件240、一上板260及一水平移動構件280。該支撐單元支撐該下室140上的上室,從而在該上室與該下室140之間形成一間隙。該旋轉構件被固定至該上室之兩側。該支撐軸 之一端與該旋轉構件連接,而另一端固定至該上板260。該水平移動構件設置在該上板之一下端,並且水平移動該上室120。
在該上室與下室之間提供一密封構件160。更具體而言,在該下室140之一上表面上提供該密封構件,並且在該等上室與下室之間形成一間隙。如圖2所示,該密封構件自該上室之下表面隔開,並且當在該製程空間中形成真空狀態時該密封構件被加壓以自外部密封該製程空間。
再參照圖2,一活塞180被插入該上室120之下表面中。該活塞包括一被插入該上室中的凹部182和一被插入該凹部中的球184。該球可被插入該凹部中或可從該凹部凸出。可以藉由如將空氣供應至該凹部中或從該凹部去除空氣以便加壓或移動該球等各種方法驅動該球。如圖2所示,在該製程空間未被關閉之狀態下,該球可自該凹部182凸出並且與該下室140之上表面接觸以支撐該上室。
一排氣管192與該下室140之一下部連接,並且在該排氣管上設置一泵194,以便藉由經該排氣管排出該製程空間中的氣體而保持該製程空間處於一真空狀態。該排氣管藉由一閥192a打開或關閉。
如圖3所示,該上室120之下表面和該下室140之上表面可沿該上室之移動方向傾斜一預定角度(θ)。該傾斜方向係從向該下室140移動之該上室120之前端至後端向下傾斜。
圖4示出利用一真空單元封閉圖2之基板處理裝置之一製程空間之一操作。還將參照圖4說明封閉該基板處理裝置之製程空間之一相應方法。
首先,當在球184已被插入凹部182中的狀態下氣體經排氣管192排至該製程空間外部時,該製程空間之內部壓力降低至低於外部壓力。結果是,基於內外壓力之差而加壓該上室120和該下室140。
施加至下室140之壓力方向與重力方向相反。施加至上室120 之壓力與重力方向相同。從而,施加至該下室之壓力可被重力抵消。然而,施加至上室之壓力與重力疊加。從而,該上室向下移動,並且藉由該上室和該下室之接合或上室120與密封構件160之間之接觸而封閉該上室與該下室之間之間隙。
當該真空被開啟時,在該製程空間的內部與外部之間不存在壓差。從而,該上室可恢復至其初始位置。接著,打開該上室與該下室之間之間隙。可藉由該支撐軸220或上室120之彈性變形進行此一操作。該支撐軸可由一彈性材料製成以支撐該上室之移動。(彈性變形與塑性變形相反。在彈性變形中,一樣品之變形和應變基於所施加之負載、例如恆定負載之大小而確定。當去除該負載時,樣品恢復至其初始形狀,而不會有任何永久殘餘變形。)
圖5a、圖5b和圖6示出圖3之一水平移動構件280和一旋轉構件240之一操作。該水平移動構件設置在上板260之一底表面上,並且例如沿一另外之導軌移動該上板和支撐軸220。如圖5a所示,該水平移動構件利用該支撐軸水平向右移動該上室120。該上室之下表面與該下室之上表面傾斜。從而,可以移動該上室而不會在該上表面與該下表面之間產生碰撞。上室120移動至所需位置之後,旋轉構件240使得該上室120之下表面由於該上室之旋轉而面向上。
當該上室在該下室上移動時,水平移動構件280水平向左移動該上室,如圖6所示。此時,當該上室之前部或後部向下下垂時,該上室和該下室可能碰撞。從而,藉由從凹部182凸出球184並且沿該下室之上表面移動該球而對準該上室之位置。換句話說,當該球沿該下室之上表面移動時,上室120順時針或逆時針旋轉,從而防止該上室之前部或後部下垂。
圖7示出基板處理裝置10之另一實施例,並且圖8示出使用排氣管192關閉該裝置之製程空間之一操作。
如所示,支撐單元200包括一下板270、一連接構件262及一彈性構件264。該下板設置在上板260下方並且與該上板260平 行。該連接構件將該上板與該下板連接,並且限制該上板以允許該上板相對該下板移動。在該連接構件上提供彈性構件264。在先前實施例中,藉由該支撐軸或上室之彈性變形封閉該製程空間。然而,在該實施例中,係藉由該另外之彈性構件264之變形封閉該製程空間。
當經由排氣管192從該製程空間排出氣體時,上室120向下移動。從而,上板260向下板270移動(圖8)並且彈性構件264被壓縮。於是,藉由該上室與該下室之接合或藉由該上室與密封構件160之間之接觸封閉該上室與該下室之間之間隙。
當該真空被開啟時,該彈性構件恢復至一初始狀態並且同時該上室與上板恢復至其初始位置,從而該上室與該下室之間之間隙被打開。
圖9示出用於打開圖7之基板處理裝置之製程空間之一操作。在先前實施例中,被壓縮之彈性構件264恢復,並且同時上室120和上板260恢復至其初始位置。然而,在該實施例中,藉由彈性構件264之一彈性力和一汽缸290之一驅動力使該上室和上板恢復至其初始位置。換句話說,藉由開啟真空而引起的該彈性構件之彈性力和該汽缸之驅動力被施加至上室120和上板260。從而,該上室和上板恢復至其初始位置,於是打開該上室與該下室之間之間隙。
圖10示出一基板處理裝置之另一實施例,並且圖11示出該裝置之另一視圖。基板處理裝置之該實施例包括上室320和下室340。在製程期間該上室被放置在該下室上。然而,當修理該上室和該下室之內部時該上室可自該下室分開。在該上室和該下室內形成一製程空間。當該製程空間被保持為一真空狀態時,在該製程空間中在該基板上進行處理。
在該製程空間中提供一支撐板350和一噴淋頭。該基板被放置在該支撐板上,並且該噴淋頭被設置在該支撐板350上方以供應製程氣體。該支撐板接地並且用一上電極332在該支撐板上方 產生電漿。該噴淋頭包括一上電極332、一噴霧板334及一垂直軸336。該垂直軸之下端與該上電極連接,並且該垂直軸之上端與一供應管338和一RF發生器339連接。藉由一閥338a打開或關閉該供應管338,以向該上電極與噴霧板之間之空間供應源氣體。該RF發生器例如在13.56MHz下工作並且與上電極332連接。在處理期間,源氣體經由該噴霧板334被供應至支撐板350上方。於是,藉由在該上電極與支撐板之間形成之一電場產生電漿。接著在該製程中使用該電漿。
該上室320由一第一支撐單元400支撐,該第一支撐單元400包括一第一支撐軸420、一旋轉構件440、一第一上板460及一水平移動構件480。該第一支撐單元支撐該下室340上的上室320,從而在該上室320與該下室340之間形成一間隙。該旋轉構件被固定至該上室之兩側。該第一支撐軸之一端與該旋轉構件連接,而另一端固定至該第一上板460。該水平移動構件設置在該第一上板之一下端,並且水平移動該上室320。
該下室340由一第二支撐單元支撐,該第二支撐單元包括一第二支撐軸342、一第二上板344、一彈性構件346及一下板348。該第二支撐單元支撐上室320下方的下室340,從而在該上室320與該下室340之間形成一間隙。該第二支撐軸之一端與該第二上板連接,並且另一端固定至該下室。該下板設置在該第二上板之下端並且與該第二上板平行。該彈性構件346被設置在該第二上板344與下板348之間。
在上室320與下室340之間提供一密封構件360。更具體而言,在該下室之一上表面上提供該密封構件,並且在該上室與該下室之間形成一間隙。如圖10所示,該密封構件自該上室之下表面隔開。然而,當在該製程空間中形成真空時,向該上室之下表面加壓該密封構件以自外部密封該製程空間。
參照圖10,一活塞380被插入該上室之下表面中。該活塞包括一被插入該上室中的凹部382和一被插入該凹部382中的球 384。該球可被插入該凹部中或從該凹部凸出。可以藉由例如將空氣供應到該凹部中或從該凹部去除空氣來加壓該球等各種方法驅動該球。如圖10所示,在該製程空間未被封閉之狀態下,該球凸出凹部382並且與下室340之上表面接觸,從而在該上室與下室之間形成一預定尺寸之間隙。
一排氣管392與下室340之一下部連接,並且在排氣管392上提供一泵394。該泵藉由經該排氣管排出該製程空間中的氣體而保持該製程空間處於一真空狀態。該排氣管藉由一閥392a打開或關閉。
另一方面,如圖11所示,該上室之下表面和該下室之上表面沿該上室之移動方向傾斜一預定角度(θ)。該傾斜方向係從向該下室340移動之上室320之前端至後端向下傾斜。在其他實施例中,該角度可以不同。
圖12示出利用排氣管392關閉該基板處理裝置30之製程空間之操作。下面還將參照圖12說明關閉一基板處理裝置之製程空間之一方法之一實施例。
首先,在球384已被插入凹部382中的狀態下,當氣體經排氣管392排出至該製程空間外部時,該製程空間的內部壓力降低至低於外部壓力。從而,藉由內外壓力之差加壓上室320和下室340。
此時,該上室被第一支撐軸420限制從而不能沿一垂直方向移動。另一方面,可藉由彈性構件346沿垂直方向自由移動下室340,從而藉由壓力向上移動該下室。於是藉由該上室與該下室之間之接合或者由於上室320與密封構件360之間接觸而封閉該上室與該下室之間之一間隙。
當該真空開啟時,該製程空間的內部與外部之間不存在壓差。從而,該上室可恢復至其初始位置(向下移動),並且打開該上室與該下室之間之間隙。可藉由彈性構件346之彈性變形進行此一操作。(如前所述,彈性變形與塑性變形相反。在彈性變形中, 樣品之變形和應變根據一恆定負載之大小而確定。當去除該負載時,該樣品恢復到初始形狀,而不會有任何永久殘餘變形。)
圖13a、圖13b和圖14示出圖11之水平移動構件480和旋轉構件440之操作。該水平移動構件設置在該第一上板460之一底表面上。該水平移動構件可例如沿一另外之導軌移動該第一上板和第一支撐軸420。
如圖13a所示,該水平移動構件利用第一支撐軸420水平向右移動該上室320。此時,該上室之下表面與該下室之上表面傾斜。從而,可以移動該上室而不會在該上表面與該下表面之間產生碰撞。在上室移動到所需位置之後,旋轉構件440使得該上室之下表面由於該上室之旋轉而面向上。
當該上室在該下室上移動時,該水平移動構件480水平向左移動該上室,如圖14所示。此時,當該上室320之前部或後部向下下垂時,該上室和該下室可能彼此碰撞。從而,藉由從凹部382凸出球384並且沿該下室之上表面移動該球而對準該上室之位置。換句話說,當該球沿該下室之上表面移動時,該上室320順時針或逆時針旋轉,從而防止該上室320之前部或後部下垂。
於是,因此本文描述之實施例提供一種基板處理裝置,該基板處理裝置使用一簡單打開/封閉方法並且同時還可被用於打開和封閉一製程空間。該等實施例還提供一種可使安裝佔用面積最小之基板處理裝置,並且提供一種同時可打開和關閉一製程空間之方法。
根據一實施例,一基板處理裝置包括:一下室;一上室,該上室設置在該下室上方,以在製程期間與該下室在其中形成一製程空間,其中該製程空間從外部被密封;一支撐單元,其支撐該上室從而在該上室與該下室之間形成一間隙;以及一真空單元,其藉由保持該製程空間處於一真空狀態而密封該製程空間。
該基板處理裝置可進一步包括一在該上室與該下室之間形成之間隙中提供之密封構件,以在該真空狀態期間封閉該間隙。該 支撐單元可包括一由彈性材料製成之支撐軸,藉由該支撐軸一端與該上室連接而另一端與一上板連接該支撐單元支撐該上室。
另外,該支撐單元可包括:一下板,其設置於該上板下方;以及一連接構件,其使該上板和該下板彼此連接,並且限制該上板以便允許該上板相對該下板移動。另外,該支撐單元可進一步包括一設置於該上板與該下板之間之彈性構件。
該支撐單元可進一步包括一被插入靠近該下室之一上表面之該上室之一下表面之中活塞,其中該活塞包括一在自該上室之下表面凸出之狀態下支撐該上室之支撐體。
該支撐單元可進一步包括一沿一方向相對該下室水平移動該上室之水平移動構件,其中該上室之下表面和該下室之上表面彼此面對,並且沿該一方向彼此平行傾斜,並且該傾斜方向可從向該下室移動之該上室之前端至後端向下傾斜。
該支撐單元可進一步包括一被插入靠近該下室之上表面之該上室之下表面內之活塞,其中該活塞包括一支撐體,該支撐體在自該上室之下表面凸出之狀態下對準向該下室移動之該上室之位置。該支撐單元可進一步包括一旋轉構件,以藉由旋轉該上室使該上室之下表面面向上。
根據另一實施例,在一具有上室和下室之基板處理裝置中打開/關閉一製程空間之方法包括:在該下室上方提供該上室,以便在該上室與該下室之間形成一間隙;以及在該上室和該下室內形成之製程空間被保持在一真空狀態之後封閉該製程空間。在該真空狀態期間可藉由使用在該上室與該下室之間形成之間隙中設置之一密封構件而封閉該製程空間。另外,可藉由開啟該真空而打開該製程空間。
該支撐單元可包括一由彈性材料製成之支撐軸,該支撐軸藉由與該上室連接之一端支撐該上室。該支撐單元可進一步包括一與該支撐軸之另一端連接之上板、一在該上板下方提供之下板以及一在該上板與該下板之間提供之彈性構件。
該基板處理裝置可進一步包括一在該上室與該下室之間形成之間隙中提供之密封構件,以在該真空狀態期間封閉該間隙。
該基板處理裝置可進一步包括一被插入靠近該下室之上表面之該上室之下表面內之活塞,其中該活塞包括一支撐體,該支撐體在自該上室之下表面凸出之狀態下支撐該上室。
該支撐單元可進一步包括一沿一方向相對該下室水平移動該上室之水平移動構件,其中該上室之下表面與該下室之上表面彼此面對並且沿該一方向彼此平行傾斜,並且該傾斜方向可以係從向該下室移動之上室之前端至後端向下傾斜。
該基板處理裝置可進一步包括一被插入靠近該下室之上表面之該上室之下表面內之活塞,其中該活塞包括一支撐體,該支撐體在自該上室之下表面凸出之狀態下對準向該下室移動之該上室之位置。該支撐單元可進一步包括一旋轉構件,以藉由旋轉該上室而使該上室之下表面面向上。
本文說明之實施例可實現一個或多個以下效果。可藉由使用簡單的打開/封閉方法打開/封閉該製程空間使所佔用之安裝面積最小。
在該說明書中任何關於“一個實施例”、“一實施例”、“實例實施例”等意味著結合該實施例說明之特定特徵、結構或特性被包括在本發明之至少一個實施例中。該說明書中各位置出現之該等短語未必都涉及同一實施例。另外,當結合任何實施例說明特定特徵、結構或特性時,其服從該特定特徵、結構或特性在該領域之技術人員結合該等實施例之其他一些實現該特徵、結構或特性之範圍內。
儘管已參照許多圖解之實施例說明本發明之實施例,但應瞭解該領域之技術人員可設計許多其他變形例和實施例,該等變形例和實施例皆處於本發明之精神和原理之範圍內。更特定言之,在不偏離本發明之精神之前提下,在上述揭示內容、附圖和所附權利要求書之範圍內對主題組合佈置的組成部件及/或佈置進行合 理的變化和變形係可能的。除了組成部件及/或佈置之變化和變形外,對該領域之技術人員來說選擇使用亦係顯而易見的。
10‧‧‧基板處理裝置
20‧‧‧負荷固定室
30‧‧‧輸送室
120‧‧‧上室
132‧‧‧上電極
134‧‧‧噴霧板
136‧‧‧垂直軸
138‧‧‧供應管
138a‧‧‧閥
139‧‧‧RF發生器
140‧‧‧下室
150‧‧‧支撐板
160‧‧‧密封構件
180‧‧‧活塞
182‧‧‧凹部
184‧‧‧球
192‧‧‧排氣管
192a‧‧‧閥
194‧‧‧泵
200‧‧‧支撐單元
220‧‧‧支撐軸
240‧‧‧旋轉構件
260‧‧‧上板
262‧‧‧連接構件
264‧‧‧彈性構件
270‧‧‧下板
280‧‧‧水平移動構件
290‧‧‧汽缸
320‧‧‧上室
332‧‧‧上電極
334‧‧‧噴霧板
336‧‧‧垂直軸
338‧‧‧供應管
338a‧‧‧閥
339‧‧‧RF發生器
340‧‧‧下室
342‧‧‧第二支撐軸
344‧‧‧第二上板
346‧‧‧彈性構件
348‧‧‧下板
350‧‧‧支撐板
360‧‧‧密封構件
380‧‧‧活塞
382‧‧‧凹部
384‧‧‧球
392‧‧‧排氣管
392a‧‧‧閥
394‧‧‧泵
400‧‧‧第一支撐單元
420‧‧‧支撐軸
440‧‧‧旋轉構件
460‧‧‧旋轉構件
480‧‧‧水平移動構件
圖1係示出包括一基板處理裝置之一製造工具之示圖。
圖2係示出一基板處理裝置之一實施例之一圖示。
圖3係示出圖2之基板處理裝置之另一視圖之一圖示。
圖4係示出使用一真空單元封閉圖2之基板處理裝置之一製程空間之一操作的圖示。
圖5a、圖5b和圖6係示出圖3之基板處理裝置之水平移動構件和旋轉構件之操作之一圖示。
圖7係示出一基板處理裝置之另一實施例之一圖示。
圖8係示出使用一真空單元封閉圖7之基板處理裝置之一製程空間之一操作的圖示。
圖9係示出用於打開圖7之基板處理裝置之製程空間之一操作的圖示。
圖10係一基板處理裝置之另一實施例之一圖示。
圖11係示出圖10之基板處理裝置之另一視圖之一圖示。
圖12係示出使用一真空單元封閉圖10之基板處理裝置之一製程空間之一操作的圖示。
圖13a、圖13b和圖14係示出圖11之水平移動構件和旋轉構件之操作之圖示。
120‧‧‧上室
132‧‧‧上電極
134‧‧‧噴霧板
136‧‧‧垂直軸
138‧‧‧供應管
138a‧‧‧閥
139‧‧‧RF發生器
140‧‧‧下室
150‧‧‧支撐板
160‧‧‧密封構件
180‧‧‧活塞
182‧‧‧凹部
184‧‧‧球
192‧‧‧排氣管
192a‧‧‧閥
194‧‧‧泵
220‧‧‧支撐軸
240‧‧‧旋轉構件
260‧‧‧上板
280‧‧‧水平移動構件

Claims (17)

  1. 一種基板處理裝置,其包括:一第一室;一第二室,其設置於該第一室上方以在該第一室與該第二室之間形成一製程空間;一支撐單元,其支撐該第二室以在該第一室與該第二室之間形成一間隙;一真空單元,其使該製程空間處於一真空狀態,該製程空間以真空狀態密封;以及一密封構件,其被設置在該間隙中,以在該真空狀態期間封閉該間隙。
  2. 如申請專利範圍第1項所述之裝置,其中該支撐單元包括:一支撐軸,其一第一端與該第二室接合,以支撐該第二室;以及一第一板,其與該支撐軸之一第二端連接,其中該支撐軸由一彈性材料製成。
  3. 如申請專利範圍第2項所述之裝置,其中該支撐單元進一步包括:一第二板,其靠近該第一板;以及一連接構件,其使該第一板與該第二板彼此連接並且限制該第一板以允許該第一板相對該第二板移動。
  4. 如申請專利範圍第3項所述之裝置,該裝置進一步包括一設置在該第一板與該第二板之間之彈性構件。
  5. 如申請專利範圍第2項所述之裝置,該裝置進一步包括:一活塞,其被插入靠近該第一室之一第二面之該第二室之一第一面中,該活塞包括一自該第二室之第一面凸出之支撐體。
  6. 如申請專利範圍第1項所述之裝置,其中該支撐單元進一步包括: 一水平移動構件,其沿一方向相對該第一室水平移動該第二室,其中該第二室之第一面與該第一室之第二面彼此面對且沿該一方向彼此平行傾斜。
  7. 如申請專利範圍第6項所述之裝置,該裝置進一步包括:一活塞,其被插入靠近該第一室之第二面之該第二室之第一面中,該活塞包括一支撐體,該支撐體對準向該第一室移動之該第二室之一位置並自該第二室之第一面凸出。
  8. 如申請專利範圍第6項所述之裝置,其中該支撐單元進一步包括一旋轉構件,以藉由旋轉該第二室使該第二室之第一面面向上。
  9. 如申請專利範圍第1項所述之裝置,其中該支撐單元包括一支撐軸,該支撐軸之一第一端與該第二室連接以支撐該第二室。
  10. 如申請專利範圍第9項所述之裝置,其中該支撐單元進一步包括:一第一板,其與該支撐軸之一第二端連接;一第二板,其靠近該第一板設置;以及一彈性構件,其設置在該第一板與該第二板之間。
  11. 如申請專利範圍第9項所述之裝置,該裝置進一步包括:一活塞,其被插入靠近該第一室之一第二面之該第二室之一第一面中,該活塞包括一自該第二室之第一面凸出之支撐體以支撐該第二室。
  12. 如申請專利範圍第9項所述之裝置,其中該支撐單元進一步包括一沿一方向相對該第一室水平移動該第二室之水平移動構件,其中該第二室之第一面與該第一室之第二面彼此面對,並且沿該一方向彼此平行傾斜。
  13. 如申請專利範圍第12項所述之裝置,其進一步包括:一活塞,其被插入靠近該第一室之第二面之該第二室之第一面中,該活塞包括一支撐體,該支撐體對準向該第一室移動之該 第二室之一位置並自該第二室之第一面凸出。
  14. 如申請專利範圍第12項所述之裝置,該裝置進一步包括一旋轉構件,以藉由旋轉該第二室使該第二室之第一面面向上。
  15. 一種打開/封閉具有第一和第二室之一基板處理裝置中一製程空間之方法,該方法包括:在該第一室上方設置該第二室以在該第一室與該第二室之間形成一間隙;以及在該第一室與該第二室內形成之一製程空間被保持在一真空狀態之後封閉該製程空間。
  16. 如申請專利範圍第15項所述之方法,其中在該真空狀態期間利用一在該第一室與該第二室之間之間隙中設置之密封構件封閉該製程空間。
  17. 如申請專利範圍第15項所述之方法,其中藉由開啟該真空而打開該製程空間。
TW097123282A 2007-08-13 2008-06-23 基板處理裝置及方法 TWI405295B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR1020070081382A KR100898019B1 (ko) 2007-08-13 2007-08-13 기판처리장치
KR1020070096099A KR100915797B1 (ko) 2007-09-20 2007-09-20 기판처리장치 및 기판처리장치 내부의 공정공간을 개폐하는방법

Publications (2)

Publication Number Publication Date
TW200908203A TW200908203A (en) 2009-02-16
TWI405295B true TWI405295B (zh) 2013-08-11

Family

ID=40363184

Family Applications (1)

Application Number Title Priority Date Filing Date
TW097123282A TWI405295B (zh) 2007-08-13 2008-06-23 基板處理裝置及方法

Country Status (2)

Country Link
US (1) US20090047433A1 (zh)
TW (1) TWI405295B (zh)

Families Citing this family (256)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9324594B2 (en) 2010-12-22 2016-04-26 Brooks Automation, Inc. Workpiece handling modules
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) * 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
US11127610B2 (en) 2019-01-04 2021-09-21 Lam Research Corporation Split chamber assembly
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030213434A1 (en) * 2002-05-17 2003-11-20 Applied Materials, Inc. Upper chamber for high density plasma CVD
US20040103914A1 (en) * 2002-12-02 2004-06-03 Au Optronics Corp. Method for cleaning a plasma chamber
US20060054278A1 (en) * 2004-09-10 2006-03-16 Akitaka Makino Plasma processing apparatus
JP2006120974A (ja) * 2004-10-25 2006-05-11 Toray Eng Co Ltd プラズマcvd装置
US20070113787A1 (en) * 2001-12-13 2007-05-24 Tokyo Electron Limited Plasma process apparatus

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3527450B2 (ja) * 1999-12-22 2004-05-17 東京エレクトロン株式会社 処理装置
US20060071384A1 (en) * 2004-10-06 2006-04-06 Advanced Display Process Engineering Co. Ltd. Apparatus for manufacturing flat-panel display

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070113787A1 (en) * 2001-12-13 2007-05-24 Tokyo Electron Limited Plasma process apparatus
US20030213434A1 (en) * 2002-05-17 2003-11-20 Applied Materials, Inc. Upper chamber for high density plasma CVD
US20040103914A1 (en) * 2002-12-02 2004-06-03 Au Optronics Corp. Method for cleaning a plasma chamber
US20060054278A1 (en) * 2004-09-10 2006-03-16 Akitaka Makino Plasma processing apparatus
JP2006120974A (ja) * 2004-10-25 2006-05-11 Toray Eng Co Ltd プラズマcvd装置

Also Published As

Publication number Publication date
TW200908203A (en) 2009-02-16
US20090047433A1 (en) 2009-02-19

Similar Documents

Publication Publication Date Title
TWI405295B (zh) 基板處理裝置及方法
US9099298B2 (en) Substrate cleaning apparatus and substrate cleaning method
TWI670389B (zh) 允許低壓汰換工具之原子層沉積處理腔室及具有其之處理系統
US20150255316A1 (en) Substrate cleaning method, substrate cleaning apparatus and vacuum processing system
US6347918B1 (en) Inflatable slit/gate valve
US20060124155A1 (en) Technique for reducing backside particles
JP5269568B2 (ja) 基板処理装置及び基板処理装置内部の工程空間を開閉する方法
JP5351317B2 (ja) 基板処理装置
JP5190279B2 (ja) 基板処理装置
US10786837B2 (en) Method for cleaning chamber of substrate processing apparatus
TWI702383B (zh) 容器載置裝置、半導體製造裝置以及容器內環境氣體之控制方法
KR20090064114A (ko) 웨이퍼카세트 보관장치 및 그 방법
JP4010068B2 (ja) 真空処理装置及びマルチチャンバ型真空処理装置
WO2023286369A1 (ja) 真空処理装置
KR100898019B1 (ko) 기판처리장치
KR100915797B1 (ko) 기판처리장치 및 기판처리장치 내부의 공정공간을 개폐하는방법
KR100915156B1 (ko) 평판표시소자 제조장치
KR100992128B1 (ko) 액정 표시 장치용 처리 시스템
CN217214643U (zh) 一种晶圆测量机台
JP7008928B1 (ja) 基板洗浄真空乾燥装置
WO2023188121A1 (ja) ウェーハ洗浄装置及びボンディングシステム
KR20040073777A (ko) 플라즈마 세정장치 및 이를 이용한 연속적 플라즈마세정방법
KR101581765B1 (ko) 어라이너
KR20070101500A (ko) 반도체 제조설비
JP2010092914A (ja) 表面処理装置

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees