KR20100077442A - 샤워헤드 및 이를 구비하는 원자층 증착장치 - Google Patents

샤워헤드 및 이를 구비하는 원자층 증착장치 Download PDF

Info

Publication number
KR20100077442A
KR20100077442A KR1020080135374A KR20080135374A KR20100077442A KR 20100077442 A KR20100077442 A KR 20100077442A KR 1020080135374 A KR1020080135374 A KR 1020080135374A KR 20080135374 A KR20080135374 A KR 20080135374A KR 20100077442 A KR20100077442 A KR 20100077442A
Authority
KR
South Korea
Prior art keywords
injection
atomic layer
heater unit
gas
block
Prior art date
Application number
KR1020080135374A
Other languages
English (en)
Inventor
강현
신인철
Original Assignee
주식회사 케이씨텍
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 주식회사 케이씨텍 filed Critical 주식회사 케이씨텍
Priority to KR1020080135374A priority Critical patent/KR20100077442A/ko
Publication of KR20100077442A publication Critical patent/KR20100077442A/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/4557Heated nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]

Landscapes

  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

샤워헤드에서 분사되는 증착가스의 온도를 소정 온도 이상으로 유지시키고 일정하게 유지시킬 수 있는 히터 유닛이 구비된 샤워헤드 및 원자층 증착장치가 개시된다. 원자층 증착장치용 샤워헤드는, 다수의 분사홀이 형성된 분사 블록, 상기 분사 블록 상부에 구비되어 상기 분사홀로 상기 증착가스를 공급하는 유로가 되는 분사 버퍼 및 상기 분사 버퍼 내부에 구비되어 상기 분사홀을 통해 분사되는 상기 증착가스를 가열하는 히터 유닛을 포함하여 구성된다.
원자층 증착장치, atomic layer deposition apparatus, ALD, 소스가스 가열

Description

샤워헤드 및 이를 구비하는 원자층 증착장치{SHOWERHEAD AND ATOMIC LAYER DEPOSITION APPARATUS HAVING THE SAME}
본 발명은 원자층 증착장치에 관한 것으로서, 샤워헤드에서 분사되는 증착가스를 소정 온도 이상으로 가열하고 증착가스의 온도를 일정하게 유지시킬 수 있는 원자층 증착장치용 샤워헤드를 제공한다.
일반적으로, 반도체 기판이나 글래스 등의 기판 상에 소정 두께의 박막을 증착하기 위해서는 스퍼터링(sputtering)과 같이 물리적인 충돌을 이용하는 물리 기상 증착법(physical vapor deposition, PVD)과, 화학 반응을 이용하는 화학 기상 증착법(chemical vapor deposition, CVD) 등을 이용한 박막 제조 방법이 사용된다.
여기서, 화학 기상 증착법으로는 상압 화학 기상 증착법(atmospheric pressure CVD, APCVD), 저압 화학 기상 증착법(low pressure CVD, LPCVD), 플라즈마 유기 화학 기상 증착법(plasma enhanced CVD, PECVD)등이 있으며, 이 중에서 저온 증착이 가능하고 박막 형성 속도가 빠른 장점 때문에 플라즈마 유기 화학 기상 증착법이 많이 사용되고 있다.
그러나 반도체 소자의 디자인 룰(design rule)이 급격하게 미세해짐으로써 미세 패턴의 박막이 요구되었고 박막이 형성되는 영역의 단차 또한 매우 커지게 되었다. 이에 원자층 두께의 미세 패턴을 매우 균일하게 형성할 수 있을 뿐만 아니라 스텝 커버리지(step coverage)가 우수한 단원자층 증착 방법(atomic layer deposition, ALD)의 사용이 증대되고 있다.
원자층 증착 방법(ALD)은, 기체 분자들 간의 화학 반응을 이용한다는 점에 있어서 일반적인 화학 기상 증착 방법과 유사하다. 하지만, 통상의 화학 기상 증착(CVD) 방법이 다수의 기체 분자들을 동시에 프로세스 챔버 내로 주입하여 기판의 상방에서 발생된 반응 생성물을 기판에 증착하는 것과 달리, 원자층 증착 방법은 하나의 소스 물질을 포함하는 소스가스를 프로세스 챔버 내로 주입한 후 이를 퍼지(purge)하여 가열된 기판의 상부에 소스가스를 물리적으로 흡착시키고 이후 다른 소스 물질을 포함하는 소스가스를 주입함으로써 기판의 상면에서만 소스가스들이 화학 반응을 일으키도록 하여 화학 반응 생성물을 증착시킨다는 점에서 상이하다. 이러한 원자층 증착 방법을 통해 구현되는 박막은 스텝 커버리지 특성이 매우 우수하며 불순물 함유량이 낮은 순수한 박막을 구현하는 것이 가능한 장점을 갖고 있어 현재 널리 각광받고 있다.
통상적으로 원자층 증착장치는 샤워헤드 또는 서셉터가 고속으로 회전하면서 서로 다른 종류의 소스가스로 이루어진 증착가스가 분사되고, 기판이 순차적으로 증착가스를 통과하면서 기판 표면에 박막이 형성된다.
여기서, 소스가스는 열에 의해 기판에 흡착되므로, 소스가스를 가열하는 열 교환기가 증착가스 공급부에 구비되어 소스가스를 소정 온도로 가열하여 공급하게 된다. 그런데 기존의 원자층 증착장치는 소스가스가 샤워헤드로 공급되는 동안 소스가스의 온도가 강하되어 반응성이 저하되어 기판에 충분히 흡착되지 못하고 증착 속도 및 품질이 저하되는 문제점이 있다.
이러한 문제점을 해결하기 위해서 공급되는 소스가스의 온도를 유지시키기 위해서 공급라인에는 히터 재킷(jacket)이 구비된다. 그러나 히터 재킷은 프로세스 챔버의 외측에 구비되므로 샤워헤드를 통과하는 동안 소스가스의 온도 강하를 방지할 수 없다. 또한, 샤워헤드로 공급되는 소스가스의 온도를 균일하게 유지시킬 수 없으며 온도 강하가 균일하게 이루어지지 않으므로 국부적인 온도 분포가 불량하게 되고, 이로 인해 막질이 저하되는 문제점이 있다.
상술한 문제점을 해결하기 위한 본 발명의 목적은 분사되는 증착가스의 온도 강하를 방지할 수 있는 샤워헤드를 구비하는 원자층 증착장치를 제공하는 것이다.
또한, 본 발명은 분사되는 증착가스의 온도를 균일하게 유지시킬 수 있는 샤워헤드를 구비하는 원자층 증착장치를 제공하기 위한 것이다.
또한, 본 발명은 분사되는 증착가스의 온도를 정밀하게 제어할 수 있는 샤워헤드를 구비하는 원자층 증착장치를 제공하기 위한 것이다.
상술한 본 발명의 목적을 달성하기 위한 본 발명의 실시예들에 따르면, 샤워헤드에서 분사되는 증착가스의 온도를 소정 온도 이상으로 유지시키고 일정하게 유지시킬 수 있는 원자층 증착장치용 샤워헤드는, 다수의 분사홀이 형성된 분사 블록, 상기 분사 블록 상부에 구비되어 상기 분사홀로 상기 증착가스를 공급하는 유로가 되는 분사 버퍼 및 상기 분사 버퍼 내부에 구비되어 상기 분사홀을 통해 분사되는 상기 증착가스를 가열하는 히터 유닛을 포함하여 구성된다.
상기 히터 유닛은 전원이 공급되면 열을 발생시키는 발열부가 내부에 구비된 블록 형상을 가질 수 있다. 그리고 상기 히터 유닛은 상기 분사 블록과 대응되는 형상으로 형성되어 상기 분사 블록 상부에 밀착 결합되고, 상기 발열부는 상기 분사홀이 형성되지 않은 부분에 구비되도록 형성될 수 있다. 또한, 상기 히터 유닛은 상기 분사홀에서 증착가스의 분사에 영향을 미치지 않도록 상기 분사홀과 상기 분사 버퍼가 연통되도록 상기 히터 유닛을 관통하여 형성되고 상기 분사홀과 일대일로 대응되는 다수의 홀이 형성되고, 상기 발열부는 상기 분사홀이 사이를 통과하는 다수의 동심원 형태를 가질 수 있다.
한편, 상술한 본 발명의 목적을 달성하기 위한 본 발명의 다른 실시예들에 따르면, 원자층 증착장치용 샤워헤드는, 다수의 분사홀이 형성되고 서로 맞물리게 결합되는 2개의 플레이트로 형성된 분사 블록, 상기 분사 블록 상부에 구비되어 상기 분사홀로 상기 증착가스를 공급하는 유로가 되는 분사 버퍼 및 상기 분사 플레이트 사이에 구비되어 상기 분사홀을 통해 분사되는 상기 증착가스를 가열하는 히터 유닛을 포함하여 구성된다.
상기 분사 블록은 다수의 예비홀이 형성되고 서로 일정 간격 이격되게 결합 가능하게 형성된 제1 플레이트와 제2 플레이트로 이루어지고, 상기 제1 플레이트의 예비홀과 상기 제2 플레이트의 예비홀이 서로 연통되어 상기 분사 블록을 관통하는 상기 분사홀을 형성하게 된다. 그리고 상기 히터 유닛은 전원이 공급되면 열을 발생시키는 발열부를 포함하고, 상기 발열부는 상기 제1 플레이트의 예비홀과 상기 제2 플레이트의 예비홀 사이에 개재되는 다수의 열선 형태를 가질 수 있다. 또한, 상기 제1 및 제2 플레이트는 상기 히터 유닛이 구비된 내부로 상기 증착가스가 유입되지 않도록 기밀성 있게 결합 가능한 형태를 가질 수 있다.
한편, 상술한 본 발명의 목적을 달성하기 위한 본 발명의 또 실시예들에 따르면, 원자층 증착장치는, 다수의 기판이 수용되어 증착 공정이 수행되는 프로세스 챔버, 상기 프로세스 챔버 내에 구비되어 상기 다수의 기판이 수평 방향으로 안착 되며 회전 가능하게 구비된 서셉터, 상기 서셉터 상부에 구비되어 상기 기판에 박막을 증착하기 위한 증착가스를 분사하는 샤워헤드 및 상기 샤워헤드 내부에 구비되어 상기 샤워헤드에서 분사되는 상기 증착가스를 가열하는 히터 유닛를 포함하여 구성된다.
여기서, 상기 샤워헤드는, 다수의 분사홀이 형성되고 내부에 상기 분사홀로 상기 증착가스를 공급하는 유로가 되는 분사 버퍼가 형성된 분사 블록 및 상기 분사 버퍼 내부에 구비되어 상기 분사홀을 통해 분사되는 상기 증착가스를 가열하는 히터 유닛을 포함하여 구성된다.
상기 히터 유닛은 상기 분사 블록 상부에 결합되는 블록 형태 또는 상기 분사 블록 내부에 개재되는 열선 형태를 가질 수 있다. 또한, 상기 증착가스는 박막 구성하는 소스 물질을 포함하는 한 종류 이상의 소스가스와 상기 소스가스의 퍼지를 위한 퍼지가스를 포함하고, 상기 샤워헤드는 상기 증착가스 중 한 종류의 가스를 각각 분사하는 다수의 분사영역이 형성되고, 상기 히터 유닛은 상기 소스가스가 분사되는 분사영역에 구비될 수 있다.
이상에서 본 바와 같이, 본 발명에 따르면, 첫째, 샤워헤드에서 분사홀 주변에 히터 유닛이 구비되므로, 샤워헤드를 통과하는 동안 증착가스가 가열되므로 가스 공급부에서 샤워헤드로 공급되는 동안 강하된 증착가스의 온도를 보상할 수 있으며, 소스가스의 반응성을 향상시킬 수 있는 충분한 온도로 증착가스를 가열할 수 있다.
또한, 일정 온도 이상 고온으로 가열된 증착가스가 분사되므로 증착가스의 반응성을 향상시키고 증착 속도와 막질을 향상시킬 수 있다.
둘째, 히터 유닛이 샤워헤드 배치되어 증차가스를 균일하게 가열함으로써 분사되는 증착가스의 온도를 일정하게 유지시킬 수 있으며 증착가스의 온도를 정밀하게 제어할 수 있다.
또한, 기판에 분사되는 증착가스의 온도 분포가 균일하므로 기판에 증착되는 박막의 균일도를 향상시킬 수 있다.
이하 첨부된 도면들을 참조하여 본 발명의 바람직한 실시예를 상세하게 설명하지만, 본 발명이 실시예에 의해 제한되거나 한정되는 것은 아니다. 본 발명을 설명함에 있어서, 공지된 기능 혹은 구성에 대해 구체적인 설명은 본 발명의 요지를 명료하게 하기 위하여 생략될 수 있다.
이하, 도 1 내지 도 3을 참조하여 본 발명의 실시예들에 따른 원자층 증착장치(100)에 대해 상세하게 설명한다. 참고적으로, 도 1은 본 발명의 일 예에 따른 원자층 증착장치(100)의 종단면도이고, 도 2는 도 1의 원자층 증착장치(100)에서 샤워헤드(103)의 일 예를 설명하기 위한 분해 사시도이다. 도 3은 도 2의 샤워헤드(103)의 변형 실시예를 설명하기 위한 분해 사시도이다.
도 1을 참조하면, 원자층 증착장치(100)는 프로세스 챔버(101), 서셉터(102), 샤워헤드(103) 및 상기 샤워헤드(103)에서 분사되는 증차가스를 가열하고 온도를 유지시키는 히터 유닛(133)을 포함하여 구성된다.
상기 프로세스 챔버(101)는 상기 기판(10)을 수용하여 상기 기판(10) 표면에 소정의 박막을 증착하는 공간을 제공한다. 여기서, 상기 원자층 증착장치(100)는 진공에 가까운 저압 분위기에서 증착 공정이 수행되므로 상기 프로세스 챔버(101)는 진공을 유지할 수 있는 밀폐 구조를 갖는다.
상기 기판(10)은 실리콘 웨이퍼(silicon wafer)일 수 있다. 그러나 본 발명의 대상이 실리콘 웨이퍼에 한정되는 것은 아니며, 상기 기판(10)은 LCD(liquid crystal display), PDP(plasma display panel)와 같은 평판 디스플레이 장치용으로 사용하는 유리를 포함하는 투명 기판일 수 있다. 또한, 상기 기판(10)의 형상 및 크기가 도면에 의해 한정되는 것은 아니며, 원형 및 사각형 등 실질적으로 다양한 형상과 크기를 가질 수 있다.
상기 서셉터(102)는 상기 프로세스 챔버(101) 내에 구비되어 상기 다수의 기판(10)이 수평 방향으로 안착되고, 상기 기판(10)을 상기 서셉터(102)의 중심을 기준으로 공전시키도록 회전축(125)이 구비된다. 상기 서셉터(102)가 회전함에 따라 상기 기판(10)이 순차적으로 증착가스를 통과하면서 상기 기판(10) 표면에 소정의 박막이 형성된다. 예를 들어, 상기 서셉터(102)는 상기 기판(10)이 수평으로 안착될 수 있도록 평편한 상면을 갖고 소정 직경을 갖는 원형 플레이트 형태를 갖고, 상기 다수의 기판(10)이 상기 서셉터(102)의 원주 방향을 따라 방사상으로 안착된다.
그러나 상기 서셉터(102)의 형상이 원형에 한정되는 것은 아니며 실질적으로 다양한 형태를 가질 수 있으며, 상기 서셉터(102)에 안착되는 기판(10)의 수 역시 4개로 한정되는 것은 아니며 실질적으로 다양하게 변경될 수 있다.
상기 서셉터(102) 하부에는 상기 기판(10) 및 상기 서셉터(102)의 가열을 위한 하부 히터(112)가 구비된다.
상기 샤워헤드(103)는 상기 프로세스 챔버(101) 상부에 구비되어 상기 서셉터(102)에 안착된 상기 기판(10) 표면으로 증착가스의 분사를 위한 다수의 분사홀(131)이 형성된 분사 블록(130)과 상기 분사홀(131)로 증착가스를 공급하는 유로가 되는 분사 버퍼(132)로 이루어진다. 또한, 상기 분사 버퍼(132) 일측에는 상기 분사 버퍼(132)로 증착가스를 공급하는 가스 공급부(135)가 구비된다.
한편, 상기 샤워헤드(103) 내부에는 상기 분사홀(131)을 통해 분사되는 증착가스를 가열하기 위한 히터 유닛(133)이 구비된다. 또한, 상기 히터 유닛(133)은 상기 분사 블록(130)의 상부나 하부에 구비되거나, 상기 분사 블록(130) 내부에 구비될 수 있다.
예를 들어, 도 2에 도시한 바와 같이, 상기 분사 블록(310)은 다수의 예비홀(131a)이 형성된 분사 플레이트(311)로 이루어지고, 상기 히터 유닛(133)은 상기 분사 플레이트(311) 상부에 밀착 결합된다.
상기 분사 플레이트(311)는 상기 기판(10)에 대응되도록 원형 플레이트 형태를 갖고, 상기 분사 플레이트(311)의 표면에는 상기 분사 플레이트(311)를 관통하는 다수의 예비홀(131a)이 조밀하게 형성된다. 여기서, 상기 분사 플레이트(311)는 도 1에서 분사 블록(130)에 해당하고, 상기 예비홀(131a)은 도 1의 분사홀(131) 에 해당한다. 그러나 본 발명이 도면에 의해 한정되는 것은 아니며, 상기 분사 플레이트(311)는 원형뿐만 아니라 타원이나 다각형 등 실질적으로 다양한 형상을 가질 수 있다.
상기 히터 유닛(133)은 전원이 공급되면 열을 발생시키는 발열부(331)와 상기 발열부(331)에 전원을 인가하는 전원 공급부(335)로 이루어진다. 또한, 상기 히터 유닛(133)은 상기 발열부(331)가 내부에 구비되고 상기 분사 플레이트(311)와 대응되는 형태를 갖고 상기 분사 플레이트(311)에 밀착 결합 가능한 소정의 블록 형태를 갖는다. 즉, 상기 히터 유닛(133)은 상기 발열부(331) 둘레를 소정의 히터 블록(332)이 둘러싸도록, 또는 소정의 형상을 갖는 히터 블록(332) 내부에 상기 발열부(331)가 개재된 형태를 갖는다. 그리고 상기 히터 블록(332)은 상기 발열부(331)를 고정시키고 상기 히터 유닛(133)의 외관을 형성한다. 또한, 상기 히터 블록(332)은 상기 발열부(331)에서 발생하는 열로부터 상기 발열부(331) 및 상기 분사 플레이트(311)를 보호하는 역할을 한다. 또한, 상기 히터 블록(332)은 상기 발열부(331)가 증착가스에 노출되어 산화되는 것을 방지하는 역할을 한다.
여기서, 상기 히터 유닛(133)은 상기 분사 버퍼(132) 내의 증착가스를 상기 예비홀(131a)로 유입시킬 수 있도록 형성된다. 즉, 상기 히터 유닛(133)은 상기 히터 블록(332)을 관통하여 상기 예비홀(131a)과 상기 분사 버퍼(132)를 연결시키고 상기 예비홀(131a)과 일대일로 대응되도록 형성된 다수의 제1 홀(131b)이 형성된다. 한편, 상기 제1 홀(131b)은 원형 홀 이외에도 다각형 홀 또는 슬릿 형태와 같이 실질적으로 다양한 형상을 가질 수 있다.
여기서, 상기 히터 유닛(133)은 상기 발열부(331)가 상기 예비홀(131a)이 형성되지 않은 부분에 배치된다. 또한, 상기 발열부(331)는 상기 분사 플레이트(311) 전체를 소정 온도로 균일하게 가열할 수 있도록 상기 분사 플레이트(311) 상면에 균일하고 조밀하게 배치된다. 예를 들어, 상기 발열부(331)는 상기 제1 홀(131b)이 형성되지 않은 부분을 따라 형성되되, 도 2에 도시한 바와 같이, 다수의 동심원 형태로 배치될 수 있다. 그러나 본 발명이 도면에 의해 한정되는 것은 아니며, 상기 발열부(331)의 형태는 실질적으로 다양하게 변경될 수 있다.
본 발명에 따르면, 상기 히터 유닛(133)은 상기 분사 블록(310) 전체를 소정 온도로 가열하고 상기 가열된 분사 블록(310)을 통과하면서 증착가스가 소정 온도로 가열되어 분사된다. 그리고 상기 기판(10)으로 분사되는 증착가스의 온도를 일정하게 유지할 수 있다.
여기서, 상술한 실시예에서는 상기 히터 유닛(133)은 상기 분사 블록(310) 상부에 구비된 것을 예로 들어 설명하였으나, 본 발명이 도면에 의해 한정되는 것은 아니며, 상기 히터 유닛(133)은 상기 분사 블록(310)의 하부나 상기 분사 버퍼(132) 내부에 구비되는 것도 가능하다.
한편, 상기 히터 유닛(133)은 상기 분사 블록(320) 내부에 구비될 수 있다.
도 3에 도시한 바와 같이, 상기 분사 블록(320)은 제1 플레이트(321)와 제2 플레이트(322)가 서로 결합되어 형성되고, 상기 제1 및 제2 플레이트(321, 322)가 결합되어 형성된 내부 공간에 상기 히터 유닛(133)이 구비된다.
상세하게는, 상기 제1 및 제2 플레이트(321, 322)는 다수의 예비홀(131c, 131d)이 형성되고 서로 맞물리게 결합 가능하도록 형성된다. 예를 들어, 상기 제1 및 제2 플레이트(321, 322)는 원형 플레이트 형태를 갖고 서로 소정 간격 이격되어 내부에 상기 히터 유닛(133)이 구비될 수 있도록 소정의 빈 공간이 형성되도록 결합되는 형태를 갖는다. 그러나 본 발명이 도면에 의해 한정되는 것은 아니며, 상기 제1 및 제2 플레이트(321, 322)는 원형뿐만 아니라 타원이나 다각형 등 실질적으로 다양한 형상을 가질 수 있다.
상기 제1 플레이트(321)의 예비홀(이하, 제1 예비홀(131c)이라 한다)와 상기 제2 플레이트(322)의 예비홀(이하, 제2 예비홀(131d)이라 한다)은 상기 제1 및 제2 플레이트(321, 322)가 결합하면 서로 연통되어 상기 분사 블록(320)을 관통하는 하나의 홀을 형성하도록 일대일 대응되게 형성되며, 상기 제1 및 제2 예비홀(131d)이 서로 연통되어 도 1의 분사홀(131)을 형성한다.
상기 제1 예비홀(131c) 또는 상기 제2 예비홀(131d) 중 하나는 다른 하나의 예비홀(131c, 131d)에 삽입되도록 형성될 수 있다. 예를 들어, 도 3에 도시한 바와 같이, 상기 제1 플레이트(321)는 상기 제1 예비홀(131c)의 주변이 상기 제1 플레이트(321)에서 외측으로 소정 길이 연장되고 상기 제1 예비홀(131c)이 연장된 부분이 상기 제2 예비홀(131d) 내부에 삽입되며, 특히, 상기 제1 예비홀(131c)이 연장된 부분이 상기 제2 플레이트(322)의 표면 또는 외측으로 돌출되도록 연장 형성된다. 이는 상기 제1 플레이트(321) 상부의 증착가스가 상기 제1 및 제2 플레이트(321, 322)의 내부로 유입되는 것을 방지할 수 있도록 하기 위함이다. 또한, 상기 제1 및 제2 플레이트(321, 322)의 결합력을 향상시키고 상기 제1 및 제2 플레이 트(321, 322)의 위치를 안내하는 역할을 하게 된다.
한편, 상기 예비홀(131c, 131d)은 원형 홀 이외에도 다각형 홀 또는 슬릿 형태와 같이 실질적으로 다양한 형상을 가질 수 있다.
상기 히터 유닛(133)은 전원이 공급되면 열을 발생시키는 발열부(331)와 상기 발열부(331)에 전원을 인가하는 전원 공급부(335)로 이루어진다.
상기 발열부(331)는 상기 제1 및 제2 플레이트(321, 322) 내부에 구비되며 상기 제1 및 제2 예비홀(131d) 사이에 개재된 다수의 열선 형태를 갖는다. 또한, 상기 발열부(331)는 상기 제1 및 제2 플레이트(321, 322) 전체를 소정 온도로 균일하게 가열할 수 있도록 상기 제1 및 제2 플레이트(321, 322) 내부에서 균일하고 조밀하게 배치된다. 예를 들어, 상기 발열부(331)는 상기 제1 예비홀(131c)과 상기 제2 예비홀(131d) 사이의 공간을 따라 다수의 열선이 직선 형태로 배치된다. 그러나 본 발명이 도면에 의해 한정되는 것은 아니며, 상기 발열부(331)의 형태는 실질적으로 다양하게 변경될 수 있다.
여기서, 상기 히터 유닛(133)이 증착가스에 노출되면 상기 히터 유닛(133)이 산화될 수 있는데, 상기 히터 유닛(133)을 상기 분사 블록(320) 내부에 구비함으로써 상기 히터 유닛(133)의 산화 및 이로 인해 상기 히터 유닛(133)의 수명 단축을 방지할 수 있다. 또한, 상기 분사 블록(320)은 상기 분사 블록(320) 내부에 구비된 상기 히터 유닛(133)이 증착가스에 노출되어 산화되는 것을 방지할 수 있도록, 상기 제1 및 제2 플레이트(321, 322)가 내부로 증착가스가 유입되는 것을 방지할 수 있도록 기밀성 있게 결합되도록 형성된다. 또는, 상기 제1 및 제2 플레이 트(321, 322)가 결합되었을 때, 그 결합부에 증착가스가 유입을 방지할 수 실링부재(미도시)가 구비될 수도 있다.
한편, 상기 증착가스는 상기 기판(10)에 증착시키고자 하는 박막을 구성하는 소스 물질을 포함하는 한 종류 이상의 소스가스와 소스가스의 퍼지를 위한 퍼지가스로 이루어진다. 그리고 원자층 증착 공정을 간략하게 살펴보면, 우선, 하나의 소스 물질을 포함하는 제1 소스가스를 분사하여 상기 기판(10)에 물리적으로 흡착시킨 후 퍼지가스에 의해 상기 기판(10)에 흡착되지 않은 잔류 제1 소스가스를 퍼지(purge)하여 제거 하고, 다른 소스 물질을 포함하는 제2 소스가스를 분사함으로써 상기 기판(10)의 상면에서만 상기 제1 및 제2 소스가스 사이에서 화학 반응이 발생하면서 반응 생성물이 증착된다. 그리고 퍼지가스를 제공하여 반응이 발생하지 않은 제1 및 제2 소스가스를 상기 기판(10) 표면에서 제거하게 된다. 이와 같이 소스가스의 흡착 및 반응이 반복적으로 수행되면서 상기 기판(10)에 소정 두께의 박막이 형성된다.
상기 샤워헤드(103)는 상기 기판(10)으로 상기 증착가스 중 한 종류의 가스를 각각 분사하도록 형성된다. 즉, 하나의 분사 블록(130, 이하에서는 분사 블록의 도면부호는 130을 사용한다)을 통해서는 한 종류의 증착가스가 분사되며, 상기 샤워헤드(103)는 상기 증착가스의 수에 따라 다수의 분사 블록(130)이 구비된다.
상기 히터 유닛(133)은 상기 소스가스 및 상기 퍼지가스를 분사하는 분사 블록(130)에 모두 구비될 수 있다. 그러나 본 발명이 이에 한정되는 것은 아니며, 소스가스의 온도를 높여서 소스가스의 반응성을 향상시키고 막질을 향상시킬 수 있 도록 상기 히터 유닛(133)은 소스가스가 분사되는 분사 블록(130)에만 구비되는 것도 가능하다.
그러나 모든 분사 블록(130)에 상기 히터 유닛(133)을 구비하는 경우에는 상기 기판(10)으로 제공되는 모든 증착가스의 온도가 일정하게 유지시킬 수 있으므로 상기 기판(10) 및 상기 프로세스 챔버(101) 내부의 온도를 일정 온도 이상으로 유지할 수 있으며 일정하게 유지시킬 수 있는 장점이 있다. 또한, 서로 다른 소스가스 및 퍼지가스의 온도 차로 인해 상기 기판(10)과 상기 기판(10)에 흡착된 소스가스의 국부적인 온도 강하로 인해 증착 품질이 불량해지는 것을 방지할 수 있는 효과가 있다.
상술한 바와 같이, 본 발명의 바람직한 실시예를 참조하여 설명하였지만 해당 기술분야의 숙련된 당업자라면 하기의 청구범위에 기재된 본 발명의 사상 및 영역으로부터 벗어나지 않는 범위 내에서 본 발명을 다양하게 수정 및 변경시킬 수 있음을 이해할 수 있을 것이다.
도 1은 본 발명의 일 실시예에 따른 원자층 증착장치의 종단면도;
도 2는 도 1의 원자층 증착장치에서 샤워헤드의 일 예를 설명하기 위한 분해 사시도;
도 3는 도 2의 샤워헤드의 변형 실시예를 설명하기 위한 분해 사시도이다.
<도면의 주요 부분에 대한 부호의 설명>
10: 기판 100: 원자층 증착장치
101: 프로세스 챔버 102: 서셉터
103: 샤워헤드 112: 하부 히터
125: 회전축 130, 310, 320: 분사 블록
131: 분사홀 131a, 131b, 131c, 131d: 예비홀
132: 분사 버퍼 133: 히터 유닛
135: 가스 공급부 311, 321, 322: 분사 플레이트
331, 333: 발열부 332: 히터 블록
335: 전원 공급부

Claims (13)

  1. 원자층 증착장치에서 박막의 증착을 위한 증착가스를 분사하는 샤워헤드에 있어서,
    다수의 분사홀이 형성된 분사 블록;
    상기 분사 블록 상부에 구비되어 상기 분사홀로 상기 증착가스를 공급하는 유로가 되는 분사 버퍼; 및
    상기 분사 버퍼 내부에 구비되어 상기 분사홀을 통해 분사되는 상기 증착가스를 가열하는 히터 유닛;
    을 포함하는 원자층 증착장치용 샤워헤드.
  2. 제1항에 있어서,
    상기 히터 유닛은 전원이 공급되면 열을 발생시키는 발열부가 내부에 구비된 블록 형상을 갖는 것을 특징으로 하는 원자층 증착장치용 샤워헤드.
  3. 제2항에 있어서,
    상기 히터 유닛은 상기 분사 블록과 대응되는 형상으로 형성되어 상기 분사 블록 상부에 밀착 결합되고,
    상기 발열부는 상기 분사홀이 형성되지 않은 부분에 구비되도록 형성된 것을 특징으로 하는 원자층 증착장치용 샤워헤드.
  4. 제3항에 있어서,
    상기 히터 유닛은 상기 분사홀과 상기 분사 버퍼가 연통되도록 상기 히터 유닛을 관통하여 형성되고 상기 분사홀과 일대일로 대응되는 다수의 홀이 형성된 것을 특징으로 하는 원자층 증착장치용 샤워헤드.
  5. 제3항에 있어서,
    상기 발열부는 상기 분사홀이 사이를 통과하는 다수의 동심원 형태를 갖는 것을 특징으로 하는 원자층 증착장치용 샤워헤드.
  6. 원자층 증착장치에서 박막의 증착을 위한 증착가스를 분사하는 샤워헤드에 있어서,
    다수의 분사홀이 형성되고 서로 맞물리게 결합되는 2개의 플레이트로 형성된 분사 블록;
    상기 분사 블록 상부에 구비되어 상기 분사홀로 상기 증착가스를 공급하는 유로가 되는 분사 버퍼; 및
    상기 분사 플레이트 사이에 구비되어 상기 분사홀을 통해 분사되는 상기 증착가스를 가열하는 히터 유닛;
    을 포함하는 원자층 증착장치용 샤워헤드.
  7. 제6항에 있어서,
    상기 분사 블록은 다수의 예비홀이 형성되고 서로 일정 간격 이격되게 결합 가능하게 형성된 제1 플레이트와 제2 플레이트로 이루어지고,
    상기 제1 플레이트의 예비홀과 상기 제2 플레이트의 예비홀이 서로 연통되어 상기 분사 블록을 관통하는 상기 분사홀을 형성하는 것을 특징으로 하는 원자층 증착장치용 샤워헤드.
  8. 제7항에 있어서,
    상기 히터 유닛은 전원이 공급되면 열을 발생시키는 발열부를 포함하고,
    상기 발열부는 상기 제1 플레이트의 예비홀과 상기 제2 플레이트의 예비홀 사이에 개재되는 다수의 열선 형태를 갖는 것을 특징으로 하는 원자층 증착장치용 샤워헤드.
  9. 제8항에 있어서,
    상기 제1 및 제2 플레이트는 상기 히터 유닛이 구비된 내부로 상기 증착가스가 유입되지 않도록 기밀성 있게 결합 가능한 형태를 갖는 것을 특징으로 하는 원자층 증착장치용 샤워헤드.
  10. 다수의 기판이 수용되어 증착 공정이 수행되는 프로세스 챔버;
    상기 프로세스 챔버 내에 구비되어 상기 다수의 기판이 수평 방향으로 안착 되며 회전 가능하게 구비된 서셉터;
    상기 서셉터 상부에 구비되어 상기 기판에 박막을 증착하기 위한 증착가스를 분사하는 샤워헤드; 및
    상기 샤워헤드 내부에 구비되어 상기 샤워헤드에서 분사되는 상기 증착가스를 가열하는 히터 유닛;
    를 포함하는 원자층 증착장치.
  11. 제10항에 있어서,
    상기 샤워헤드는,
    다수의 분사홀이 형성되고 내부에 상기 분사홀로 상기 증착가스를 공급하는 유로가 되는 분사 버퍼가 형성된 분사 블록; 및
    상기 분사 버퍼 내부에 구비되어 상기 분사홀을 통해 분사되는 상기 증착가스를 가열하는 히터 유닛;
    을 포함하는 것을 특징으로 하는 원자층 증착장치.
  12. 제11항에 있어서,
    상기 히터 유닛은 상기 분사 블록 상부에 결합되는 블록 형태 또는 상기 분사 블록 내부에 개재되는 열선 형태를 갖는 것을 특징으로 하는 원자층 증착장치.
  13. 제11항에 있어서,
    상기 증착가스는 박막 구성하는 소스 물질을 포함하는 한 종류 이상의 소스가스와 상기 소스가스의 퍼지를 위한 퍼지가스를 포함하고, 상기 샤워헤드는 상기 증착가스 중 한 종류의 가스를 각각 분사하는 다수의 분사영역이 형성되고,
    상기 히터 유닛은 상기 소스가스가 분사되는 분사영역에 구비된 것을 특징으로 하는 원자층 증착장치.
KR1020080135374A 2008-12-29 2008-12-29 샤워헤드 및 이를 구비하는 원자층 증착장치 KR20100077442A (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020080135374A KR20100077442A (ko) 2008-12-29 2008-12-29 샤워헤드 및 이를 구비하는 원자층 증착장치

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020080135374A KR20100077442A (ko) 2008-12-29 2008-12-29 샤워헤드 및 이를 구비하는 원자층 증착장치

Publications (1)

Publication Number Publication Date
KR20100077442A true KR20100077442A (ko) 2010-07-08

Family

ID=42638793

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020080135374A KR20100077442A (ko) 2008-12-29 2008-12-29 샤워헤드 및 이를 구비하는 원자층 증착장치

Country Status (1)

Country Link
KR (1) KR20100077442A (ko)

Cited By (234)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2013125818A1 (ko) * 2012-02-24 2013-08-29 영남대학교 산학협력단 태양 전지 제조 장치 및 태양 전지 제조 방법
KR101503257B1 (ko) * 2014-10-15 2015-03-18 (주) 일하하이텍 박막 처리 부재 및 이를 구비하는 기판 처리 장치
KR20190092899A (ko) * 2018-01-31 2019-08-08 주식회사 케이씨텍 기판 처리 장치
US11001925B2 (en) 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US11004977B2 (en) 2017-07-19 2021-05-11 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11094582B2 (en) 2016-07-08 2021-08-17 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11094546B2 (en) 2017-10-05 2021-08-17 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11101370B2 (en) 2016-05-02 2021-08-24 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11107676B2 (en) 2016-07-28 2021-08-31 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11164955B2 (en) 2017-07-18 2021-11-02 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
US11168395B2 (en) 2018-06-29 2021-11-09 Asm Ip Holding B.V. Temperature-controlled flange and reactor system including same
WO2021231115A1 (en) * 2020-05-13 2021-11-18 Tokyo Electron Limited Systems and methods for depositing a layer on a substrate using atomic oxygen
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11242598B2 (en) 2015-06-26 2022-02-08 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US11244825B2 (en) 2018-11-16 2022-02-08 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11251035B2 (en) 2016-12-22 2022-02-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11296189B2 (en) 2018-06-21 2022-04-05 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11387106B2 (en) 2018-02-14 2022-07-12 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11387120B2 (en) 2017-09-28 2022-07-12 Asm Ip Holding B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US11398382B2 (en) 2018-03-27 2022-07-26 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11396702B2 (en) 2016-11-15 2022-07-26 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11410851B2 (en) 2017-02-15 2022-08-09 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US11417545B2 (en) 2017-08-08 2022-08-16 Asm Ip Holding B.V. Radiation shield
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11501956B2 (en) 2012-10-12 2022-11-15 Asm Ip Holding B.V. Semiconductor reaction chamber showerhead
US11501973B2 (en) 2018-01-16 2022-11-15 Asm Ip Holding B.V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587821B2 (en) 2017-08-08 2023-02-21 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11646197B2 (en) 2018-07-03 2023-05-09 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11649546B2 (en) 2016-07-08 2023-05-16 Asm Ip Holding B.V. Organic reactants for atomic layer deposition
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11658030B2 (en) 2017-03-29 2023-05-23 Asm Ip Holding B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11676812B2 (en) 2016-02-19 2023-06-13 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top/bottom portions
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11742189B2 (en) 2015-03-12 2023-08-29 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11798999B2 (en) 2018-11-16 2023-10-24 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11795545B2 (en) 2014-10-07 2023-10-24 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11804388B2 (en) 2018-09-11 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus and method
US11802338B2 (en) 2017-07-26 2023-10-31 Asm Ip Holding B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US11810788B2 (en) 2016-11-01 2023-11-07 Asm Ip Holding B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11848200B2 (en) 2017-05-08 2023-12-19 Asm Ip Holding B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11923190B2 (en) 2018-07-03 2024-03-05 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11939673B2 (en) 2018-02-23 2024-03-26 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11959168B2 (en) 2020-04-29 2024-04-16 Asm Ip Holding B.V. Solid source precursor vessel
US11961741B2 (en) 2020-03-12 2024-04-16 Asm Ip Holding B.V. Method for fabricating layer structure having target topological profile
US11967488B2 (en) 2013-02-01 2024-04-23 Asm Ip Holding B.V. Method for treatment of deposition reactor
US11976359B2 (en) 2020-01-06 2024-05-07 Asm Ip Holding B.V. Gas supply assembly, components thereof, and reactor system including same
US11986868B2 (en) 2020-02-28 2024-05-21 Asm Ip Holding B.V. System dedicated for parts cleaning
US11987881B2 (en) 2020-05-22 2024-05-21 Asm Ip Holding B.V. Apparatus for depositing thin films using hydrogen peroxide
US11996292B2 (en) 2019-10-25 2024-05-28 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
US11996309B2 (en) 2019-05-16 2024-05-28 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
US12006572B2 (en) 2019-10-08 2024-06-11 Asm Ip Holding B.V. Reactor system including a gas distribution assembly for use with activated species and method of using same
US12020934B2 (en) 2020-07-08 2024-06-25 Asm Ip Holding B.V. Substrate processing method
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
US12027365B2 (en) 2020-11-24 2024-07-02 Asm Ip Holding B.V. Methods for filling a gap and related systems and devices
US12033885B2 (en) 2020-01-06 2024-07-09 Asm Ip Holding B.V. Channeled lift pin
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
US12040184B2 (en) 2017-10-30 2024-07-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US12051567B2 (en) 2020-10-07 2024-07-30 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including gas supply unit
US12057314B2 (en) 2020-05-15 2024-08-06 Asm Ip Holding B.V. Methods for silicon germanium uniformity control using multiple precursors
US12074022B2 (en) 2020-08-27 2024-08-27 Asm Ip Holding B.V. Method and system for forming patterned structures using multiple patterning process
US12087586B2 (en) 2020-04-15 2024-09-10 Asm Ip Holding B.V. Method of forming chromium nitride layer and structure including the chromium nitride layer
US12106944B2 (en) 2020-06-02 2024-10-01 Asm Ip Holding B.V. Rotating substrate support
US12107005B2 (en) 2020-10-06 2024-10-01 Asm Ip Holding B.V. Deposition method and an apparatus for depositing a silicon-containing material
US12112940B2 (en) 2019-07-19 2024-10-08 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US12119220B2 (en) 2022-12-12 2024-10-15 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures

Cited By (274)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
WO2013125818A1 (ko) * 2012-02-24 2013-08-29 영남대학교 산학협력단 태양 전지 제조 장치 및 태양 전지 제조 방법
US11501956B2 (en) 2012-10-12 2022-11-15 Asm Ip Holding B.V. Semiconductor reaction chamber showerhead
US11967488B2 (en) 2013-02-01 2024-04-23 Asm Ip Holding B.V. Method for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US11795545B2 (en) 2014-10-07 2023-10-24 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR101503257B1 (ko) * 2014-10-15 2015-03-18 (주) 일하하이텍 박막 처리 부재 및 이를 구비하는 기판 처리 장치
US11742189B2 (en) 2015-03-12 2023-08-29 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11242598B2 (en) 2015-06-26 2022-02-08 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US11956977B2 (en) 2015-12-29 2024-04-09 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11676812B2 (en) 2016-02-19 2023-06-13 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top/bottom portions
US11101370B2 (en) 2016-05-02 2021-08-24 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US11649546B2 (en) 2016-07-08 2023-05-16 Asm Ip Holding B.V. Organic reactants for atomic layer deposition
US11749562B2 (en) 2016-07-08 2023-09-05 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11094582B2 (en) 2016-07-08 2021-08-17 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11694892B2 (en) 2016-07-28 2023-07-04 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11107676B2 (en) 2016-07-28 2021-08-31 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US11810788B2 (en) 2016-11-01 2023-11-07 Asm Ip Holding B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US11396702B2 (en) 2016-11-15 2022-07-26 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
US12000042B2 (en) 2016-12-15 2024-06-04 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11970766B2 (en) 2016-12-15 2024-04-30 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11851755B2 (en) 2016-12-15 2023-12-26 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11001925B2 (en) 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US11251035B2 (en) 2016-12-22 2022-02-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US12043899B2 (en) 2017-01-10 2024-07-23 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US11410851B2 (en) 2017-02-15 2022-08-09 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US12106965B2 (en) 2017-02-15 2024-10-01 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US11658030B2 (en) 2017-03-29 2023-05-23 Asm Ip Holding B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US11848200B2 (en) 2017-05-08 2023-12-19 Asm Ip Holding B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US11976361B2 (en) 2017-06-28 2024-05-07 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US11695054B2 (en) 2017-07-18 2023-07-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11164955B2 (en) 2017-07-18 2021-11-02 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11004977B2 (en) 2017-07-19 2021-05-11 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11802338B2 (en) 2017-07-26 2023-10-31 Asm Ip Holding B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US11587821B2 (en) 2017-08-08 2023-02-21 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11417545B2 (en) 2017-08-08 2022-08-16 Asm Ip Holding B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US11581220B2 (en) 2017-08-30 2023-02-14 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11387120B2 (en) 2017-09-28 2022-07-12 Asm Ip Holding B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US12033861B2 (en) 2017-10-05 2024-07-09 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11094546B2 (en) 2017-10-05 2021-08-17 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US12040184B2 (en) 2017-10-30 2024-07-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11682572B2 (en) 2017-11-27 2023-06-20 Asm Ip Holdings B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11501973B2 (en) 2018-01-16 2022-11-15 Asm Ip Holding B.V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11972944B2 (en) 2018-01-19 2024-04-30 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
KR20190092899A (ko) * 2018-01-31 2019-08-08 주식회사 케이씨텍 기판 처리 장치
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11735414B2 (en) 2018-02-06 2023-08-22 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11387106B2 (en) 2018-02-14 2022-07-12 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US11939673B2 (en) 2018-02-23 2024-03-26 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US11398382B2 (en) 2018-03-27 2022-07-26 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US12020938B2 (en) 2018-03-27 2024-06-25 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11908733B2 (en) 2018-05-28 2024-02-20 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11837483B2 (en) 2018-06-04 2023-12-05 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11296189B2 (en) 2018-06-21 2022-04-05 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11814715B2 (en) 2018-06-27 2023-11-14 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11952658B2 (en) 2018-06-27 2024-04-09 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11168395B2 (en) 2018-06-29 2021-11-09 Asm Ip Holding B.V. Temperature-controlled flange and reactor system including same
US11923190B2 (en) 2018-07-03 2024-03-05 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11646197B2 (en) 2018-07-03 2023-05-09 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11804388B2 (en) 2018-09-11 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus and method
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11735445B2 (en) 2018-10-31 2023-08-22 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11866823B2 (en) 2018-11-02 2024-01-09 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US11798999B2 (en) 2018-11-16 2023-10-24 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11244825B2 (en) 2018-11-16 2022-02-08 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11769670B2 (en) 2018-12-13 2023-09-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11959171B2 (en) 2019-01-17 2024-04-16 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11798834B2 (en) 2019-02-20 2023-10-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11615980B2 (en) 2019-02-20 2023-03-28 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11901175B2 (en) 2019-03-08 2024-02-13 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11996309B2 (en) 2019-05-16 2024-05-28 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11908684B2 (en) 2019-06-11 2024-02-20 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11746414B2 (en) 2019-07-03 2023-09-05 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
US12107000B2 (en) 2019-07-10 2024-10-01 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11996304B2 (en) 2019-07-16 2024-05-28 Asm Ip Holding B.V. Substrate processing device
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US12112940B2 (en) 2019-07-19 2024-10-08 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11876008B2 (en) 2019-07-31 2024-01-16 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US12040229B2 (en) 2019-08-22 2024-07-16 Asm Ip Holding B.V. Method for forming a structure with a hole
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US12033849B2 (en) 2019-08-23 2024-07-09 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by PEALD using bis(diethylamino)silane
US11827978B2 (en) 2019-08-23 2023-11-28 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11898242B2 (en) 2019-08-23 2024-02-13 Asm Ip Holding B.V. Methods for forming a polycrystalline molybdenum film over a surface of a substrate and related structures including a polycrystalline molybdenum film
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
US12006572B2 (en) 2019-10-08 2024-06-11 Asm Ip Holding B.V. Reactor system including a gas distribution assembly for use with activated species and method of using same
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11996292B2 (en) 2019-10-25 2024-05-28 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US12033885B2 (en) 2020-01-06 2024-07-09 Asm Ip Holding B.V. Channeled lift pin
US11976359B2 (en) 2020-01-06 2024-05-07 Asm Ip Holding B.V. Gas supply assembly, components thereof, and reactor system including same
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11986868B2 (en) 2020-02-28 2024-05-21 Asm Ip Holding B.V. System dedicated for parts cleaning
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11837494B2 (en) 2020-03-11 2023-12-05 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11961741B2 (en) 2020-03-12 2024-04-16 Asm Ip Holding B.V. Method for fabricating layer structure having target topological profile
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US12087586B2 (en) 2020-04-15 2024-09-10 Asm Ip Holding B.V. Method of forming chromium nitride layer and structure including the chromium nitride layer
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11959168B2 (en) 2020-04-29 2024-04-16 Asm Ip Holding B.V. Solid source precursor vessel
US11798830B2 (en) 2020-05-01 2023-10-24 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
WO2021231115A1 (en) * 2020-05-13 2021-11-18 Tokyo Electron Limited Systems and methods for depositing a layer on a substrate using atomic oxygen
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US12057314B2 (en) 2020-05-15 2024-08-06 Asm Ip Holding B.V. Methods for silicon germanium uniformity control using multiple precursors
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11987881B2 (en) 2020-05-22 2024-05-21 Asm Ip Holding B.V. Apparatus for depositing thin films using hydrogen peroxide
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US12106944B2 (en) 2020-06-02 2024-10-01 Asm Ip Holding B.V. Rotating substrate support
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US12020934B2 (en) 2020-07-08 2024-06-25 Asm Ip Holding B.V. Substrate processing method
US12055863B2 (en) 2020-07-17 2024-08-06 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
US12074022B2 (en) 2020-08-27 2024-08-27 Asm Ip Holding B.V. Method and system for forming patterned structures using multiple patterning process
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
US12107005B2 (en) 2020-10-06 2024-10-01 Asm Ip Holding B.V. Deposition method and an apparatus for depositing a silicon-containing material
US12051567B2 (en) 2020-10-07 2024-07-30 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including gas supply unit
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US12027365B2 (en) 2020-11-24 2024-07-02 Asm Ip Holding B.V. Methods for filling a gap and related systems and devices
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US12119228B2 (en) 2022-06-21 2024-10-15 Asm Ip Holding B.V. Deposition method
US12119220B2 (en) 2022-12-12 2024-10-15 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures

Similar Documents

Publication Publication Date Title
KR20100077442A (ko) 샤워헤드 및 이를 구비하는 원자층 증착장치
KR101028408B1 (ko) 가스분사 유닛 및 이를 구비하는 원자층 증착장치
KR101134277B1 (ko) 원자층 증착 장치
KR100450068B1 (ko) Cvd 장치의 멀티섹터 평판형 샤워헤드
JP5619164B2 (ja) Cvd方法およびcvd反応炉
US20050241580A1 (en) Method for depositing thin film and thin film deposition system having separate jet orifices for spraying purge gas
KR101473334B1 (ko) 원자층 증착 장치
KR101046613B1 (ko) 원자층 증착장치
KR20100003536A (ko) 원자층 증착 장치
KR20030068366A (ko) 회전가능한 1개 이상의 가스분사기가 구비된 박막증착장치 및 이를 이용한 박막 증착방법
TW201809340A (zh) 成膜方法
KR101028407B1 (ko) 원자층 증착장치
KR101324208B1 (ko) 기판 처리 장치
KR20110077743A (ko) 다성분 박막의 증착을 위한 원자층 증착장치
KR20150098456A (ko) 기판 처리 장치
KR101175677B1 (ko) 퍼니스형 반도체 설비 및 그 설비를 사용한 기판 처리 방법
KR101171677B1 (ko) 다성분 박막의 증착을 위한 원자층 증착장치
KR20120038675A (ko) 원자층 증착 장치
KR20160146365A (ko) 원자층 증착장치
KR20120001661U (ko) 서셉터 및 그를 구비한 원자층 증착 장치
KR101027954B1 (ko) 샤워헤드 및 이를 구비하는 원자층 증착장치
KR20090117351A (ko) 원자층 증착 장치
KR101675817B1 (ko) 반도체 제조용 증착장치
KR100407508B1 (ko) 비회전형 박막 형성 장치
KR20100077696A (ko) 프로세스 모듈 및 이를 구비하는 원자층 증착장치

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E601 Decision to refuse application