KR101050405B1 - 스트레인드채널을 갖는 반도체장치 제조 방법 - Google Patents

스트레인드채널을 갖는 반도체장치 제조 방법 Download PDF

Info

Publication number
KR101050405B1
KR101050405B1 KR1020090060876A KR20090060876A KR101050405B1 KR 101050405 B1 KR101050405 B1 KR 101050405B1 KR 1020090060876 A KR1020090060876 A KR 1020090060876A KR 20090060876 A KR20090060876 A KR 20090060876A KR 101050405 B1 KR101050405 B1 KR 101050405B1
Authority
KR
South Korea
Prior art keywords
gate spacer
region
film
single crystal
recess
Prior art date
Application number
KR1020090060876A
Other languages
English (en)
Other versions
KR20110003217A (ko
Inventor
이영호
안태항
백승범
조준희
김정선
Original Assignee
주식회사 하이닉스반도체
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 주식회사 하이닉스반도체 filed Critical 주식회사 하이닉스반도체
Priority to KR1020090060876A priority Critical patent/KR101050405B1/ko
Priority to US12/646,207 priority patent/US20110003450A1/en
Publication of KR20110003217A publication Critical patent/KR20110003217A/ko
Application granted granted Critical
Publication of KR101050405B1 publication Critical patent/KR101050405B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66234Bipolar junction transistors [BJT]
    • H01L29/66325Bipolar junction transistors [BJT] controlled by field-effect, e.g. insulated gate bipolar transistors [IGBT]
    • H01L29/66333Vertical insulated gate bipolar transistors
    • H01L29/66348Vertical insulated gate bipolar transistors with a recessed gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6653Unipolar field-effect transistors with an insulated gate, i.e. MISFET using the removal of at least part of spacer, e.g. disposable spacer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

본 발명은 스트레인드 채널 효과를 극대화하면서도 짧은 채널 효과를 억제할 수 있고 리세스영역에 단결정막을 성장시킬 때 필드산화막 근처에서 패싯이 발생되는 것을 방지할 수 있는 반도체장치 제조 방법을 제공하기 위한 것으로, 본 발명의 반도체장치 제조 방법은 필드산화막이 형성된 실리콘기판 상에 게이트패턴을 형성하는 단계; 상기 게이트패턴의 양측벽에 게이트스페이서를 형성하는 단계; 상기 게이트스페이서 측벽에 더미게이트스페이서를 형성하는 단계; 상기 더미게이트스페이서와 필드산화막 사이의 실리콘기판을 리세스식각하여 양쪽 측면이 기울기 프로파일을 갖는 리세스영역을 형성하는 단계; 에피택셜 성장을 통해 소스영역 및 드레인영역이 되는 단결정막을 상기 리세스영역에 채우는 단계; 및 상기 더미게이트스페이서를 제거하는 단계를 포함하며, 상술한 본 발명은 더미게이트스페이서를 이용하여 리세스영역의 식각프로파일을 조절하므로써 채널 근접에 의한 스트레인 효과를 극대화하면서도 깊이 증가에 의해 발생하는 짧은 채널 효과를 억제할 수 있고, 또한, 리세스영역의 식각프로파일을 조절하므로써 필드산화막 근처에서의 패싯을 방지할 수 있다.
스트레인드채널, 리세스영역, 단결정막, 에피택셜성장, 리세스식각

Description

스트레인드채널을 갖는 반도체장치 제조 방법{METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE WITH STRAINED CHANNEL}
본 발명은 반도체장치 제조 방법에 관한 것으로서, 특히 스트레인드채널(Strained channel)을 갖는 반도체장치 제조 방법에 관한 것이다.
반도체 장치의 고직접화가 계속되면서 MOS 소자의 게이트절연막 두께 및 채널 길이도 계속 감소하고 있다. 게이트 절연막의 두께 감소 및 채널 길이의 감소는 캐리어인 전자 또는 정공의 이동도(mobility)를 증가시켜 소자의 속도 및 동작 전류가 증가한다.
그러나, 채널 길이의 감소는 짧은 채널 효과(short channel effect)를 일으키는 문제가 있으며, 게이트 절연막의 두께 감소는 게이트 누설 전류를 증가시킨다. 짧은 채널 효과를 개선하기 위해서는 채널 도핑을 증가시키게 되는데 이것은 캐리어의 이동을 방해하여 채널 길이의 감소에도 불구하고 캐리어 이동도의 개선이 저하되게 된다.
소자의 동작 속도 및 동작 전류를 개선하기 위해 채널에 스트레인을 유발하여 캐리어 이동도(mobility)를 증가시키려는 여러가지 방법이 제안되었다. 특히 게이트 측벽 근처의 소스/드레인 영역을 리세스(recess) 식각한 후 실리콘기판과 격자상수가 다른 4족 원소의 에피택셜 박막을 선택적으로 증착하여 채널에 스트레스(stress)를 인가하여 스트레인드채널(strained channel)을 형성하는 방법이 많이 연구되고 있다.
위와 같은 리세스된 소스/드레인 영역에 선택적 에피택셜 실리콘-저마늄(SiGe), 또는 실리콘-카본(SiC)을 채워서 스트레인드 채널을 만드는 종래기술을 도면과 함께 설명하면 다음과 같다.
도 1a 내지 도 1d는 종래기술에 따른 스트레인드채널을 갖는 반도체장치의 제조 방법을 도시한 도면이다.
도 1a에 도시된 바와 같이, 실리콘기판(11)에 소자간 절연을 위한 필드산화막(12)을 형성한 후, 게이트절연막(13), 게이트폴리실리콘막(14), 게이트금속막(15) 및 게이트하드마스크막(16)으로 이루어진 게이트패턴을 형성한다.
이어서, 게이트패턴의 측벽에 게이트스페이서(17)를 형성한 후, 소스 영역 및 드레인 영역으로 예정된 영역을 소정 깊이로 리세스(recess) 시켜 리세스영역(18)을 형성한다.
도 1b에 도시된 바와 같이, 선택적에피택셜성장(SEG) 공정에 의해 리세스 영역(18)에 단결정막(19)을 형성한다. 단결정막(19)은 실리콘기판과 격자 상수가 다른 실리콘저마늄(SiGe), 실리콘카본(SiC) 또는 실리콘저마늄카본(SiGeC) 등을 포함 한다.
위와 같은 단결정막(19)에 의해 유발되는 채널 스트레인의 크기는 저마늄(또는 카본)의 농도 증가, 게이트 스페이서 하부 측면 깊이(Lateral Depth)의 증가 및 하부 리세스(recess depth)의 증가에 따라 커진다.
그러나, 장치의 고집적화에 따라 채널 길이가 짧아지는 경우, 리세스를 깊게 하고 인시츄로 도핑하는 경우 접합깊이(Junction Depth)가 크므로 짧은 채널 효과에 의한 장치의 특성 악화 현상이 나타나게 된다. 다시 말하면, 스트레인드 채널 효과를 위해서는 리세스 깊이가 깊어야 하지만 그 깊이가 깊을수록 짧은 채널 효과가 악화되어 깊게 할 수 없는 기술적 모순에 봉착하게 된다.
이를 개선하기 위한 방법으로서, 도 1c 및 도 1d와 같이 언도우프드(un-doped)로 단결정막(20)을 먼저 증착한 후 후속에 이온 주입(도 1c의 화살표 참조))을 실시하여 불순물이 도핑된 단결정막(21A)을 형성하고 있다. 다른 방법으로서, 도시되지는 않았지만 언도우프드로 단결정막을 일부 증착을 하고 나머지 일부만 인시츄 도핑으로 스택(stack)을 쌓는 방법등이 사용되고 있으나, 이 방법들도 다음과 같은 문제점이 있다.
먼저 언도우프드로 증착을 하고 후속의 이온 주입을 실시하는 방법에서는 필드산화막 근처에서 나타나는 패싯(facet)에 의해 이온주입 깊이 및 프로파일의 조절이 어렵다. 즉 도 1c와 같이 언도우프드로 증착한 단결정막의 필드산화막 근처 패싯에 의해 도 1d와 같이 깊이 조절이 안된 도핑 프로파일이 나타나며, 이에 따라 짧은 채널 효과에 의한 장치의 특성 악화가 나타나며, 접합 누설 전류(Junction Leakage)가 증가하는 문제점이 있다.
언도우프드로 일부 증착하고, 필요로 하는 접합깊이 만큼만 인시츄 도핑된 단결정막을 증착하는 방법은 일부 개선의 효과는 있지만 여전히 패싯이 존재하는 상태이므로 도핑 프로파일의 조절이 어려운 부분은 완전히 개선되지 않는다. 특히 데이타 저장용 DRAM의 경우, 집적도의 증가에 따라 주변회로(Periperal)에 트랜지스터의 갯수가 급격히 증가하므로, 게이트패턴과 필드산화막간 거리가 가까워서, 즉 패싯 위치와 채널간 거리가 가까워서 패싯(facet)에 의한 영향이 커짐에 따라 이 방법에 의한 개선도 거의 실현되기 어렵다.
본 발명은 상기한 종래기술에 따른 문제점을 해결하기 위해 제안된 것으로서, 스트레인드 채널 효과를 극대화하면서도 짧은 채널 효과를 억제할 수 있는 반도체장치 제조 방법을 제공하는데 그 목적이 있다.
또한, 본 발명의 다른 목적은 스트레인드 채널을 위한 리세스영역에 단결정막을 성장시킬 때 필드산화막 근처에서 패싯이 발생되는 것을 방지할 수 있는 반도체장치 제조 방법을 제공하는데 있다.
상기 목적을 달성하기 위한 본 발명의 반도체장치 제조 방법은 실리콘기판 상에 게이트패턴을 형성하는 단계; 상기 게이트패턴의 양측벽에 게이트스페이서를 형성하는 단계; 상기 게이트스페이서 측벽에 더미게이트스페이서를 형성하는 단계; 상기 실리콘기판을 리세스식각하여 상기 게이트패턴 아래의 채널영역 방향으로 측면이 확장된 리세스영역을 형성하는 단계; 에피택셜 성장을 통해 소스영역 및 드레인영역이 되는 단결정막을 상기 리세스영역에 채우는 단계; 및 상기 더미게이트스페이서를 제거하는 단계를 포함하는 것을 특징으로 한다.
또한, 본 발명의 반도체장치 제조 방법은 필드산화막이 형성된 실리콘기판 상에 게이트패턴을 형성하는 단계; 상기 게이트패턴의 양측벽에 게이트스페이서를 형성하는 단계; 상기 게이트스페이서 측벽에 더미게이트스페이서를 형성하는 단계; 상기 더미게이트스페이서와 필드산화막 사이의 실리콘기판을 리세스식각하여 양쪽 측면이 기울기 프로파일을 갖는 리세스영역을 형성하는 단계; 에피택셜 성장을 통해 소스영역 및 드레인영역이 되는 단결정막을 상기 리세스영역에 채우는 단계; 및 상기 더미게이트스페이서를 제거하는 단계를 포함하는 것을 특징으로 한다.
상술한 본 발명은 더미게이트스페이서를 이용하여 리세스영역의 식각프로파일을 조절하므로써 채널 근접에 의한 스트레인 효과를 극대화하면서도 깊이 증가에 의해 발생하는 짧은 채널 효과를 억제할 수 있는 효과가 있다.
또한, 본 발명은 리세스영역의 식각프로파일을 조절하므로써 필드산화막 근처에서의 패싯을 방지할 수 있고, 더미 게이트 스페이서를 제거한 후 드러나는 단결정막의 표면은 종래기술보다 표면적이 증가하게 되어 면저항의 감소에 기여할 수 있다.
또한, 종래기술과 달리 박막 증착 장비에서 증착 직전에 인시츄(in-situ)로 리세스(recess) 식각을 실시하므로써 실리콘기판과 단결정막간의 계면을 깨끗하게 유지할 수 있다. 이에 따라 품질이 우수한 단결정막을 얻을 수 있으므로 접합 누설 전류(Junction Leakage Current)가 개선된 장치 특성을 얻는데 기여할 수 있다.
이하 본 발명이 속하는 기술분야에서 통상의 지식을 가진자가 본 발명의 기 술적 사상을 용이하게 실시할 수 있을 정도로 상세히 설명하기 위하여, 본 발명의 가장 바람직한 실시예를 첨부도면을 참조하여 설명하기로 한다.
도 2a 내지 도 2e는 본 발명의 실시예에 따른 반도체장치의 스트레인드 채널 형성 방법을 도시한 도면이다.
도 2a에 도시된 바와 같이, 실리콘기판(31)에 소자간 절연을 위한 필드산화막(32)을 형성한다. 여기서, 필드산화막(32)은 STI 공정을 이용하여 형성할 수 있고, 필드산화막에 의해 활성영역이 정의된다.
이어서, 게이트절연막(33), 게이트폴리실리콘막(34), 게이트금속막(35) 및 게이트하드마스크막(36)으로 이루어진 게이트 패턴을 형성한다. 게이트패턴 아래에는 채널영역(C)이 형성된다.
이어서, 게이트패턴의 양측벽에 접하는 게이트스페이서(37)를 형성한다. 여기서, 게이트트스페이서(37)은 스페이서절연막을 증착한 후 에치백하여 형성할 수 있다. 게이트스페이서(37)로 사용되는 스페이서절연막은 질화막 또는 산화막을 단독으로 사용하거나 또는, 질화막과 산화막을 적층하여 사용할 수 있다.
도 2b에 도시된 바와 같이, 게이트스페이서(37)의 측벽에 더미 게이트스페이서(38)를 형성한다. 더미 게이트스페이서(38)는 후속 공정에서 제거가 가능한 물질로 형성한다. 예를 들어, 더미 게이트 스페이서(38)는 산화막 또는 산화막과 질화막의 적층막으로 구성될 수 있으나, 바람직하게는 산화막으로 형성된다. 더미 게이트트스페이서(38)은 산화막을 증착한 후 에치백하여 형성할 수 있다.
이와 같이, 더미 게이트스페이서(38)를 형성하면, 더미게이트스페이서(38)의 폭만큼 채널영역으로부터의 거리가 더 멀어진다.
도 2c에 도시된 바와 같이, 실리콘기판(31)을 선택적에피택셜성장 공정이 이루어질 박막 증착 장비에 장착한다.
이어서, 박막 증착 장비에서 식각 가스를 사용하여 더미 게이트 스페이서(38)의 하부 및 실리콘기판(31) 아래로 적절한 깊이가 리세스되도록 등방성 식각을 이용한 리세스 식각을 실시한다. 이때, 박막 증착 장비에 장착하기 전에 습식방법 또는 건식방법을 이용하거나, 또는 습식과 건식을 모두 사용하여 인시츄 세정 공정을 진행할 수 있다. 이러한 인시츄 세정 공정에 의해 자연산화막 및 기타 표면 물질을 모두 제거하여 깨끗한 실리콘기판 표면을 드러나게 하는 것이 중요하다. 세정공정시 게이트스페이서(37) 및 더미 게이트 스페이서(38)의 손실을 최소화할 수 있는 선택비가 좋은 가스 또는 용액을 사용한다. 세정공정은 상온부터 600℃ 이하의 낮은 온도 범위에서 진행한다.
위와 같은 등방성 식각에 의해 일정 깊이의 리세스영역(39)이 형성되며, 리세스영역은 양쪽 측벽은 기울기를 갖고, 바닥면은 평탄할 수 있다. 부연 설명하면, 리세스영역(39)은 게이트스페이서(37) 하부에서는 채널영역(C)에 가깝고 깊이가 깊어질수록 채널영역(C)과 멀어지는 기울기(Slope)의 식각 프로파일을 갖는다.
리세스 식각을 위한 식각 가스는 염화수소(HCl), 염소(Cl2) 등의 실리콘기판을 등방성으로 식각이 가능한 가스를 사용한다.
리세스 식각은 후속 증착과 진공 상태가 깨지지 않는 상황에서 별도의 챔버 를 사용할 수 있고, 또한 리세스 식각은 후속 증착과 진공 상태가 깨지지 않는 상태에서 별도의 등방성 습식 용액을 사용할 수 있다.
리세스 식각의 깊이는 얻고자 하는 소자 특성에 필요한 채널에 인가될 스트레스(stress)의 양에 따라 결정된다. 바람직하게는 100∼1000Å의 깊이이다.
리세스 식각의 옆면 식각 거리는 게이트 스페이서(37)의 두께, 채널 길이, 게이트패턴의 높이등을 고려하여 최대한 깊게 들어가도록 조절한다.
리세스 식각은 게이트 스페이서(37) 또는 더미 게이트 스페이서(38)의 하부에 위치하도록 소자 특성에 따라 조절 가능하며, 필드산화막(32) 측면에서는 실리콘기판의 일부가 잔류하도록 조절한다.
리세스 식각이 완료되면, 도 2d에 도시된 바와 같이, 연속적으로 선택적 에피택셜 성장 공정을 진행하여 리세스 영역(39)에 소스영역 및 드레인영역이 되는 단결정막(40)을 성장시킨다. 단결정막(40)은 실리콘 기판(31)과 격자 상수가 다른 실리콘저마늄(SiGe), 실리콘카본(SiC), 실리콘저마늄카본(SiGeC) 등의 단일막, 실리콘막/실리콘저마늄막(또는 실리콘-카본)/실리콘막의 스택(stack)일 수 있다. PMOS 장치인 경우는 캐리어인 홀(hole)의 이동도를 높이기 위해 실리콘 기판보다 격자상수가 커서 압축 스트레스(compressive stress)를 유발하는 인시츄 붕소(Boron) 도핑된 에피택셜 실리콘저마늄막(또는 실리콘막/실리콘저마늄막의 스택)을 사용하며, NMOS 장치의 경우는 캐리어인 전자(electron)의 이동도를 높이기 위해 실리콘 기판보다 격자 상수가 작아서 인장 스트레스(tensile stress)를 유발하는 인시츄로 인(P) 또는 비소(As)가 도핑된 에피택셜 실리콘카본막 (또는 실리콘막 /실리콘카본막의 스택)을 사용한다.
단결정막(40)은 LPCVD(Low Pressure CVD), VLPCVD(Very Low Pressure CVD), PE-CVD(Plasma Enhanced-CVD), UHVCVD(Ultrahigh Vacuum CVD), RTCVD(Rapid Thermal CVD), APCVD(Atmosphere Pressure CVD), MBE(Molecular Beam Epitaxy) 등의 장비에서 형성된다.
단결정막(40)의 증착 온도는 400∼800℃ 범위이다.
위와 같이 선택적에피택셜성장을 통해 성장되는 단결정막(40)은 패싯(facet)이 형성되지 않아 인시츄(in-situ)의 방법으로 도핑을 하거나, 또는 도핑을 하지 않고 후속 이온주입으로 도펀트(dopant) 프로파일을 조절하는 것이 가능하다.
단결정막(40)은 더미 게이트 스페이서(38)의 하단부보다 높게 형성되어 후속 더미 게이트 스페이서의 제거후 표면적이 증가하여 면저항 감소가 가능하다.
위와 같은 단결정막(40)에 의해 유발되는 채널 스트레인의 크기는 저마늄(또는 카본)의 농도 증가, 게이트 스페이서 하부 측면 깊이(Lateral Depth)의 증가 및 하부 리세스(recess depth)의 증가에 따라 커진다. 저마늄 및 카본 농도는 장치 특성에 따라 결정되며, 바람직하게는 저마늄의 경우 5%∼50%, 카본의 경우 0.1%∼10% 범위이다.
단결정막(40)은 더미(dummy) 게이트 스페이서의 하단부 이상의 두께로 증착되어, 후속 공정에서 더미 게이트 스페이서를 제거했을때 약간 높게 형성되도록 한다. 단결정막의 두께는 리세스 깊이 및 소자 특성에 따라 결정되는 것으로, 바람직하게는 100∼2000Å의 범위이다.
또한, 단결정막(40)은 인시츄로 도핑을 하거나, 도핑을 하지 않고 후속에서 이온주입에 의해 도핑을 할 수도 있다. 이온 주입의 경우 더미(dummy) 게이트 스페이서 제거 전, 또는 제거후에 하는 것을 선택함으로서 장치의 특성 조절이 가능하다.
상술한 도 2c 및 도 2d에 도시된 것처럼, 리세스식각 및 단결정막 증착이 연속으로 실시됨에 따라 실리콘 기판(31)과 단결정막(40)간 계면 결함이 억제되며, 단결정막(40)의 결함도 억제된다.
도 2e에 도시된 바와 같이, 더미 게이트 스페이서(38)를 제거한 후, 후속의 장치 제조 공정을 실시하여 스트레인드 채널을 갖는 장치를 완성한다.
더미 게이트 스페이서(38)는 단결정막(40) 증착 후 바로 제거하거나 또는 더미 게이트 스페이서(38)를 이용하여 채널영역(C)에 대한 영향을 줄이면서 추가의 이온 주입등으로 저항을 감소시키는 것이 가능하다.
후속하여 실리사이드를 더 형성할 수 있다. 티타늄 실리사이드(TiSi2), 코발트 실리사이드(CoSi2), 니켈 실리사이드(NiSi) 등을 사용하여 소스 영역 및 드레인 영역의 면저항을 감소시킬 수 있다.
도 3은 본 발명의 실시예에 따른 단결정막 성장후의 상태를 촬영한 사진으로서, 필드산화막 근처에서 패싯없이 단결정막(SiGe)이 성장되고 있음을 알 수 있다. 아울러, 필드산화막 근처에서 일정량의 실리콘기판을 잔류시키면서 기울기를 갖고 리세스영역이 형성되고 있음을 알 수 있다.
상술한 본 발명에서는 후속 공정에서 제거될 수 있는 물질을 사용한 더미(dummy) 게이트 스페이서를 구비한 상태에서 박막 증착 장비에서의 식각 가스로 인시츄(In-situ) 리세스(recess) 식각을 진행함으로써 게이트 스페이서에 가까운 하부에서는 채널에 가깝게 형성되고, 리세스영역의 깊이가 깊어질수록 채널에서 멀게 형성되도록 프로파일을 조절하여 스트레인채널 효과를 극대화하면서도 짧은 채널 효과를 억제한다. 또한 필드산화막 근처의 패싯(facet)을 방지하며, 더미 게이트 스페이서를 제거한 후 드러나는 단결정막 표면의 표면적 증가로 면저항의 감소에 기여할 수 있고, 인시츄 리세스 식각 방법에 의해 품질이 우수한 단결정막을 얻을 수 있다.
본 발명에서는 게이트 스페이서 형성 후, 별도의 식각 장비에서 리세스 식각을 하던 방식과 달리, 게이트 스페이서 위에 후속 공정에서 제거될 수 있는 더미 게이트 스페이서를 추가로 형성한 상태에서 박막 증착 장비에서 염화수소(HCl), 염소(Cl2)와 같은 식각 가스를 사용하여 인시츄(In-situ)로 등방성의 리세스(recess) 식각을 실시한다. 하여 게이트 스페이서의 가까운 하부에서는 채널에 가깝게 형성되고, 깊어질수록 멀게 형성되도록 식각 프로파일을 조절하여, 채널에의 근접에 의해 스트레인 효과를 극대화하면서도 깊이 증가에 의해 발생하는 짧은 채널 효과를 억제할 수 있다.
또한, 본 발명에 의하면, 더미 스페이서 하부로의 리세스 식각으로 필드산화막 옆면에 실리콘기판의 일부가 잔류하므로 종래 기술에서 문제가 되는 패싯(facet)을 방지할 수 있으며, 더미 게이트 스페이서를 제거한 후 드러나는 선택 적 에피 박막 표면은 기존 기술에서보다 표면적이 증가하게 되어 면저항의 감소에 기여할 수 있다.
또한, 종래 기술과 달리 박막 증착 장비에서 증착 직전에 인시츄(in-situ)로 리세스(recess)를 형성하므로 기판과 박막간의 계면을 깨끗하게 유지할 수 있으므로 품질이 우수한 선택적 에피 박막을 얻을 수 있어 누설 전류(Junction Leakage Current)가 개선된 소자 특성을 얻을 수 있다.
또한, 본 발명에서와 같이 리세스 식각과 증착을 일관공정으로 함으로써 공정시간을 단축할 수 있다.
본 발명의 기술 사상은 상기 바람직한 실시예에 따라 구체적으로 기술되었으나, 상기 실시예는 그 설명을 위한 것이며, 그 제한을 위한 것이 아님을 주의하여야 한다. 또한, 본 발명의 기술분야의 통상의 전문가라면 본 발명의 기술사상의 범위내의 다양한 실시예가 가능함을 이해할 수 있을 것이다.
도 1a 내지 도 1d는 종래기술에 따른 스트레인드채널을 갖는 반도체장치의 제조 방법을 도시한 도면.
도 2a 내지 도 2e는 본 발명의 실시예에 따른 반도체장치의 스트레인드 채널 형성 방법을 도시한 도면.
도 3은 본 발명의 실시예에 따른 단결정막 성장후의 상태를 촬영한 사진.
* 도면의 주요 부분에 대한 부호의 설명
31 : 실리콘기판 32 : 필드산화막
37 : 게이트스페이서 38 : 더미게이트스페이서
39 : 리세스영역 40 : 단결정막

Claims (18)

  1. 실리콘기판 상에 게이트패턴을 형성하는 단계;
    상기 게이트패턴의 양측벽에 게이트스페이서를 형성하는 단계;
    상기 게이트스페이서 측벽에 더미게이트스페이서를 형성하는 단계;
    상기 실리콘기판을 리세스식각하여 상기 게이트패턴 아래의 채널영역 방향으로 측면이 확장된 리세스영역을 형성하는 단계;
    에피택셜 성장을 통해 소스영역 및 드레인영역이 되는 단결정막을 상기 리세스영역에 채우는 단계; 및
    상기 더미게이트스페이서를 제거하는 단계
    를 포함하는 반도체장치 제조 방법.
  2. 제1항에 있어서,
    상기 리세스영역의 측면은,
    상기 게이트스페이서 아래에서는 상기 채널영역에 근접하고 깊이가 깊어질수록 상기 채널영역으로부터 멀어지는 기울기를 갖는 반도체장치 제조 방법.
  3. 제1항에 있어서,
    상기 더미게이트스페이서는
    산화막을 포함하는 반도체장치 제조 방법.
  4. 제1항에 있어서,
    상기 리세스영역을 형성하는 단계는 등방성 식각으로 진행하는 반도체장치 제조 방법.
  5. 제1항에 있어서,
    상기 리세스영역을 형성하는 단계는 상기 에피택셜 성장이 이루어지는 증착장비에 상기 실리콘기판을 장착한 후에 인시튜로 진행하는 반도체장치 제조 방법.
  6. 제5항에 있어서,
    상기 증착장비에 장착하기 전에 세정 공정을 실시하는 반도체장치 제조 방법.
  7. 제6항에 있어서,
    상기 세정공정은 습식, 건식 또는 습식과 건식을 혼용하여 진행하는 반도체장치 제조 방법.
  8. 제1항에 있어서,
    상기 에피택셜성장 공정시, 상기 단결정막은 상기 더미게이트스페이서와 실리콘기판의 접촉면보다 높은 두께를 갖도록 성장시키는 반도체장치 제조 방법.
  9. 제1항에 있어서,
    상기 단결정막은, 실리콘저마늄막, 실리콘카본막 및 실리콘저마늄카본막으로 이루어진 그룹으로부터 선택된 적어도 어느 하나로 형성하는 반도체장치 제조 방법.
  10. 필드산화막이 형성된 실리콘기판 상에 게이트패턴을 형성하는 단계;
    상기 게이트패턴의 양측벽에 게이트스페이서를 형성하는 단계;
    상기 게이트스페이서 측벽에 더미게이트스페이서를 형성하는 단계;
    상기 더미게이트스페이서와 필드산화막 사이의 실리콘기판을 리세스식각하여 양쪽 측면이 기울기 프로파일을 갖는 리세스영역을 형성하는 단계;
    에피택셜 성장을 통해 소스영역 및 드레인영역이 되는 단결정막을 상기 리세스영역에 채우는 단계; 및
    상기 더미게이트스페이서를 제거하는 단계
    를 포함하는 반도체장치 제조 방법.
  11. 제10항에 있어서,
    상기 리세스영역의 양쪽 측면은,
    상기 게이트스페이서 아래에서는 채널영역에 근접하고 깊이가 깊어질수록 상기 채널영역으로부터 멀어지는 기울기를 갖는 반도체장치 제조 방법.
  12. 제10항에 있어서,
    상기 더미게이트스페이서는
    산화막을 포함하는 반도체장치 제조 방법.
  13. 제10항에 있어서,
    상기 리세스영역을 형성하는 단계는 등방성 식각으로 진행하는 반도체장치 제조 방법.
  14. 제10항에 있어서,
    상기 리세스영역을 형성하는 단계는 상기 에피택셜 성장이 이루어지는 증착장비에 상기 실리콘기판을 장착한 후에 인시튜로 진행하는 반도체장치 제조 방법.
  15. 제14항에 있어서,
    상기 증착장비에 장착하기 전에 세정 공정을 실시하는 반도체장치 제조 방법.
  16. 제15항에 있어서,
    상기 세정공정은 습식, 건식 또는 습식과 건식을 혼용하여 진행하는 반도체장치 제조 방법.
  17. 제10항에 있어서,
    상기 에피택셜성장 공정시, 상기 단결정막은 상기 더미게이트스페이서와 실리콘기판의 접촉면보다 높은 두께를 갖도록 성장시키는 반도체장치 제조 방법.
  18. 제10항에 있어서,
    상기 단결정막은, 실리콘저마늄막, 실리콘카본막 및 실리콘저마늄카본막으로 이루어진 그룹으로부터 선택된 적어도 어느 하나로 형성하는 반도체장치 제조 방법.
KR1020090060876A 2009-07-03 2009-07-03 스트레인드채널을 갖는 반도체장치 제조 방법 KR101050405B1 (ko)

Priority Applications (2)

Application Number Priority Date Filing Date Title
KR1020090060876A KR101050405B1 (ko) 2009-07-03 2009-07-03 스트레인드채널을 갖는 반도체장치 제조 방법
US12/646,207 US20110003450A1 (en) 2009-07-03 2009-12-23 Method for manufacturing semicondutor device with strained channel

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020090060876A KR101050405B1 (ko) 2009-07-03 2009-07-03 스트레인드채널을 갖는 반도체장치 제조 방법

Publications (2)

Publication Number Publication Date
KR20110003217A KR20110003217A (ko) 2011-01-11
KR101050405B1 true KR101050405B1 (ko) 2011-07-19

Family

ID=43412907

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020090060876A KR101050405B1 (ko) 2009-07-03 2009-07-03 스트레인드채널을 갖는 반도체장치 제조 방법

Country Status (2)

Country Link
US (1) US20110003450A1 (ko)
KR (1) KR101050405B1 (ko)

Families Citing this family (233)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8338884B2 (en) 2009-05-12 2012-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Selective epitaxial growth of semiconductor materials with reduced defects
US8492234B2 (en) 2010-06-29 2013-07-23 International Business Machines Corporation Field effect transistor device
CN102456739A (zh) * 2010-10-28 2012-05-16 中国科学院微电子研究所 半导体结构及其形成方法
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9847225B2 (en) * 2011-11-15 2017-12-19 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacturing the same
US9324811B2 (en) * 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9129823B2 (en) * 2013-03-15 2015-09-08 Taiwan Semiconductor Manufacturing Co., Ltd. Silicon recess ETCH and epitaxial deposit for shallow trench isolation (STI)
KR101998666B1 (ko) 2013-06-25 2019-10-02 삼성전자주식회사 반도체 장치 및 그 제조 방법
US9093298B2 (en) * 2013-08-22 2015-07-28 Texas Instruments Incorporated Silicide formation due to improved SiGe faceting
US9691898B2 (en) 2013-12-19 2017-06-27 Taiwan Semiconductor Manufacturing Co., Ltd. Germanium profile for channel strain
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
KR102171023B1 (ko) * 2014-07-21 2020-10-29 삼성전자주식회사 반도체 소자 제조방법
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10164050B2 (en) 2014-12-24 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device structure with gate stack
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
KR102302073B1 (ko) 2015-07-28 2021-09-14 삼성전자주식회사 반도체 소자 및 그 제조 방법
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9881821B2 (en) * 2015-12-30 2018-01-30 Taiwan Semiconductor Manufacturing Co., Ltd. Control wafer making device and method for measuring and monitoring control wafer
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
TWI821319B (zh) * 2018-07-05 2023-11-11 美商應用材料股份有限公司 利用先進控制方式的整合cmos源極汲極形成
US11309404B2 (en) * 2018-07-05 2022-04-19 Applied Materials, Inc. Integrated CMOS source drain formation with advanced control
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100746232B1 (ko) 2006-08-25 2007-08-03 삼성전자주식회사 스트레인드 채널을 갖는 모스 트랜지스터 및 그 제조방법
KR20080098820A (ko) * 2007-05-07 2008-11-12 주식회사 하이닉스반도체 반도체 소자의 제조방법
KR20090069930A (ko) * 2007-12-26 2009-07-01 삼성전자주식회사 반도체 장치의 제조 방법
JP2009152394A (ja) 2007-12-20 2009-07-09 Toshiba Corp 半導体装置及びその製造方法

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7176522B2 (en) * 2003-11-25 2007-02-13 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having high drive current and method of manufacturing thereof
US7195985B2 (en) * 2005-01-04 2007-03-27 Intel Corporation CMOS transistor junction regions formed by a CVD etching and deposition sequence
US7608515B2 (en) * 2006-02-14 2009-10-27 Taiwan Semiconductor Manufacturing Company, Ltd. Diffusion layer for stressed semiconductor devices
US7449753B2 (en) * 2006-04-10 2008-11-11 Taiwan Semiconductor Manufacturing Company, Ltd. Write margin improvement for SRAM cells with SiGe stressors
US7485524B2 (en) * 2006-06-21 2009-02-03 International Business Machines Corporation MOSFETs comprising source/drain regions with slanted upper surfaces, and method for fabricating the same
US7482211B2 (en) * 2006-06-22 2009-01-27 Taiwan Semiconductor Manufacturing Company, Ltd. Junction leakage reduction in SiGe process by implantation
US7605407B2 (en) * 2006-09-06 2009-10-20 Taiwan Semiconductor Manufacturing Company, Ltd. Composite stressors with variable element atomic concentrations in MOS devices
US7754571B2 (en) * 2006-11-03 2010-07-13 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming a strained channel in a semiconductor device
US7825477B2 (en) * 2007-04-23 2010-11-02 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device with localized stressor
US7989901B2 (en) * 2007-04-27 2011-08-02 Taiwan Semiconductor Manufacturing Company, Ltd. MOS devices with improved source/drain regions with SiGe
US7868361B2 (en) * 2007-06-21 2011-01-11 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device with both I/O and core components and method of fabricating same
US7928474B2 (en) * 2007-08-15 2011-04-19 Taiwan Semiconductor Manufacturing Company, Ltd., Forming embedded dielectric layers adjacent to sidewalls of shallow trench isolation regions
US20090140351A1 (en) * 2007-11-30 2009-06-04 Hong-Nien Lin MOS Devices Having Elevated Source/Drain Regions
US20090152590A1 (en) * 2007-12-13 2009-06-18 International Business Machines Corporation Method and structure for semiconductor devices with silicon-germanium deposits
US7678634B2 (en) * 2008-01-28 2010-03-16 International Business Machines Corporation Local stress engineering for CMOS devices
US20110031503A1 (en) * 2009-08-10 2011-02-10 International Business Machines Corporation Device with stressed channel
US7989298B1 (en) * 2010-01-25 2011-08-02 International Business Machines Corporation Transistor having V-shaped embedded stressor

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100746232B1 (ko) 2006-08-25 2007-08-03 삼성전자주식회사 스트레인드 채널을 갖는 모스 트랜지스터 및 그 제조방법
KR20080098820A (ko) * 2007-05-07 2008-11-12 주식회사 하이닉스반도체 반도체 소자의 제조방법
JP2009152394A (ja) 2007-12-20 2009-07-09 Toshiba Corp 半導体装置及びその製造方法
KR20090069930A (ko) * 2007-12-26 2009-07-01 삼성전자주식회사 반도체 장치의 제조 방법

Also Published As

Publication number Publication date
KR20110003217A (ko) 2011-01-11
US20110003450A1 (en) 2011-01-06

Similar Documents

Publication Publication Date Title
KR101050405B1 (ko) 스트레인드채널을 갖는 반도체장치 제조 방법
US7736982B2 (en) Method for forming a semiconductor device
US7772071B2 (en) Strained channel transistor and method of fabrication thereof
KR100621546B1 (ko) 엘리베이티드 소오스/드레인 구조의 모스트랜지스터 및 그제조방법
US8637373B2 (en) Transistors and methods of manufacturing the same
US7413961B2 (en) Method of fabricating a transistor structure
KR101369907B1 (ko) 트랜지스터 및 그 제조 방법
KR100691716B1 (ko) 반도체 장치 및 그 제조 방법
JP4847152B2 (ja) 半導体装置とその製造方法
US9287399B2 (en) Faceted intrinsic epitaxial buffer layer for reducing short channel effects while maximizing channel stress levels
US7791146B2 (en) Semiconductor device including field effect transistor and method of forming the same
US20090179236A1 (en) Recess Etch for Epitaxial SiGe
US8841191B2 (en) Semiconductor device and method of manufacturing same
KR20120038195A (ko) 반도체 소자 및 이의 제조 방법
US20080017931A1 (en) Metal-oxide-semiconductor transistor device, manufacturing method thereof, and method of improving drain current thereof
US20100230721A1 (en) Semiconductor device and manufacturing method of semiconductor device
US20090050965A1 (en) Semiconductor device and method of fabricating the same
US20100327329A1 (en) Semiconductor device and method of fabricating the same
KR100942965B1 (ko) 스트레인드채널을 갖는 반도체소자 및 그 제조 방법
JP2009524260A (ja) エピタキシャル成長したソース・ドレインに選択的に堆積させたキャッピング層の構造および製造方法
US20170025509A1 (en) Strained silicon germanium fin with controlled junction for finfet devices
JP5130648B2 (ja) 半導体装置の製造方法および半導体装置
JP4854719B2 (ja) 半導体装置の製造方法
US20080188043A1 (en) Method of manufacturing a semiconductor device
JP2008171999A (ja) 半導体装置およびその製造方法

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
LAPS Lapse due to unpaid annual fee