KR20130076979A - 반도체 소자 및 이의 제조방법 - Google Patents

반도체 소자 및 이의 제조방법 Download PDF

Info

Publication number
KR20130076979A
KR20130076979A KR1020110145433A KR20110145433A KR20130076979A KR 20130076979 A KR20130076979 A KR 20130076979A KR 1020110145433 A KR1020110145433 A KR 1020110145433A KR 20110145433 A KR20110145433 A KR 20110145433A KR 20130076979 A KR20130076979 A KR 20130076979A
Authority
KR
South Korea
Prior art keywords
film
layer
metal silicide
metal
forming
Prior art date
Application number
KR1020110145433A
Other languages
English (en)
Inventor
김진범
김영필
이관흠
이선길
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR1020110145433A priority Critical patent/KR20130076979A/ko
Priority to US13/728,622 priority patent/US8877583B2/en
Publication of KR20130076979A publication Critical patent/KR20130076979A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B99/00Subject matter not provided for in other groups of this subclass
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76886Modifying permanently or temporarily the pattern or the conductivity of conductive members, e.g. formation of alloys, reduction of contact resistances
    • H01L21/76889Modifying permanently or temporarily the pattern or the conductivity of conductive members, e.g. formation of alloys, reduction of contact resistances by forming silicides of refractory metals
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/60Electrodes
    • H01L28/82Electrodes with an enlarged surface, e.g. formed by texturisation
    • H01L28/90Electrodes with an enlarged surface, e.g. formed by texturisation having vertical extensions
    • H01L28/91Electrodes with an enlarged surface, e.g. formed by texturisation having vertical extensions made by depositing layers, e.g. by depositing alternating conductive and insulating layers
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • H10B12/03Making the capacitor or connections thereto
    • H10B12/033Making the capacitor or connections thereto the capacitor extending over the transistor
    • H10B12/0335Making a connection between the transistor and the capacitor, e.g. plug

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Semiconductor Memories (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

디램 소자의 오믹층을 형성하는 방법에 있어서, 하부 도전성 구조물이 배치된 기판을 덮고 하부 도전성 구조물과 연결되는 매립 콘택을 구비하는 층간 절연막을 형성한다. 층간절연막의 상면에 저온에서 수행되는 제1 열처리 및 고온에서 수행되는 제2 열처리를 통하여 형성된 금속 실리사이드 막을 구비하는 매립 콘택을 노출하는 노드 홀을 구비하는 몰드 막을 형성한다. 금속 실리사이드 막의 표면 및 노드 홀의 측벽에 하부 전극을 형성하고 하부전극을 노드분리 한다. 노드 분리된 상기 하부 전극의 표면을 덮는 유전막 및 유전막을 덮는 상부전극을 형성한다. 오믹층의 열적 안정성을 향상하여 후속 고온공정에서 응집현상에 의한 표면저항 증가를 방지한다.

Description

반도체 소자 및 이의 제조방법 {Semiconductor device and method of manufacturing the same}
본 발명은 반도체 소자 및 이의 제조방법에 관한 것으로서, 보다 상세하게는, 실린더형 커패시터를 구비하는 반도체 메모리 소자 및 이의 제조방법에 관한 것이다.
반도체 메모리 소자의 고집적화에 따라 디자인 룰이 감소하고 메모리 소자에서 단위 메모리 셀이 차지하는 면적도 점차 축소되고 있다. 특히, 하나의 액세스 트랜지스터(access transistor)와 하나의 셀 커패시터(cell capacitor)로 구성되어 단위 셀의 커패시터에 데이터를 저장하는 디램(DRAM) 소자에 있어서, 커패시터의 점유면적은 축소되고 있지만 메모리 소자에 요구되는 입출력 특성이나 재생 특성에 필요한 최소 커패시턴스는 유지될 것이 요구된다.
축소된 공간에 디램소자의 구동을 위한 최소 커패시턴스를 갖는 커패시터를 형성하기 위하여 커패시터를 구성하는 전극의 표면적을 높이기 위한 3차원 구조가 제안되었으며 상부 전극 및 하부전극이 모두 금속으로 이루어진 실린더형 금속-유전막-금속(cylindrical metal-insulator-metal(MIM)) 커패시터가 널리 이용되고 있다.
상기 실린더형 MIM 커패시터에 의하면, 하부에 배치된 액세스 트랜지스터의 활성영역과 전기적으로 연결되는 매립 콘택(buried contact)을 반도체 기판 상에 형성하고 매립 콘택의 상면에 커패시터의 하부전극을 형성하고 있다. 이때, 매립 콘택과 하부 전극 사이에 금속 실리사이드 막을 형성함으로써 금속물질로 이루어지는 하부전극과 폴리실리콘으로 이루어지는 매립 콘택 사이의 접촉저항을 감소시키고 있다.
종래의 실린더형 커패시터의 하부전극 형성방법에 의하면, 상기 매립 콘택을 노출하는 개구를 구비하도록 몰드막을 형성하고 상기 매립콘택의 표면을 덮는 금속막을 형성한다. 이어서, 열처리 공정을 수행하여 상기 금속과 매립 콘택의 폴리실리콘을 반응시킴으로써 금속 실리사이드를 형성한다. 후속하는 하부전극 형성공정의 반응물들이 상기 몰드막, 금속 실리사이드 막 또는 매립콘택으로 침투하는 것을 방지하기 위한 장벽층으로서 금속 질화막을 형성한다. 상기 금속 질화막의 상면에 도전성 금속층을 형성함으로써 상기 커패시터의 하부전극이 완성된다.
그러나, 상기 금속 실리사이드 막은 후속하는 고온의 질화공정이 수행되는 동안 응집(agglomeration)되어 금속 실리사이드 막의 면저항이 증가하는 문제점이 있다. 최악의 경우 응집부분에서 상기 금속 실리사이드 막이 끊어짐으로써 하부전극과 매립 콘택 사이에 전기적 단락이 발생할 수도 있다. 상기 오믹 층의 면저항 증가는 디램 메모리 소자의 고속 동작을 방해하거나 최악의 경우 동작불능을 야기함으로써 디램 소자의 수율감소로 이어진다. 금속 실리사이드 막의 응집현상에 의한 면저항 증가는 선폭이 감소할수록 빈번하게 발생하고 있다.
뿐만 아니라, 약 600℃ 내지 850℃의 범위에서 수행되는 종래의 질화공정은 상기 금속 실리사이드 막의 응집 현상뿐만 아니라 하부에 형성된 트랜지스터의 소스/드레인 영역으로 주입된 불순물(dopants)들의 활성을 저하시켜 트랜지스터의 채널저항을 증가시키는 원인으로 기능한다.
따라서, 커패시터의 하부전극과 매립 콘택 사이에서 오믹막으로 기능하는 금속 실리사이드 막의 열적 안정성을 강화시켜 후속하는 고온 공정에 의해서도 금속 실리사이드 막이 응집되는 것을 방지하고 하부에 배치되는 불순물들의 활성저하를 방지할 수 있는 새로운 메모리 소자의 제조방법이 요구되고 있다.
본 발명의 실시예들은 상기의 문제점을 해결하고자 제안된 것으로서, 금속 실리사이드 막이 후속하는 고온 공정에 의해 응집되는 것을 방지하여 오믹막의 표면저항이 증가하는 것을 방지할 수 있는 메모리 소자 및 이의 제조방법을 제공한다.
본 발명의 일 견지에 따른 반도체 소자의 제조방법에 의하면,
본 발명의 일 실시예에 의하면, 하부 도전성 구조물이 배치된 기판을 덮고 상기 하부 도전성 구조물과 연결되는 매립 콘택을 구비하는 층간 절연막을 형성하는 단계, 상기 층간절연막의 상면에 저온에서 수행되는 제1 열처리 및 고온에서 수행되는 제2 열처리를 통하여 형성된 금속 실리사이드 막을 구비하는 상기 매립 콘택을 노출하는 노드 홀을 구비하는 몰드 막을 형성하는 단계, 상기 금속 실리사이드 막의 표면 및 상기 노드 홀의 측벽에 하부 전극을 형성하는 단계, 상기 하부전극을 노드분리 하는 단계, 노드 분리된 상기 하부 전극의 표면을 덮는 유전막을 형성하는 단계, 및 상기 유전막을 덮는 상부전극을 형성하는 단계를 포함한다.
일실시예로서, 상기 노드 홀을 구비하는 몰드막을 형성하는 단계는, 상기 매립 콘택 및 상기 층간 절연막 상에 금속막을 형성하는 단계, 상기 제1 열처리 공정을 수행하여 상기 매립 콘택의 표면에 예비 금속 실리사이드 막을 형성하는 단계, 상기 예비 금속 실리사이드 막을 형성하지 않고 상기 층간 절연막 상에 잔류하는 금속막을 제거하는 단계, 상기 예비 금속 실리사이드 막에 대해서만 선택적으로 상기 제2 열처리를 수행하여 상기 매립 콘택의 표면에 상기 금속 실리사이드 막을 형성하는 단계, 상기 층간절연막 및 상기 금속 실리사이드 막 상에 식각 저지막 및 몰드막을 차례로 단계, 및 상기 몰드막 및 식각 저지막을 차례로 제거하여 상기 금속 실리사이드 막을 노출하는 상기 노드 홀을 형성하는 단계를 포함한다.
이때, 상기 제1 열처리는 급속 열처리 공정(rapid thermal process, RTP)을 포함하고 상기 제2 열처리는 밀리세컨 어닐링(millisecond annealing, MSA)공정을 포함하며 상기 급속 열처리는 250℃ 내지 350℃의 온도범위에서 수행되고 상기 MSA는 1000℃ 내지 1400℃의 온도범위에서 10나노초(nanosecond) 내지 5밀리초(millisecond) 동안 수행된다.
일실시예로서, 상기 MSA 공정은 레이저 어닐링 및 램프 타입의 플래시 어닐링을 포함한다.
일실시예로서, 상기 노드 홀을 구비하는 몰드막을 형성하는 단계는, 상기 매립 콘택 및 상기 층간 절연막 상에 식각 저지막 및 몰드막을 적층하는 단계, 상기 몰드막 및 식각 저지막을 차례로 제거하여 상기 매립 콘택을 노출하는 노드 홀을 형성하는 단계, 상기 노드 홀의 측벽, 상기 매립 콘택의 상면 및 상기 몰드막의 상면에 금속막을 형성하는 단계, 상기 제1 열처리 공정을 수행하여 상기 매립 콘택의 표면에 예비 금속 실리사이드 막을 형성하는 단계, 상기 예비 금속 실리사이드 막을 형성하지 않고 상기 노드 홀의 측벽 및 상기 몰드막의 상면에 잔류하는 금속막을 제거하는 단계, 및 상기 예비 금속 실리사이드 막에 대해서만 선택적으로 상기 제2 열처리를 수행하여 상기 매립 콘택의 표면에 상기 금속 실리사이드 막을 형성하는 단계를 포함한다.
이때, 상기 제1 열처리는 250℃ 내지 350℃의 온도범위에서 수행되는 급속 열처리 공정(rapid thermal process, RTP)을 포함하고 상기 제2 열처리는 1000℃ 내지 1400℃의 온도범위에서 10나노초(nanosecond) 내지 5밀리초(millisecond) 동안 수행되는 밀리세컨 어닐링(millisecond annealing, MSA)공정을 포함한다.
일실시예로서, 상기 금속 실리사이드 막은 모노 니켈 실리사이드(NiSi), 모노 니켈백금 실리사이드(NiPtSi) 및 이들의 합성물 중의 어느 하나를 포함한다.
일실시예로서, 상기 하부전극을 형성하는 단계는 상기 노드 홀의 측벽, 상기 금속 실리사이드 막의 표면 및 상기 몰드 막의 상면에 700℃ 내지 800℃의 온도로 금속 질화막을 증착하는 단계를 포함한다.
일실시예로서, 상기 하부전극을 형성하는 단계는, 상기 노드 홀의 측벽, 상기 금속 실리사이드 막의 표면 및 상기 몰드막의 상면에 하부전극용 금속막을 형성하는 단계, 및 상기 도전막에 대하여 700℃ 내지 1300℃의 온도범위에서 플라즈마 질화공정을 수행하여 상기 하부전극용 금속막을 질화하는 단계를 포함한다.
특히, 본 실시예에서 상기 하부전극을 형성하는 단계는, 상기 노드 홀의 측벽, 상기 금속 실리사이드 막의 표면 및 상기 몰드막의 상면에 티타늄(Ti)막을 형성하는 단계 및 상기 티타늄 막 상에 상기 노드 홀을 구비하는 몰드 막의 표면 프로파일을 따라 티타늄 질화막을 형성하는 단계를 포함한다.
일실시예로서, 상기 하부 전극을 노드 분리하는 단계 후에 하부 전극을 구비하는 상기 기판의 표면으로 플라즈마 질화처리를 수행하여 불순물을 제거하는 단계를 더 포함한다.
본 발명의 다른 견지에 따른 반도체 소자는 다수의 하부 도전성 구조물 및 상기 하부 도전성 구조물들을 덮어 서로 전기적으로 분리하고 상기 하부 도전성 구조물과 연결되는 매립 콘택을 구비하는 층간 절연막이 배치되는 기판; 상기 매립 콘택의 표면을 덮고 니켈을 포함하는 금속 실리사이드 막; 상기 금속 실리사이드 막 상에 배치되며 실린더 형상을 갖는 하부 전극; 상기 하부 전극의 표면을 따라 연장하는 유전막; 및 상기 유전막 상에 배치되며 평탄한 상면을 갖는 상부전극을 포함한다.
일실시예로서, 상기 매립 전극은 폴리실리콘을 포함하며 상기 금속 실리사이드 막은 모노 니켈 실리사이드 또는 모노 니켈백금 실리사이드를 포함하며 상기 하부전극은 단일한 티타늄 질화막 및 티타늄막과 티타늄 질화막의 이중막 중의 어느 하나를 포함한다.
일실시예로서, 상기 모노 니켈 백금 실리사이드는 백금의 조성비가 30원자 퍼센트보다 작은 니켈백금 합금을 포함한다.
상기와 같은 본 발명의 일실시예에 따르면, 디램 메모리 소자의 커패시터를 구성하는 하부전극과 하부 구조물을 연결하는 매립 콘택 사이에 배치되어 오믹층으로 기능하는 금속 실리사이드 막을 저온에서 수행되는 제1 열처리와 고온에서 순간적이고 국부적으로 수행되는 제2 열처리를 단계적으로 수행하여 형성함으로써 금속 실리사이드 막의 열적 안정성을 크게 개선할 수 있다. 특히, 저온 열처리 공정에 의해 형성된 예비 금속 실리사이드 막을 MSA 공정을 이용하여 순간적으로 용융시킨 후 재결정 과정을 거치게 함으로써 금속과 실리콘 사이의 결정구조를 안정화 시킬 수 있다.
도 1은 본 발명의 일실시예에 의한 반도체 소자를 나타내는 단면도이다.
도 2a 및 도 2l은 도 1에 도시된 반도체 소자의 제조방법을 나타내는 단면도들이다.
도 3a 내지 도 3d는 본 발명의 다른 실시예에 따라 도 1에 도시된 반도체 소자를 제조하는 방법을 나타내는 단면도들이다.
도 4는 본 발명의 일실시예에 따른 메모리 카드를 보여주는 개략적인 블록도이다.
도 5는 본 발명의 일실시에에 따른 전자 시스템을 보여주는 개략적인 블록도이다.
이하, 첨부한 도면들을 참조하여 본 발명의 바람직한 실시예들을 상세히 설명한다.
본 발명은 다양한 변경을 가할 수 있고 여러 가지 형태를 가질 수 있는 바, 특정 실시예들을 도면에 예시하고 본문에 상세하게 설명하고자 한다. 그러나, 이는 본 발명을 특정한 개시 형태에 대해 한정하려는 것이 아니며, 본 발명의 사상 및 기술 범위에 포함되는 모든 변경, 균등물 내지 대체물을 포함하는 것으로 이해되어야 한다. 각 도면을 설명하면서 유사한 참조부호를 유사한 구성요소에 대해 사용하였다.
제1, 제2 등의 용어는 다양한 구성요소들을 설명하는데 사용될 수 있지만, 상기 구성요소들은 상기 용어들에 의해 한정되어서는 안 된다. 상기 용어들은 하나의 구성요소를 다른 구성요소로부터 구별하는 목적으로만 사용된다. 예를 들어, 본 발명의 권리 범위를 벗어나지 않으면서 제1 구성요소는 제2 구성요소로 명명될 수 있고, 유사하게 제2 구성요소도 제1 구성요소로 명명될 수 있다.
본 출원에서 사용한 용어는 단지 특정한 실시예를 설명하기 위해 사용된 것으로, 본 발명을 한정하려는 의도가 아니다. 단수의 표현은 문맥상 명백하게 다르게 뜻하지 않는 한, 복수의 표현을 포함한다. 본 출원에서, "포함하다" 또는 "가지다" 등의 용어는 명세서상에 기재된 특징, 숫자, 단계, 동작, 구성요소, 부분품 또는 이들을 조합한 것이 존재함을 지정하려는 것이지, 하나 또는 그 이상의 다른 특징들이나 숫자, 단계, 동작, 구성요소, 부분품 또는 이들을 조합한 것들의 존재 또는 부가 가능성을 미리 배제하지 않는 것으로 이해되어야 한다.
다르게 정의되지 않는 한, 기술적이거나 과학적인 용어를 포함해서 여기서 사용되는 모든 용어들은 본 발명이 속하는 기술 분야에서 통상의 지식을 가진 자에 의해 일반적으로 이해되는 것과 동일한 의미를 가지고 있다. 일반적으로 사용되는 사전에 정의되어 있는 것과 같은 용어들은 관련 기술의 문맥 상 가지는 의미와 일치하는 의미를 가지는 것으로 해석되어야 하며, 본 출원에서 명백하게 정의하지 않는 한 이상적이거나 과도하게 형식적인 의미로 해석되지 않는다.
반도체 소자
도 1은 본 발명의 일실시예에 의한 반도체 소자를 나타내는 단면도이다. 일실시예로서, 도 1은 금속 실리사이드로 구성된 오믹층에 의해 스토리지 노드 콘택 플러그와 연결된 커패시터를 구비하는 디램 메모리 소자를 개시하고 있다. 그러나, 금속 실리사이드로 이루어진 오믹층에 의해 하부 구조물과 연결된 커패시터 구조를 갖는 다른 메모리 소자에도 적용될 수 있음은 자명하다.
도 1을 참조하면, 본 발명의 일실시예에 의한 반도체 소자(900)는 기판(100) 상에 배치된 다수의 도전성 구조물(미도시)을 덮고 상기 도전성 구조물과 연결되는 매립 콘택(260)이 관통하는 층간 절연막(250), 상기 매립 콘택(260)의 상면에 배치되고 니켈을 포함하는 금속물질을 구비하는 오믹층(320), 상기 오믹층(320)의 상면에 배치되는 실린더형 하부 전극(500), 상기 하부 전극(500)의 형상 프로파일을 따라 상기 하부 전극(500)의 표면에 배치되는 유전막(600) 및 상기 유전막(600)을 덮고 평탄한 상면을 갖는 상부전극을 포함한다.
일실시예로서, 상기 기판(100)은 실리콘 웨이퍼와 같은 반도체 기판을 포함하며 상기 도전성 구조물들이 배치되는 활성영역과 상기 활성영역(active region)을 한정하는 소자분리막이 구비되는 필드영역(field region)으로 구분된다.
상기 도전성 구조물은 상기 활성영역 상에 배치된 다수의 게이트 구조물들과 상기 게이트 구조물의 측부에 도전성 불순물들이 주입된 소스/드레인 영역을 포함한다. 또한, 상기 드레인 영역과 접촉하는 비트라인 콘택 및 상기 비트라인 콘택과 연결되는 비트라인과 상기 소스영역과 접촉하는 스토리지 노드 콘택 패드를 포함한다. 상기 게이트 구조물, 비트라인 콘택, 스토리지 노드 콘택 패드 및 비트라인은 각각 다수의 절연막에 의해 서로 전기적으로 절연되도록 배치된다.
상기 층간 절연막(250)은 상술한 바와 같은 하부 도전성 구조물들을 덮고 평탄한 상면을 갖도록 배치되며 상기 소스 영역과 연결되는 스토리지 노드 콘택 패드를 노출하는 콘택 홀(미도시)을 구비한다.
상기 매립 콘택(260)은 아스뮴(As)이나 인(P)이 도핑된 폴리실리콘을 포함하며 상기 콘택 홀을 매립하여 하부의 스토리지 노드 콘택 패드와 접촉한다. 상기 매립 콘택(260)의 상면은 평탄화 되어 상기 층간 절연막(250)과 동일한 상면을 갖는다.
상기 오믹층(320)은 상기 매립 콘택(260)의 표면에 배치되며 매립콘택(260)의 실리콘과 금속이 실리사이드 반응에 의해 형성되는 금속 실리사이드 막을 포함한다. 이때, 상기 오믹층(320)은 저온에서 수행되는 제1 열처리와 고온에서 순간적이고 국부적으로 수행되는 제2 열처리에 의해 수행되어 열적으로 안정한 결정구조를 갖는다.
예를 들면, 상기 오믹층(320)은 모노 니켈 실리사이드(NiSi) 또는 모노 니켈백금 실리사이드(NiPtSi)를 포함한다. 니켈 실리사이드는 종래의 백금 실리사이드나 코발트 실리사이드와 비교하여 비저항 특성이 우수하고 고온에서 실리콘의 소모량이 적기 때문에 실리사이드 막의 응집으로 인한 표면저항 증가를 방지하고 상기 하부 전극(500)과 매립 콘택(260) 사이의 접촉저항을 충분히 낮게 유지할 수 있다.
특히, 상기 오믹층(320)을 구성하는 니켈(백금) 실리사이드는 밀리세컨드 어닐링 (millisecond annealing) 공정에 의해 순간적으로 용융 된 후 재결정되는 과정을 거침으로써 열적으로 안정된 결정구조를 가질 수 있다. 이에 따라, 니켈(백금) 실리사이드의 열적 취약성을 충분히 제거함으로써 매립 콘택과 하부 전극 사이의 접촉저항을 충분히 개선할 수 있다.
상기 식각 저지막(410)은 실리콘 질화막을 포함하며 상기 하부 전극을 형성하기 위한 공정으로부터 하부의 도전성 구조물들을 보호한다.
상기 하부 전극(500)은 실린더 형상을 가지며 금속막이나 금속 질화막을 포함하며 상기 오믹층(320)을 매개로 상기 매립 콘택(260)과 접촉한다. 이에 따라, 상기 하부 전극(500)은 상기 매립 콘택(260)과 상기 스토리지 노드 콘택 패드를 경유하여 하부 구조물의 소스 영역과 전기적으로 연결된다. 본 실시예의 경우, 상기 하부 전극(500)은 단일한 티타늄 질화막(TiN) 또는 티타늄(Ti)막과 티타늄 질화막(TiN)의 이중막으로 배치될 수 있다.
상기 유전막(600)은 유전상수가 큰 고유전율 물질막 또는 이들의 산화막 및 산화막/질화막/산화막의 복합막을 포함한다. 상기 상부전극(700)은 상기 하부전극과 마찬가지로 금속물질로 형성하여 MIM 형 커패시터를 배치한다.
본 발명의 일실시예에 의한 디램 메모리 소자의하면, 매립 콘택과 하부 전극 사이에 배치되는 오믹층을 열적으로 안정한 니켈실리사이드 막이나 니켈백금 실리사이드 막으로 배치하여 실리사이드 막의 응집에 의한 표면저항 증가를 억제하면서 하부전극과 매립 콘택 사이의 접촉저항을 최소화할 수 있다. 이에 따라, 디램 메모리 소자의 동작속도를 향상할 수 있다.
반도체 소자의 제조방법
도 2a 및 도 2l은 도 1에 도시된 반도체 소자의 제조방법을 나타내는 단면도들이다. 도 2a 내지 도 2l은 디램 메모리 소자의 비트라인 방향으로 절단한 단면도를 나타낸다.
도 2a를 참조하면, 게이트 구조물(210)과 같은 다수의 하부 도전성 구조물들이 배치된 기판(100)상에 상기 하부 구조물들을 전기적으로 서로 절연하도록 덮고 상면이 평탄화된 층간 절연막(250)을 형성한다.
일실시예로서, 상기 기판(100)은 실리콘 웨이퍼와 같은 반도체 기판을 포함하며 상기 하부 도전성 구조물들이 배치되는 활성영역(A)과 상기 활성영역을 한정하는 필드영역(F)을 포함한다. 상기 필드영역(F)에는 셸로우 트렌치 소자 분리(Shallow Trench Isolation: STI) 공정과 같은 소자분리 공정에 의해 형성된 소자 분리막(105)이 구비된다.
상기 활성영역(A)의 기판 표면에 게이트 산화막 패턴(211) 및 게이트 도전막 패턴(212)이 적층되도록 형성하고 상기 게이트 산화막 패턴(211)과 상기 게이트 도전막 패턴(212)의 측벽에 스페이서 패턴(220)을 형성하여 상기 게이트 구조물(210)을 형성한다. 경우에 따라서는 상기 게이트 도전막 패턴(212)의 상부에 게이트 마스크 패턴(213)이 더 형성될 수 있다. 제1 방향을 따라 일렬로 배치되는 다수의 상기 게이트 구조물(210)들은 본 발명의 일실시예에 의한 반도체 메모리 소자의 워드라인(W)으로 기능하며 상기 제1 방향과 수직한 제2 방향을 따라 나란하게 인접한 상기 게이트 구조물(210)들은 상기 스페이서 패턴(220)에 의해 서로 전기적으로 절연된다. 상기 워드라인(W)들 사이로 노출되는 상기 활성영역(A)의 기판(100)으로 이온 주입공정에 의해 불순물을 주입하여 소스/드레인 접합영역을 형성한다.
이에 따라, 상기 소스/드레인 영역 및 게이트 구조물을 포함하는 MOS(Metal Oxide Semiconductor) 트랜지스터(T)들이 상기 기판(100) 상에 형성된다. 이 때, 상기 소스/드레인 영역들은 각기 후속하여 형성되는 커패시터의 스토리지 전극이 전기적으로 연결되는 스토리지 노드 콘택 영역과 비트라인이 전기적으로 연결되는 비트라인 콘택 영역으로 구분된다.
이어서, 상기 게이트 구조물(210)들 사이의 이격공간을 매립하도록 상기 기판(100)을 덮는 절연막(240)을 형성하고 상기 절연막(240)을 관통하여 상기 비트라인 콘택 영역과 접촉하는 비트라인 콘택 패드(234)를 형성한다. 이때, 상기 스토리지 노드 콘택 영역과 접촉하는 스토리지 노드 콘택 패드(232)가 상기 비트라인 콘택 패드(234)와 동시에 형성된다.
상기 절연막(240) 상에 하부 층간 절연막(252)을 형성하고 상기 비트라인 콘택패드(234)를 노출하는 비트라인 콘택 홀(미도시)을 형성한다. 상기 비트라인 콘택 홀을 매립하도록 상기 하부 층간 절연막(252)을 관통하는 비트라인 콘택 플러그(미도시) 및 상기 비트라인 콘택 플러그와 접촉하는 비트라인(미도시)들을 상기 하부 층간 절연막(252) 상에 형성한다.
상기 하부 층간절연막(252) 상에 상기 비트라인들을 전기적으로 절연시키고 상면이 평탄화된 상부 층간 절연막(254)을 형성한다. 이에 따라, 상기 기판(100)의 전면을 덮고 상기 하부 및 상부 층간 절연막(252, 254)으로 이루어지는 층간 절연막(250)을 형성한다. 따라서, 상기 층간 절연막(250)의 하부에는 다수의 비트라인들, 상기 비트라인과 연결된 비트라인 콘택 플러그, 상기 비트라인 콘택 플러그와 연결되고 상기 비트라인 콘택 영역(예를 들면, 드레인 영역)과 연결되는 비트라인 콘택 패드(234) 및 상기 게이트 구조물과 같은 다수의 도전성 구조물들이 전기적으로 서로 절연되어 배치된다.
본 실시예의 경우, 상기 상부 및 하부 층간 절연막(252, 254)들은 BPSG(Boro Phosphor Silicate Glass), USG(Undoped Silicate Glass), HDP(High Density Plasma) 산화물 또는 CVD 산화물을 포함할 수 있다.
도 2b를 참조하면, 사진 식각 공정에 의해 상부 층간 절연막(254) 및 하부 층간 절연막(252)을 부분적으로 제거하여 워드라인들(W) 사이에 형성된 스토리지 노드 콘택 패드(232)들을 노출시키는 스토리지 노드 콘택 홀(미도시)들을 형성하고 상기 스토리지 노드 콘택 홀을 매립하는 매립 콘택(260)를 형성한다.
이어서, 상기 스토리지 노드 콘택 홀을 매립하기에 충분한 두께를 갖는 도전막을 상기 층간 절연막(250)의 상면에 형성하고 상기 층간 절연막의 상면이 노출되도록 평탄화 함으로써 상기 스토리지 노드 콘택 홀을 매립하는 매립 콘택(260)를 형성한다. 따라서, 상기 매립 콘택(260)는 상기 층간절연막(250)을 관통하여 하부의 스토리지 노드 콘택 패드(232)와 연결되며 상면은 상기 층간 절연막(250)의 표면과 동일한 평면을 공유한다.
이때, 상기 도전막은 상기 스토리 노드 콘택 패드(232)와 유사한 물질로 형성하여 콘택 패드(232)와 콘택 플러그(260) 사이의 접촉저항을 최소화 한다. 예를 들면 상기 도전막은 아스뮴(As)이나 인(P)과 같은 불순물이 도핑된 폴리실리콘으로 형성될 수 있다.
도 2c를 참조하면, 상기 층간 절연막(250) 및 상기 매립 콘택(260)의 상면에 금속 실리사이드 막을 형성하기 위한 제1 금속막(310)을 형성한다.
일실시예로서, 상기 제1 금속막(310)은 니켈(Ni), 백금(Pt), 티타늄(Ti), 루비늄(Ru), 로듐(Rh), 코발트(Co), 하프늄(Hf), 탄탈륨(Ta), 에르븀(Er), 이테르븀(Yb), 텅스텐(W), 및 이들의 합금 중 적어도 하나를 포함하며 물리기상 증착(Physical Vapor Deposition, PVD) 공정, 화학기상증착(Chemical Vapor Deposition, CVD) 공정, 원자층 증착(Atomic Layer Deposition, ALD) 공정, 플라즈마 증강 CVD(plasma enhanced CVD, PECVD) 및 사이클릭 CVD(cyclic CVD) 공정과 같은 다양한 증착공정에 의해 형성될 수 있다.
본 실시예의 경우, 폴리실리콘 성분을 구비하는 상기 매립 콘택(260)와 접촉저항 및 열처리 공정시 실리콘의 소모량이 상대적으로 가장 우수한 니켈(Ni)을 이용하여 상기 제1 금속막(310)을 형성한다.
예를 들면, 상기 제1 금속막(310)은 니켈(Ni) 또는 니켈-백금(Ni-Pt) 합금을 이용하여 형성된다. 니켈과 결합하는 불순물의 조성비가 커질수록 상기 매립 콘택(260)와의 접촉저항이 증가하므로 커패시터의 오믹층으로 이용하기 위해서는 불순물의 조성비가 적절한 정도로 제한되어야 한다. 상기 니켈-백금 합금의 경우 백금의 조성비는 약 30원자 퍼센트(atomic percent, at%) 이하를 갖도록 제어된다.
또한, 상기 제1 금속막(310)은 후속하는 열처리에 의해 상기 매립 콘택(260)와 반응하여 금속 실리사이드를 형성하므로 소요되는 열처리 시간 동안 필요한 두께의 금속 실리사이드가 생성될 수 있을 정도의 금속원자를 제공할 수 있는 두께를 갖도록 형성한다. 상기 제1 금속층(310)의 두께가 너무 작은 경우에는 필요한 두께의 금속 실리사이드가 형성되지 않거나 금속 실리사이드를 형성하기 위한 열처리 시간이 증대되고 상기 제1 금속층(310)이 너무 두꺼운 경우에는 미반응 금속층은 결국 제거되어야 하므로 제조원가를 증대시킨다.
도 2d를 참조하면, 상기 제1 금속막(310)에 상대적으로 저온에서 진행되는 제1 열처리 공정(350)을 수행하여 상기 매립 콘택과 접촉하는 상기 제1 금속막(310)을 예비 금속 실리사이드 막(320a)으로 형성한다.
일실시예로서, 상기 제1 열처리 공정(350)은 후속하는 제2 열처리 공정과 비교하여 상대적으로 저온에서 수행되는 공정으로서 실리콘과 반응하여 금속성분이 우세한 금속 실리사이드를 형성한다. 즉, 금속과 실리콘의 원자 비율이 1보다 큰 금속우세 금속 실리사이드(metal rich metal silicide)를 형성한다. 예를 들면, 상기 제1 열처리 공정은 급속 열처리 공정(rapid thermal process, RTP)을 이용하여 약 250℃ 내지 350℃의 범위에서 수행한다.
제1 열처리 공정(350)에 의해 매립 콘택(260)의 실리콘(Si)과 상기 제1 금속막(310)의 금속이 서로 반응하여 상기 예비 금속 실리사이드 막(320a)이 형성된다. 이때, 상대적으로 저온에서 급속히 진행되는 열처리 공정에 의해 실리콘 원자에 비하여 금속원자의 비율이 우세한 금속 실리사이드(metal rich metal silicide)가 형성된다. 예를 들면, 상기 제1 금속막(310)이 니켈 또는 니켈백금 합금인 경우에는 상기 예비 금속 실리사이드 막은 디니켈 실리사이드(Ni2Si) 또는 디니켈백금 실리사이드((NiPt)2Si)로 구성될 수 있다.
도 2e를 참조하면, 식각 공정을 수행하여 실리콘과 반응하지 않은 미반응 금속막을 상기 층간 절연막(250)으로부터 제거한다. 이에 따라, 상기 매립 콘택(260)의 상면에만 상기 예비 금속 실리사이드(320a)가 잔류한다. 이때, 상기 식각 공정은 금속원자만 선택적으로 제거할 수 있는 건식 식각 공정을 포함한다.
예를 들면, 상기 기판(100) 상으로 상기 제1 금속막(310)의 금속 염화물(MClx) 또는 상기 금속 염화물과 아르곤(Ar)이나 질소(N2)와 같은 불활성 가스를 함께 공급한다. 상기 금속 염화물 식각 가스는 반응하지 않고 남아있는 상기 제1 금속막(310)과 다음과 같은 반응식을 따라 반응하여 미반응 잔류 금속을 제거한다.
M+MClx → MCly (x, y는 정수) ------- (1)
반응식 (1)의 반응속도는 금속염화물의 유량, 공급시간 및 기판(100)의 온도에 따라 결정되므로, 상기 제1 금속막(310)이 적정한 속도로 제거되도록 금속염화물의 유량과 공급 속도를 조절할 수 있다.
도 2f를 참조하면, 상기 예비 금속 실리사이드에 대하여 제2 열처리 공정(360)을 수행하여 열적 안정성이 우수한 금속 실리사이드(320)를 형성한다. 이때, 상기 제2 열처리 공정(360)은 고온에서 짧은 시간 동안 상기 예비 금속 실리사이드 막(320a)에 대해서만 선택적으로 실시한다.
일실시예로서, 상기 제2 열처리 공정(360)은 상기 제1 열처리 공정(350)과 비교하여 상대적으로 고온에서 수행되는 밀리-세컨드 어닐링(millisecond annealing, MSA) 공정을 포함한다. 예를 들면, 상기 MSA 공정은 레이저 어닐링 장비 또는 플래시 어닐링 장비를 이용하여 수행되며 상기 예비 금속 실리사이드 막(320a)에 대하여 순간적으로 수행되어 상기 예비 금속 실리사이드 막(320a)은 순간적으로 용융상태를 거치게 된다.
본 실시예의 경우, 상기 MSA 공정은 공정챔버의 내부 온도를 약 300℃ 내지 약 400℃의 온도로 유지하면서 상기 예비 실리사이드 막(320a)을 약 10나노초(nanosecond) 내지 5밀리초(millisecond) 동안 약 1000℃ 내지 약 1400℃의 고온으로 열처리한다.
이에 따라, 금속 우세 금속 실리사이드로 구성된 상기 예비 금속 실리사이드 막(320a)은 순간적으로 용융되고 상기 MSA 공정이 완료된 후 재결정 과정을 거친다. 금속 우세 실리사이드 구조는 용융상태에서 실리콘 원자와 금속 원자는 결합상태는 에너지 준위가 더욱 낮은 상태로 변경되어 더욱 안정적인 결합구조를 갖는다. 상기 MSA 공정이 완료되면 더욱 안정적인 결합구조를 갖도록 재결정되어 금속 실리사이드 막(320)으로 형성된다. 따라서, 상기 예비 금속 실리사이드 막(320a)로부터 상기 MSA 공정을 이용한 열처리를 통하여 안정적인 결합구조를 갖는 금속 실리사이드 막(320)을 형성한다.
따라서, 제2 열처리 공정(360)에 의해 매립 콘택(260)의 상면에 안정적인 결합구조를 갖는 금속 실리사이드 막(320)이 형성된다. 금속원자와 실리콘 원자의 안정적인 결합구조에 의해 후속하는 고온 공정에 대해서도 내열성이 강화되어 금속 실리사이드 막(320)의 응집(agglomeration)이나 보이드(void)를 방지할 수 있다.
상기 금속 실리사이드 막(320)은 금속과 실리콘의 조성비가 동일하거나 실리콘의 성분이 더 큰 실리콘 우세 실리사이드 구조를 가질 수 있으며 에피택시 구조를 가짐으로써 단결정과 유사한 막 구조로 형성될 수 있다. 이에 따라, 상기 금속 실리사이드 막(320)은 후속하는 700℃ 이상의 고온 공정에서도 막질 구조의 변형 없이 원래의 모폴로지(morphology)를 유지할 수 있다.
예를 들면, 상기 제1 금속막(310)이 니켈 또는 니켈백금 합금인 경우에는 상기 금속 실리사이드 막(320)은 모노 니켈 실리사이드(NiSi) 또는 모노 니켈백금 실리사이드((NiPt)Si)로 구성될 수 있다.
특히, 상기 제2 열처리 공정은 고온 열처리 공정이기는 하지만 극히 짧은 순간동안 상기 예비 금속 실리사이드 막(320a)에 대해서만 제한적으로 수행되어 하부에 형성된 워드라인(W)이나 상기 기판(100)의 주변영역으로의 열전달을 최소화할 수 있다. 이에 따라, 고온 열처리 공정에도 불구하고 상기 워드라인(W) 주변의 소스/드레인 접합영역이나 주변회로 영역의 접합영역에 주입된 불순물들이 엉키는 것을 방지할 수 있다.
도 2g를 참조하면, 하부전극용 개구(422)를 구비하는 몰드막 패턴(420)을 형성하고 상기 하부 전극용 개구(422)를 통하여 상기 금속 실리사이드 막(320)을 노출시킨다.
일실시예로서, 상기 금속 실리사이드 막(320) 및 상기 층간 절연막(250)을 덮도록 실리콘 질화물로 이루어지는 식각 저지막(미도시)을 형성하고 상기 식각 저지막 상에 커패시터의 하부 전극을 형성하기 위한 형틀로 기능하는 몰드막(미도시)을 형성한다. 즉, 상기 몰드막은 커패시터의 하부전극 길이에 대응하는 높이를 갖도록 형성한다.
상기 몰드막은 상기 식각 저지막에 대하여 식각 선택비가 높은 물질로 형성하여 후속하는 습식 식각 공정에서 상기 식각 저지막에 대하여 선택적인 식각이 가능하도록 형성한다. 예를 들면, 상기 식각 저지막은 실리콘 질화막(SiN)이나 실리콘 산질화막(SiON)으로 구성되며 상기 몰드막은 PETEOS, BPSG 또는 PSG와 같은 산화물로 구성된다.
이어서, 상기 몰드막의 상부에 포토레지스터 패턴을 형성하고 상기 포토레지스터 패턴을 식각 마스크로 이용한 식각 공정으로 상기 몰드막 및 식각 저지막을 차례대로 제거하여 상기 금속 실리사이드 막(320)을 노출하는 하부 전극용 개구(422)를 구비하는 몰드막 패턴(420) 및 식각 저지막 패턴(410)을 형성한다.
이때, 상기 몰드막에 대한 식각 공정은 상기 식각 저지막이 노출되는 시점에서 정지되도록 제어하여 몰드막 하부에 형성된 구조물들이 식각되는 것을 방지한다. 이어서, 상기 몰드막 패턴을 통하여 노출된 식각 저지막을 추가로 제거하여 상기 금속 실리사이드 막(320)을 노출하는 식각 저지막 패턴(410)을 형성한다.
이에 따라, 상기 층간 절연막(250) 상에 상기 금속 실리사이드 막(320)을 노출하는 하부 전극용 개구(422)를 구비하는 식각 저지막 패턴(410) 및 몰드막 패턴(420)이 형성된다.
이어서, 암모늄(NH3) 또는 질소(N2) 가스를 이용한 플라즈마 질화공정을 선택적으로 수행하여 상기 금속 실리사이드 막(320)의 표면을 질화막으로 개질시켜 산소에 대한 확산 저지막으로 기능할 수 있게 형성할 수 있다.
뿐만 아니라, 상기 하부 전극용 개구(422)를 구비하는 기판(100)에 대하여 HF 수용액을 식각액으로 이용하는 습식 세정을 더 수행하여 상기 하부전극용 개구(422)를 통하여 노출된 상기 금속 실리사이드 막(260)의 표면에 생성된 자연 산화막을 제거할 수 있다.
도 2h를 참조하면, 상기 하부 전극용 개구(422)의 형상 프로파일을 따라 상기 몰드막 패턴(420) 상에 제1 도전막(500a)을 형성한다. 상기 제1 도전막(500a)은 백금, 루테늄, 이리듐과 같은 귀금속(noble metal)과 이들의 전도성 산화막(RuO2, IrO2), 티타늄, 텅스텐, 탄탈륨과 같은 내화성 금속(refractory metal)과 이들의 전도성 질화막(TiN, TaN, WN) 중의 어느 하나 또는 이들의 복합막으로 형성할 수 있다.
일실시예로서, 상기 제1 도전막(500a)은 CVD, ALD, PECVD 또는 사이클릭 CVD를 이용하여 형성된 금속 질화물을 포함하는 단일막으로 형성될 수 있다.
예를 들면, 약 700℃ 내지 약 800℃의 온도 및 약 2 내지 5토르의 압력이 유지되는 공정챔버 내에서 상기 기판 상에 TiCl4, NH3 및 질소(N2)와 같은 불활성 가스를 공급하여 상기 몰드 막 패턴(420) 상에 티타늄 질화막(TiN)을 증착한다. 이와 달리, 상기 몰드막(420) 상에 티타늄(Ti)막과 같은 하부 전극용 금속막을 증착한 후 암모늄 가스 또는 질소 가스를 이용하여 약 700℃ 내지 약 1300℃의 온도로 플라즈마 질화공정을 수행함으로써 상기 제1 도전막(500a)을 형성할 수도 있다. 상기 플라즈마 질화공정은 공정조건에 따라 1나노초 내지 120초 동안 수행될 수 있다. 이때, 상기 플라즈마 질화처리에 의한 티타늄 질화막은 티타늄 막의 표면에 질소 성분을 풍부하게 구비함으로써 증착공정에 의해 형성된 티타늄 질화막과 비교하여 상이한 결정구조를 갖는다.
이때, 오믹층으로 기능하는 상기 금속 질화막(320)은 MSA링 공정을 통하여 열적으로 안정적인 구조를 갖고 있으므로 상기 제1 도전막(500a)을 형성하기 위한 고온 공정이 수행되는 동안 응집되는 것을 방지할 수 있다. 이에 따라, 고온 공정에 의해 오믹막의 표면저항이 증가하는 것을 방지할 수 있다.
다른 실시예로서, 상기 제1 도전막(500a)은 CVD, ALD, PECVD 또는 사이클릭 CVD를 이용하여 형성된 금속막과 금속 질화막을 구비하는 이중막으로 형성될 수 있다. CVD 공정에 의해 상기 몰드막 패턴(420)의 상면에 제2 금속막(미도시)을 증착하고 상기 제2 금속막 상에 상기 제2 금속막을 구성하는 금속물질의 질화물을 포함하는 금속 질화막을 증착하여 이중막으로 형성할 수 있다.
예를 들면, 상기 제1 도전막(500a)은 티타늄 막과 티타늄 질화막의 이중막으로 형성할 수 있다. 이때, 상기 티타늄 막은 티타늄 질화막과 서로 다른 결정구조를 가지고 있으므로 후속하는 몰드막이나 희생 산화막을 제거하는 습식식각 공정에서 식각액이 하부 구조무로 침투하는 것을 방지하는 방어막(blockign layer)으로 기능할 수 있다.
도 2i를 참조하면, 상기 제1 도전막(500a) 상에 상기 하부 전극용 개구(422)를 매립하는 희생막(550a)을 형성한다.
상기 희생막(550a)은 상기 몰드막 패턴(420)과 식각 선택비가 작아서 몰딩막 패턴(420) 및 희생막 제거시 동시에 제거되도록 하는 것이 바람직하다. 예를들면, 상기 희생막(550a)은 상기 몰드막 패턴(420)과 유사하게 PETEOS, BPSG 및 PSG와 같은 산화물을 포함할 수 있다.
도 2j를 참조하면, 상기 희생막(550a) 및 상기 제1 도전막(500a)을 평탄화 공정에 의해 상기 몰드막 패턴(420)의 상면이 노출되도록 부분적으로 제거하여 희생막 패턴(550) 및 하부전극(500)을 형성한다. 예를 들면, 화학 기계적 연마공정에 의해 상기 희생막(550a) 및 제1 도전막(500a)을 부분적으로 제거할 수 있다.
다른 실시예로서, 희생막을 형성하지 않고 에치백 공정에 의해 제1 도전막(500a)을 평탄화시켜 몰드막 패턴(420)의 상면을 노출시켜 하부 전극(500)을 먼저 형성한 후에 상기 하부 전극용 개구(422)를 매립하여 희생막을 형성할 수도 있다.
도 2k를 참조하면, 상기 희생막 패턴(550) 및 상기 몰드막 패턴(420)을 제거하여 상기 하부전극(500)을 노드 분리시킨다.
예를 들면, BOE(buffered oxide etchant) 또는 HF 수용액 (aqueous HF solution)을 식각액으로 이용하는 습식식각 공정으로 상기 희생막 패턴(550)과 몰드막 패턴(420)을 제거할 수 있다.
이후, 노드 분리된 상기 하부 전극(500) 상에 추가적인 열처리 공정을 더 수행할 수 있다. 열처리 공정은 퍼니스에서 가스 없이 진행하거나 질소가스 또는 아르곤 가스 등의 불활성 가스를 포함하여 550℃ 내지 약 650℃에서 수행될 수 있다. 이때, 암모니아 가스를 공급하여 하부 전극(500) 내의 불순물을 제거하거나 하부 전극(500)을 구성하는 질화막의 스트레스를 완화할 수 있다.
이때, 상기 열처리 공정의 고온에 의해 상기 금속 실리사이드 막(320)이 응집되는 것을 방지함으로써 매립 콘택(260)과 하부 전극(500) 사이의 접촉저항이 증가하는 것을 방지할 수 있다.
도 2l을 참조하면, 노드 분리된 상기 하부 전극(500)의 표면을 덮는 유전막(600) 및 상기 유전막(600)을 덮는 제2 도전막(700)을 증착함으로써 디램소자의 커패시터를 완성한다. 상기 제2 도전막(700)은 상기 유전막(600)을 기준으로 상기 하부 전극(500)과 대응하도록 배치되어 디램 소자용 커패시터의 상부전극으로 기능한다.
이어서, 상기 상부전극을 덮는 상부 층간 절연막(미도시) 및 상기 상부 층간절연막을 관통하는 배선 구조물 및 상기 배선 구조물을 덮는 페시베이션 막을 형성함으로써 반도체 소자를 완성한다.
도 3a 내지 도 3d는 본 발명의 다른 실시예에 따라 도 1에 도시된 반도체 소자를 제조하는 방법을 나타내는 단면도들이다. 본 실시예에 의한 반도체 소자의 제조방법은 커패시터의 오믹층으로 기능하는 금속 실리사이드 막을 형성하기 전에 몰드막 패턴을 먼저 형성한다는 점을 제외하고는 도 2a 내지 도 2l에 도시된 제조방법과 동일하다. 따라서, 이하에서는 금속 실리사이드 막을 형성하는 공정을 중심으로 설명한다. 도 3a 내지 도 3d에서 동일한 참조부호는 도 2a 내지 도 2l과 동일한 구성요소를 지칭하며 동일한 구성요소는 동일한 구성과 기능을 수행한다.
먼저, 도 2a 내지 도 2b를 참조하여 설명한 것과 동일한 공정에 의해 기판(100) 상에 층간절연막(250) 및 상기 층간 절연막(250)을 관통하여 스토리지 노드 콘택 패드(232)와 접촉하는 매립 콘택을 형성한다.
이어서, 도 3a에 도시된 바와 같이, 상기 층간 절연막(250)을 덮고 매립 콘택(260)을 노출하는 하부 전극용 개구(462)를 구비하는 식각 저지막 패턴(450) 및 몰드막 패턴(460)을 형성한다.
예를 들면, 상기 층간 절연막(250) 및 매립 콘택(260)을 덮는 식각 저지막(미도시) 및 몰드막(미도시)을 차례대로 적층하고 사진 식각 공정으로 상기 매립 콘택(260)이 노출되도록 몰드막 및 식각 저지막을 차례대로 제거하여 식각 저지막 패턴(450) 및 몰드막 패턴(460)을 형성한다.
상기 식각 저지막 패턴(450) 및 몰드막 패턴(460)은 도 1g를 참조하여 설명된 식각 저지막 패턴(410) 및 몰드막 패턴(420)과 동일한 구성을 가지므로 더 이상의 자세한 설명은 생략한다.
도 3b를 참조하면, 상기 하부 전극용 개구(462)의 측벽과 바닥면 및 상기 몰드막 패턴(460)의 상면을 덮는 제1 금속막(312)을 형성한다.
일실시예로서, 상기 제1 금속막(312)은 니켈(Ni), 백금(Pt), 티타늄(Ti), 루비늄(Ru), 로듐(Rh), 코발트(Co), 하프늄(Hf), 탄탈륨(Ta), 에르븀(Er), 이테르븀(Yb), 텅스텐(W), 및 이들의 합금 중 적어도 하나를 포함하며 물리기상 증착(Physical Vapor Deposition, PVD) 공정, 화학기상증착(Chemical Vapor Deposition, CVD) 공정, 원자층 증착(Atomic Layer Deposition, ALD) 공정, 플라즈마 증강 CVD(plasma enhanced CVD, PECVD) 및 사이클릭 CVD(cyclic CVD) 공정과 같은 다양한 증착공정에 의해 형성될 수 있다.
상기 제1 금속막(312)은 도 1c에 도시된 제1 금속막(310)과 동일한 조성과 구성을 가지며 공정을 통하여 형성할 수 있다. 따라서, 제1 금속막(312)을 형성하는 공정에 대한 더 이상의 상세한 설명은 생략한다. 다만, 본 실시예의 경우 상기 하부 전극용 개구(462)의 프로파일을 따라 일정하게 형성되는 것이 바람직하므로 스텝 커버리지(step coverage)가 더 양호한 증착조건을 선택하는 것이 바람직하다.
도 3c를 참조하면, 상기 제1 금속막(312)에 대하여 상대적으로 저온에서 진행되는 상기 제1 열처리 공정(350)을 수행하여 상기 매립 콘택(260)과 접촉하는 제1 금속막(312)을 예비 금속 실리사이드 막(322a)으로 형성한다. 상기 몰드막 패턴(460)은 실리콘(Si)을 포함하지 않고 산화물(Oxide)로 이루어져 형성되므로, 상기 하부 전극용 개구(462)의 측벽 및 몰드막 패턴(460)의 상면에 형성된 제1 금속막(312)은 실리사이드 반응이 일어나지 않는다.
상기 제1 열처리 공정(350)은 도 1d를 참조하여 설명한 제1 열처리 공정과 실질적으로 동일하므로 더 이상의 자세한 설명은 생략한다. 본 실시예의 경우, 상기 예비 금속 실리사이드 막(322a)은 디니켈 실리사이드(Ni2Si) 또는 디니켈백금 실리사이드((NiPt)2Si)로 구성될 수 있다.
이어서, 도 3d에 도시된 바와 같이 실리사이드 반응이 수행되지 않고 잔류하는 미반응 제1 금속막(312)은 건식 식각 공정에 의해 상기 몰드막 패턴(460)으로부터 제거된다. 따라서, 상기 하부 전극용 개구(462)의 내부에는 상기 매립 콘택(260)을 덮는 예비 금속 실리사이드 막(322a)만 잔류하게 된다. 미반응 잔류 금속막을 제거하기 위한 식각 공정은 도 1e를 참조하여 설명한 건식 식각 공정과 실질적으로 동일하므로 더 이상의 상세한 설명은 생략한다.
이어서, 상기 예비 금속 실리사이드 막(322a)에 대하여 도 1f에 도시된 바와 같은 제2 열처리 공정(360)을 수행하여 열적 안정성이 우수한 금속 실리사이드(322)를 형성한다. 이때, 상기 제2 열처리 공정(360)은 고온에서 짧은 시간 동안 상기 예비 금속 실리사이드 막(322a)에 대해서만 선택적으로 실시하는 MSA 공정을 포함한다.
이에 따라, 금속 우세 금속 실리사이드로 구성된 상기 예비 금속 실리사이드 막(322a)은 순간적으로 용융되고 상기 MSA 공정이 완료된 후 재결정 과정을 거친다. 금속 우세 실리사이드 구조는 용융상태에서 실리콘 원자와 금속 원자는 결합상태는 에너지 준위가 더욱 낮은 상태로 변경되어 더욱 안정적인 결합구조를 갖는다. 상기 MSA 공정이 완료되면 더욱 안정적인 결합구조를 갖도록 재결정되어 금속 실리사이드 막(320)으로 형성된다. 따라서, 상기 예비 금속 실리사이드 막(322a)로부터 상기 MSA 공정을 이용한 열처리를 통하여 안정적인 결합구조를 갖는 금속 실리사이드 막(322)을 형성한다.
따라서, 제2 열처리 공정(360)에 의해 매립 콘택(260)의 상면에 안정적인 결합구조를 갖는 금속 실리사이드 막(322)이 형성된다. 금속원자와 실리콘 원자의 안정적인 결합구조에 의해 후속하는 고온 공정에 대해서도 내열성이 강화되어 금속 실리사이드 막(322)의 응집(agglomeration)이나 보이드(void)를 방지할 수 있다. 본 실시예의 경우, 상기 금속 실리사이드 막(322)은 모노 니켈 실리사이드(NiSi) 또는 모노 니켈백금 실리사이드((NiPt)Si)로 구성될 수 있다.
특히, 상기 제2 열처리 공정(360)은 고온 열처리 공정이기는 하지만 극히 짧은 순간동안 상기 예비 금속 실리사이드 막(322a)에 대해서만 제한적으로 수행되어 하부에 형성된 워드라인(W)이나 상기 기판(100)의 주변영역으로의 열전달을 최소화할 수 있다. 이에 따라, 고온 열처리 공정에도 불구하고 상기 워드라인(W) 주변의 소스/드레인 접합영역이나 주변회로 영역의 접합영역에 주입된 불순물들이 엉키는 것을 방지할 수 있다.
이어서, 도 2h 내지 도 2l에 도시된 바와 실질적으로 동일한 공정을 수행하여 상기 금속 실리사이드 막(322)의 상면에 하부전극, 유전막 및 상부전극을 형성하여 반도체 소자를 형성한다.
상술한 바와 같은 반도체 소자의 제조방법에 의하면, 디램 메모리 소자의 커패시터를 구성하는 하부전극과 스토리지 노드 콘택 플러그인 매립 콘택(260) 사이에 배치되어 오믹층으로 기능하는 금속 실리사이드 막을 저온에서 수행되는 제1 열처리와 고온에서 순간적이고 국부적으로 수행되는 제2 열처리의 단계적 수행에 의해 형성함으로써 금속 실리사이드 막의 열적 안정성을 크게 개선할 수 있다. 특히, 저온 열처리 공정에 의해 형성된 예비 금속 실리사이드 막을 MSA 공정을 이용하여 순간적으로 용융시킨 후 재결정 과정을 거치게 함으로써 금속과 실리콘 사이의 결정구조를 안정화 시킬 수 있다.
이에 따라, 후속하는 다양한 고온 공정에서 상기 금속 실리사이드 막의 응집현상을 방지하여 오믹층의 표면저항이 증가하는 것을 방지할 수 있다. 특히, 니켈(Ni)은 종래의 티타늄(Ti)이나 코발트(Co)와 비교하여 실리사이데이션(silicidation) 반응에서 실리콘 소모량도 작고 비저항도 낮은 장번에도 불구하고 후속 공정에서의 열적 안정성이 취약하여 디램 공정에서는 이용되지 못하고 있었지만 상술한 바와 같은 MSA 공정을 이용함으로써 디램 메모리 소자의 커패시터용 오믹층으로 이용할 수 있다.
반도체 소자를 구비하는 시스템
도 4는 본 발명의 일실시예에 따른 메모리 카드(1000)를 보여주는 개략적인 블록도이다.
도 4를 참조하면, 본 발명의 일실시예에 의한 메모리 카드(1000)는 데이터의 저장 및 출력을 제어하는 제어기(1010) 및 상기 제어기의 제어신호에 따라 데이터를 저장하는 메모리(1020)를 포함한다. 상기 제어기(1010)는 처리명령 신호를 상기 메모리(1020)로 전송함으로써 데이터를 처리하며 상기 메모리(1020)는 처리명령 신호에 따라 데이터를 저장하거나 외부로 출력한다. 이때, 데이터는 상기 제어기(1010)로 데이터를 출력하여 제어기(1010)에 의해 가공된 데이터를 다시 저장할 수도 있으며 메모리 카드(1000)의 외부로 데이터를 출력할 수도 있다.
따라서, 상기 제어기(1010)는 메모리(1020)와 데이터를 서로 주고받을 수 있는 프로세서를 포함할 수 있다. 또한, 상기 메모리(1020)는 도 1에 도시된 디램 메모리 소자와 같은 반도체 소자를 구비할 수 있다. 즉, 커패시터의 하부 전극과 스토리지 노드 콘택 플러그 사이에 니켈 실리사이드로 구성된 오믹층이 배치되어 접촉저항을 최소화할 수 있다. 따라서, 상기 메모리(1020)는 안정적으로 빠른 동작속도를 구현할 수 있다.
도 5는 본 발명의 일실시에에 따른 전자 시스템을 보여주는 개략적인 블록도이다.
도 5를 참조하면, 본 발명의 일실시예에 의한 전자 시스템(1100)은 데이터를 가공하는 프로세서(1110), 데이터를 저장하는 메모리(1120), 외부와 데이터를 주고 받는 입출력 장치(1130) 및 상기 프로세서, 메모리 및 입출력 장치와 데이터를 서로 교환하는 데이터 라인(1140)을 포함한다.
상기 프로세서(1110)는 명령체계의 집합인 프로그램을 실행하고 상기 전자 시스템(1100)을 제어한다. 상기 전자 시스템(1100)으로 가공할 데이터나 가공된 데이터는 상기 입출력 장치(1130)를 통하여 외부로부터 입력되거나 외부로 출력된다.
상기 메모리(1120)는 상기 프로세서(1110)의 동작을 위한 코드 및 데이터를 저장할 수 있다. 예를 들어, 상기 메모리(1120)는 도 1에 도시된 바와 같은 디램소자를 포함할 수 있다. 이에 따라, 디램소자의 액세스 트랜지스터와 커패시터 사이의 접촉저항을 최소화함으로써 디램소자의 동작속도를 현저하게 개선할 수 있다. 이에 따라, 상기 메모리(1120)의 성능을 향상할 수 있다.
상기 전자 시스템(1100)은 메모리를 필요로 하는 다양한 전자제품에 응용될 수 있다. 예들 들면, 모바일 폰(mobile phone), MP3 플레이어, 네비게이션(navigation), 고상 디스크(solid state disk, SSD) 또는 다양한 가정용 가전제품(household appliance)에 응용될 수 있다.
본 발명의 실시예들에 따르면, 디램 메모리 소자의 커패시터를 구성하는 하부전극과 하부 구조물을 연결하는 매립 콘택 사이에 배치되어 오믹층으로 기능하는 금속 실리사이드 막을 저온에서 수행되는 제1 열처리와 고온에서 순간적이고 국부적으로 수행되는 제2 열처리를 단계적으로 수행하여 형성함으로써 금속 실리사이드 막의 열적 안정성을 크게 개선할 수 있다. 특히, 저온 열처리 공정에 의해 형성된 예비 금속 실리사이드 막을 MSA 공정을 이용하여 순간적으로 용융시킨 후 재결정 과정을 거치게 함으로써 금속과 실리콘 사이의 결정구조를 안정화 시킬 수 있다.
이에 따라, 후속하는 다양한 고온 공정에서 상기 금속 실리사이드 막의 응집현상을 방지하여 오믹층의 표면저항이 증가하는 것을 방지할 수 있다. 특히, 니켈(Ni)은 종래의 티타늄(Ti)이나 코발트(Co)와 비교하여 실리사이데이션(silicidation) 반응에서 실리콘 소모량도 작고 비저항도 낮은 장점에도 불구하고 후속 공정에서의 열적 안정성이 취약하여 디램 공정에서는 이용되지 못하고 있었지만 상술한 바와 같은 MSA 공정을 이용함으로써 디램 메모리 소자의 커패시터용 오믹층으로 이용할 수 있다.
상기에서는 본 발명의 바람직한 실시예를 참조하여 설명하였지만, 해당 기술 분야의 숙련된 당업자는 하기의 특허 청구 범위에 기재된 본 발명의 사상 및 영역으로부터 벗어나지 않는 범위 내에서 본 발명을 다양하게 수정 및 변경시킬 수 있음을 이해할 수 있을 것이다.
100: 지지대 200: 제1 공급부
300: 제2 공급부 400: 제어부
900: 기판 세척장치

Claims (10)

  1. 하부 도전성 구조물이 배치된 기판을 덮고 상기 하부 도전성 구조물과 연결되는 매립 콘택을 구비하는 층간 절연막을 형성하는 단계;
    상기 층간절연막의 상면에 저온에서 수행되는 제1 열처리 및 고온에서 수행되는 제2 열처리를 통하여 형성된 금속 실리사이드 막을 구비하는 상기 매립 콘택을 노출하는 노드 홀을 구비하는 몰드 막을 형성하는 단계;
    상기 금속 실리사이드 막의 표면 및 상기 노드 홀의 측벽에 하부 전극을 형성하는 단계;
    상기 하부전극을 노드분리 하는 단계;
    노드 분리된 상기 하부 전극의 표면을 덮는 유전막을 형성하는 단계; 및
    상기 유전막을 덮는 상부전극을 형성하는 단계를 포함하는 것을 특징으로 하는 반도체 소자의 제조방법.
  2. 제1항에 있어서, 상기 노드 홀을 구비하는 몰드막을 형성하는 단계는,
    상기 매립 콘택 및 상기 층간 절연막 상에 금속막을 형성하는 단계;
    상기 제1 열처리 공정을 수행하여 상기 매립 콘택의 표면에 예비 금속 실리사이드 막을 형성하는 단계;
    상기 예비 금속 실리사이드 막을 형성하지 않고 상기 층간 절연막 상에 잔류하는 금속막을 제거하는 단계;
    상기 예비 금속 실리사이드 막에 대해서만 선택적으로 상기 제2 열처리를 수행하여 상기 매립 콘택의 표면에 상기 금속 실리사이드 막을 형성하는 단계;
    상기 층간절연막 및 상기 금속 실리사이드 막 상에 식각 저지막 및 몰드막을 차례로 단계; 및
    상기 몰드막 및 식각 저지막을 차례로 제거하여 상기 금속 실리사이드 막을 노출하는 상기 노드 홀을 형성하는 단계를 포함하는 것을 특징으로 하는 반도체 소자의 제조방법.
  3. 제2항에 있어서, 상기 제1 열처리는 급속 열처리 공정(rapid thermal process, RTP)을 포함하고 상기 제2 열처리는 밀리세컨 어닐링(millisecond annealing, MSA)공정을 포함하는 것을 특징으로 하는 반도체 소자의 제조방법.
  4. 제3항에 있어서, 상기 급속 열처리는 250℃ 내지 350℃의 온도범위에서 수행되고 상기 MSA는 1000℃ 내지 1400℃의 온도범위에서 10나노초(nanosecond) 내지 5밀리초(millisecond) 동안 수행되는 것을 특징으로 하는 반도체 소자의 제조방법.
  5. 제1항에 있어서, 상기 노드 홀을 구비하는 몰드막을 형성하는 단계는,
    상기 매립 콘택 및 상기 층간 절연막 상에 식각 저지막 및 몰드막을 적층하는 단계;
    상기 몰드막 및 식각 저지막을 차례로 제거하여 상기 매립 콘택을 노출하는 노드 홀을 형성하는 단계;
    상기 노드 홀의 측벽, 상기 매립 콘택의 상면 및 상기 몰드막의 상면에 금속막을 형성하는 단계;
    상기 제1 열처리 공정을 수행하여 상기 매립 콘택의 표면에 예비 금속 실리사이드 막을 형성하는 단계;
    상기 예비 금속 실리사이드 막을 형성하지 않고 상기 노드 홀의 측벽 및 상기 몰드막의 상면에 잔류하는 금속막을 제거하는 단계; 및
    상기 예비 금속 실리사이드 막에 대해서만 선택적으로 상기 제2 열처리를 수행하여 상기 매립 콘택의 표면에 상기 금속 실리사이드 막을 형성하는 단계를 포함하는 것을 특징으로 하는 반도체 소자의 제조방법.
  6. 제5항에 있어서, 상기 제1 열처리는 250℃ 내지 350℃의 온도범위에서 수행되는 급속 열처리 공정(rapid thermal process, RTP)을 포함하고 상기 제2 열처리는 1000℃ 내지 1400℃의 온도범위에서 10나노초(nanosecond) 내지 5밀리초(millisecond) 동안 수행되는 밀리세컨 어닐링(millisecond annealing, MSA)공정을 포함하는 것을 특징으로 하는 반도체 소자의 제조방법.
  7. 제1항에 있어서, 상기 하부전극을 형성하는 단계는 상기 노드 홀의 측벽, 상기 금속 실리사이드 막의 표면 및 상기 몰드 막의 상면에 700℃ 내지 800℃의 온도로 금속 질화막을 증착하는 단계를 포함하는 것을 특징으로 하는 반도체 소자의 제조방법.
  8. 제1항에 있어서, 상기 하부전극을 형성하는 단계는,
    상기 노드 홀의 측벽, 상기 금속 실리사이드 막의 표면 및 상기 몰드막의 상면에 하부전극용 금속막을 형성하는 단계; 및
    상기 도전막에 대하여 700℃ 내지 1300℃의 온도범위에서 플라즈마 질화공정을 수행하여 상기 하부전극용 금속막을 질화하는 단계를 포함하는 것을 특징으로 하는 반도체 소자의 제조방법.
  9. 제1항에 있어서, 상기 하부전극을 형성하는 단계는,
    상기 노드 홀의 측벽, 상기 금속 실리사이드 막의 표면 및 상기 몰드막의 상면에 티타늄(Ti)막을 형성하는 단계; 및
    상기 티타늄 막 상에 상기 노드 홀을 구비하는 몰드 막의 표면 프로파일을 따라 티타늄 질화막을 형성하는 단계를 포함하는 것을 특징으로 하는 반도체 소자의 제조방법.
  10. 다수의 하부 도전성 구조물 및 상기 하부 도전성 구조물들을 덮어 서로 전기적으로 분리하고 상기 하부 도전성 구조물과 연결되는 매립 콘택을 구비하는 층간 절연막이 배치되는 기판;
    상기 매립 콘택의 표면을 덮고 니켈을 포함하는 금속 실리사이드 막;
    상기 금속 실리사이드 막 상에 배치되며 실린더 형상을 갖는 하부 전극;
    상기 하부 전극의 표면을 따라 연장하는 유전막; 및
    상기 유전막 상에 배치되며 평탄한 상면을 갖는 상부전극을 포함하는 것을 특징으로 하는 반도체 소자.
KR1020110145433A 2011-12-29 2011-12-29 반도체 소자 및 이의 제조방법 KR20130076979A (ko)

Priority Applications (2)

Application Number Priority Date Filing Date Title
KR1020110145433A KR20130076979A (ko) 2011-12-29 2011-12-29 반도체 소자 및 이의 제조방법
US13/728,622 US8877583B2 (en) 2011-12-29 2012-12-27 Method of manufacturing a semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020110145433A KR20130076979A (ko) 2011-12-29 2011-12-29 반도체 소자 및 이의 제조방법

Publications (1)

Publication Number Publication Date
KR20130076979A true KR20130076979A (ko) 2013-07-09

Family

ID=48695139

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020110145433A KR20130076979A (ko) 2011-12-29 2011-12-29 반도체 소자 및 이의 제조방법

Country Status (2)

Country Link
US (1) US8877583B2 (ko)
KR (1) KR20130076979A (ko)

Families Citing this family (311)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
KR102085526B1 (ko) * 2013-10-01 2020-03-06 삼성전자 주식회사 반도체 소자 및 그 제조 방법
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10153351B2 (en) * 2016-01-29 2018-12-11 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and a method for fabricating the same
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) * 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
CN109390285B (zh) 2017-08-08 2021-02-12 联华电子股份有限公司 接触结构及其制作方法
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
US10818748B2 (en) * 2018-05-14 2020-10-27 Microchip Technology Incorporated Thin-film resistor (TFR) formed under a metal layer and method of fabrication
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TW202405221A (zh) 2018-06-27 2024-02-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11222818B2 (en) * 2018-07-13 2022-01-11 Taiwan Semiconductor Manufacturing Co., Ltd. Formation method of semiconductor device structure with metal-semiconductor compound region
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
KR20210089079A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 채널형 리프트 핀
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
CN113053808B (zh) * 2021-03-18 2022-06-17 长鑫存储技术有限公司 半导体结构制作方法及半导体结构
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6265262B1 (en) 1999-06-02 2001-07-24 Matsushita Electric Industrial Co., Ltd. Semiconductor device and method of fabricating the same
KR100399071B1 (ko) 2001-05-03 2003-09-26 주식회사 하이닉스반도체 캐패시터의 제조 방법
KR100623590B1 (ko) 2004-07-29 2006-09-19 주식회사 하이닉스반도체 반도체 메모리 소자의 실린더형 캐패시터 형성방법
KR100630695B1 (ko) * 2004-08-04 2006-10-02 삼성전자주식회사 반도체 메모리 소자의 제조 방법
KR20060013278A (ko) 2004-08-06 2006-02-09 삼성전자주식회사 엠아이엠 캐패시터 제조 방법
KR100693786B1 (ko) 2005-05-26 2007-03-12 주식회사 하이닉스반도체 반도체 소자 제조 방법
JP4267010B2 (ja) 2006-08-02 2009-05-27 エルピーダメモリ株式会社 半導体装置の製造方法
KR20080064498A (ko) 2007-01-05 2008-07-09 주식회사 하이닉스반도체 캐패시터의 하부전극 형성방법
US20120122288A1 (en) * 2010-11-12 2012-05-17 Chao-Ching Hsieh Method of fabricating a silicide layer

Also Published As

Publication number Publication date
US20130171818A1 (en) 2013-07-04
US8877583B2 (en) 2014-11-04

Similar Documents

Publication Publication Date Title
KR20130076979A (ko) 반도체 소자 및 이의 제조방법
US9466724B2 (en) Semiconductor devices having source/drain and method of fabricating the same
US9536868B2 (en) Semiconductor device
KR101626954B1 (ko) 반도체 장치의 캐패시터 제조 방법 및 이에 따라 제조된 반도체 장치의 캐패시터
KR101843442B1 (ko) 반도체 소자 제조 방법
US20030178684A1 (en) Semiconductor device and method for fabricating the same
US9059090B2 (en) Semiconductor device and method for fabricating the same
US8748263B2 (en) Methods of fabricating a semiconductor device comprising a conformal interfacial layer
CN103903994A (zh) 包括气隙的半导体器件及其制造方法
US8835275B2 (en) Semiconductor devices having nitrided gate insulating layer and methods of fabricating the same
TW201801195A (zh) 垂直鰭式場效電晶體及其製造方法與具有其的半導體裝置
CN103681599A (zh) 具有掩埋位线的半导体器件及其制造方法
US9548259B2 (en) Semiconductor device and method for manufacturing the same
JP2004152995A (ja) 半導体装置の製造方法
KR20160139301A (ko) 스트레서를 가지는 반도체 소자 및 그 제조 방법
KR20130106159A (ko) 매립비트라인을 구비한 반도체장치 및 제조 방법
KR20150031672A (ko) 반도체 소자의 제조 방법
US8969971B2 (en) Semiconductor devices including a resistor structure
US8962455B2 (en) Method of fabricating semiconductor device
JP2001217403A (ja) 半導体集積回路装置およびその製造方法
KR20140003206A (ko) 매립비트라인을 구비한 반도체 장치 및 그 제조방법
KR20160009755A (ko) 반도체 장치 및 그 제조 방법
CN110875318B (zh) 存储器及其形成方法
JP2002353334A (ja) 半導体装置およびその製造方法
KR20110090442A (ko) 비휘발성 메모리 소자 및 그 제조 방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E601 Decision to refuse application