TWI541928B - 晶圓載具 - Google Patents

晶圓載具 Download PDF

Info

Publication number
TWI541928B
TWI541928B TW100137510A TW100137510A TWI541928B TW I541928 B TWI541928 B TW I541928B TW 100137510 A TW100137510 A TW 100137510A TW 100137510 A TW100137510 A TW 100137510A TW I541928 B TWI541928 B TW I541928B
Authority
TW
Taiwan
Prior art keywords
wafer
wafer carrier
carrier
recess
height
Prior art date
Application number
TW100137510A
Other languages
English (en)
Other versions
TW201316440A (zh
Inventor
張中英
羅雲明
沈圻
曾楹珍
Original Assignee
晶元光電股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 晶元光電股份有限公司 filed Critical 晶元光電股份有限公司
Priority to TW100137510A priority Critical patent/TWI541928B/zh
Priority to US13/649,445 priority patent/US9691668B2/en
Publication of TW201316440A publication Critical patent/TW201316440A/zh
Application granted granted Critical
Publication of TWI541928B publication Critical patent/TWI541928B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4585Devices at or outside the perimeter of the substrate support, e.g. clamping rings, shrouds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere

Description

晶圓載具
本發明係關於一種晶圓載具,尤其是一種關於包含一具曲面的承載主體及複數個支撐柱的晶圓載具。
在發光二極體的製程中,磊晶層需要成長在一基板上,基板的功能類似於晶圓拉晶時的晶種。當基板的晶格常數與磊晶層的晶格常數相近,在磊晶層成長時可以減少磊晶層與基板之間晶格的差排、錯位等缺陷。基板的選擇以相同於磊晶層的材料最佳,因為基板與磊晶層的晶格常數等物理特性相近,在磊晶層成長於基板的過程中較不會因為不同的反應爐溫度範圍,而在磊晶層與基板之間產生應力,形成翹曲,影響磊晶層的品質。但是在某些磊晶層材料上,並無相同於磊晶層材料的基板可供使用,亦無相同於磊晶層晶格常數的材料可以使用,亦或是考量到生產成本的因素而無法選擇最理想的基板。
綜合上述原因,一旦基板材料與磊晶層材料不同,亦或是磊晶層的組成材料有數種,只要其中一種或一種以上的磊晶層材料與基板的材料不同,或是晶格常數不同、膨脹係數不同、硬度不同,這都將導致磊晶層成長於基板的過程中,因不同的反應爐溫度而在磊晶層與基板之間產生不同的應力,形成不同的翹曲或形變。輕度的應力可能造成磊晶層因受熱不均勻而導致磊晶品質不佳,且磊晶層形變所造成的彎曲也會影響後續的製程。但是,如果所產生的應力過大,則可能導致磊晶層破裂。
一般用於發光二極體磊晶層成長的方式包含氣相磊晶法(VPE)或有機金屬化學氣相沉積法(MOCVD)。其中,有機金屬化學氣相沉積法(MOCVD)是最常用的磊晶技術,通常用來成長GaN、AlGaInP等薄膜。首先,將一基板放置於一載具(carrier)上,然後將位在載具上的基板移置於一反應爐中成長一磊晶層,形成一晶圓結構。在磊晶層成長的過程中,反應爐溫度會持續變化。由於磊晶層和基板的晶格常數、熱膨脹係數不同,在不同的溫度區間,晶圓結構會產生不同程度的翹曲和形變。
晶圓結構的翹曲會使晶圓無法與載具完全貼合,造成晶圓結構表面溫度分佈不均勻,如果此時正在成長一發光層,晶圓結構表面溫度分佈不均勻將會影響到晶圓結構上不同區域的發光層發光波長分佈不同。
圖1描述了習知技術中一晶圓載具10,包含一承載主體100具有一凹口102,凹口102之底面103為一平面。一晶圓104包含一成長基板及一成長於成長基板上的磊晶層,其中磊晶層包含一發光層。在磊晶層成長於成長基板的過程中,反應爐溫度會持續變化。因磊晶層和成長基板的晶格常數、熱膨脹係數不同,在不同的溫度區間,晶圓會產生不同程度的翹曲和形變。如圖1所示,晶圓104的側視圖為一凸面,當成長發光層於成長基板上時,因晶圓104與載具凹口102之底面103頂觸的區域只有晶圓104周圍部分區域,此時用於成長發光層的反應爐溫度如果以晶圓104的中心區域為考量,將導致晶圓104邊緣的成長溫度與晶圓104中心區域的成長溫度不同。由於成長於成長基板上的發光層因晶圓104上不同的區域有不同的成長溫度,其發光波長亦不同。
圖2描述了習知技術中一晶圓載具20,包含一承載主體200具有一凹口202,凹口202之底面203為一平面。一晶圓204包含一成長基板及一成長於成長基板上的磊晶層,其中磊晶層包含一發光層。如圖2所示,晶圓204的側視圖為一凹面,當成長發光層於成長基板上時,因晶圓204與載具凹口202之底面203頂觸的區域只有晶圓204中心區域,晶圓204容易晃動。當晶圓載具20高速旋轉時,晶圓204可能飛出。
如圖3A所示,一晶圓載具30,包含一承載主體300具有一凹口302,凹口302之底面303為一平面;以及一支撐環305位於承載主體300之周邊。一晶圓304包含一成長基板及一成長於成長基板上的磊晶層,其中磊晶層包含一發光層。
如圖3B所示,支撐環305的上視形狀大約為一圓形。支撐環305沿著晶圓304周圍將晶圓304架高,使晶圓304不會因只有晶圓304的中心區域與載具凹口302之底面303相頂觸而容易晃動。但是,支撐環305與晶圓304外圍直接接觸使晶圓外圍的成長溫度與晶圓中心區域的成長溫度不同。由於成長於成長基板上的發光層因晶圓304外圍與中心區域有不同的成長溫度,其發光波長亦不同。
本發明乃提出一晶圓載具以改善一晶圓外圍與中心區域的發光二極體晶粒發光波長的均勻性。
依據本發明一實施例的一晶圓載具,包含:一具有一高度之承載主體,具有一凹口,凹口之底面為一曲面,其中曲面包含一凸面自凹口之側邊向凹口之圓心凸出一高度或一凹面自凹口之側邊向凹口之圓心凹陷一深度;以及複數個支撐柱位於承載主體之周邊。
為了使本發明之敘述更加詳盡與完備,請參照下列描述並配合圖4A至圖8B之圖示。如圖4A所示,依據本發明第一實施例之一晶圓載具40之剖面圖如下:如圖4A所示,本發明第一實施例之晶圓載具40,包含一具有一高度401之承載主體400,承載主體400具有一凹口402,凹口402之底面403為一曲面;以及複數個支撐柱405位於承載主體400之周邊。
本發明第一實施例之晶圓載具40的凹口402的上視形狀大約為一圓形,其尺寸為可容置一直徑2~8吋之商用晶圓。如圖8A所示,圖8A為一晶圓載具80之上視圖,如果是為承載4吋或是4吋以上的晶圓,晶圓載具80凹口的上視形狀更包含一平邊803。一晶圓404包含一成長基板及一成長於成長基板上的磊晶層,其中磊晶層包含一發光層。磊晶層之材料包含一種以上之元素選自鎵(Ga)、鋁(Al)、銦(In)、磷(P)、氮(N)、鋅(Zn)、鎘(Cd)、及硒(Se)所構成之群組。
承載主體400之材料包含複合性材料,例如陶瓷;半導體材料,例如氮化硼、碳化矽;導電性材料,例如石墨或金屬,其中金屬包含鉬、鎢、鈦、鋯或上述之任意合金;非導電性材料,例如石英。
本發明第一實施例中,凹口402的上視形狀大約為圓形,其中凹口的上視形狀包含一側邊及一圓心。凹口402之底面403為曲面,其中曲面包含一凸面自凹口402之側邊向凹口402之圓心凸出一高度403a。在本實施例中,凸面高度403a介於15至1000微米之間。凸面高度403a與晶圓載具40所承載的晶圓404尺寸成一正比關係,其中,晶圓尺寸與凸面高度之間正比比值的範圍介於7至125之間。當晶圓404尺寸越大,在高溫下成長磊晶層時,晶圓404所產生的翹曲亦越大,所以晶圓載具40之承載主體400之凸面高度403a亦需要再增高。當晶圓載具40所承載的晶圓404尺寸為2吋時,承載主體400之凸面高度403a範圍介於15至65微米之間。當晶圓載具40所承載的晶圓404尺寸為4吋時,承載主體400之凸面高度403a範圍介於15至160微米之間。當晶圓載具40所承載的晶圓404尺寸為6吋時,承載主體400之凸面高度403a範圍介於15至400微米之間。當晶圓載具40所承載的晶圓404尺寸為8吋時,承載主體400之凸面高度403a範圍介於15至1000微米之間。
由於磊晶層和成長基板的晶格常數、熱膨脹係數不同,在不同的溫度區間,晶圓會產生不同程度的翹曲和形變。在本實施例中,如果此時晶圓的翹曲形狀為一凸面,選擇包含凸面的晶圓載具40會使晶圓表面溫度分佈較均勻,晶圓上不同區域的發光層發光波長分佈亦較均勻。
本發明第一實施例之晶圓載具40更包含複數個支撐柱405位於承載主體400之周邊。在本實施例中,複數個支撐柱405的數量為至少三個,且複數個支撐柱405位於承載主體400之周邊。複數個支撐柱405位於承載主體400之周邊的上視圖如圖6所示,圖6為一晶圓載具60之上視圖,複數個支撐柱605的數量為至少三個,且複數個支撐柱605位於承載主體之周邊。
本發明第一實施例之各複數個支撐柱405的上視圖如圖7所示。圖7為一晶圓載具701之各複數個支撐柱704之上視圖,各複數個支撐柱704之上視圖包含一第一側邊702,其中第一側邊更包含一具有一第一曲率半徑的第一弧面;及複數個第二側邊703,其中各複數個第二側邊更包含一具有一第二曲率半徑的第二弧面,且第二曲率半徑不同於第一曲率半徑。
如圖4A所示,各複數個支撐柱405具有一高度405a小於承載主體400之高度401,且各複數個支撐柱高度405a大於承載主體400之凸面高度403a。在本實施例中,各複數個支撐柱405之高度405a介於15至1000微米之間。複數個支撐柱405之材料包含複合性材料,例如陶瓷;半導體材料,例如氮化硼、碳化矽;導電性材料,例如石墨或金屬,其中金屬包含鉬、鎢、鈦、鋯或上述之任意合金;非導電性材料,例如、石英。
圖4B為晶圓404之上視圖,晶圓404包含一平邊4041,如圖4A所示,在本實施例中,晶圓404被複數個支撐柱405架高後,由於晶圓404無法透過直接與晶圓載具40之底面403接觸而受熱,且平邊4041處因加熱不易,影響到晶圓404上發光層的發光波長。此現象隨著晶圓404尺寸加大而更加明顯。當晶圓載具80凹口包含平邊803,如圖8A所示,可減少晶圓平邊8041和晶圓載具平邊803間的空隙803a,而降低晶圓平邊4041和晶圓載具平邊803間的空隙803a所產生受熱不佳的情形,如圖8B所示。故在本實施例中,晶圓載具40係承載4吋或是4吋以上的晶圓,且晶圓載具40凹口的上視形狀更包含一平邊。
依據本發明第二實施例之一晶圓載具50之剖面圖如下:如圖5A所示,本發明第二實施例之晶圓載具50,包含一具有一高度501之承載主體500,承載主體500具有一凹口502,凹口502之底面503為一曲面;以及複數個支撐柱505位於承載主體500之周邊。
本發明第二實施例之晶圓載具50的凹口502的上視形狀大約為一圓形,其尺寸為可容置一直徑2~8吋之商用晶圓。如圖8A所示,圖8A為晶圓載具80之上視圖,如果是為承載4吋或是4吋以上的晶圓,晶圓載具80凹口的上視形狀更包含平邊803。一晶圓504包含一成長基板及一成長於成長基板上的磊晶層,其中磊晶層包含一發光層。磊晶層之材料包含一種以上之元素選自鎵(Ga)、鋁(Al)、銦(In)、磷(P)、氮(N)、鋅(Zn)、鎘(Cd)、及硒(Se)所構成之群組。
承載主體500之材料包含複合性材料,例如陶瓷;半導體材料,例如氮化硼、碳化矽;導電性材料,例如石墨或金屬,其中金屬包含鉬、鎢、鈦、鋯或上述之任意合金;非導電性材料,例如石英。
本發明第二實施例中,凹口502的上視形狀大約為圓形,其中凹口的上視形狀包含一側邊及一圓心。凹口502之底面503為曲面,其中曲面包含一凹面自凹口502之側邊向凹口502之圓心凹陷一深度503a。在本實施例中,凹面深度503a介於15至1000微米之間。凹面深度503a與晶圓載具50所承載的晶圓504尺寸成一正比關係,其中,晶圓尺寸與凹面深度之間正比比值的範圍介於7至125之間。當晶圓504尺寸越大,在高溫下成長磊晶層時,晶圓504所產生的翹曲亦越大,所以晶圓載具50之承載主體500之凹面深度503a亦需要再加深。當晶圓載具50所承載的晶圓504尺寸為2吋時,承載主體500之凹面深度503a範圍介於15至65微米之間。當晶圓載具50所承載的晶圓504尺寸為4吋時,承載主體500之凹面深度503a範圍介於15至160微米之間。當晶圓載具50所承載的晶圓504尺寸為6吋時,承載主體500之凹面深度503a範圍介於15至400微米之間。當晶圓載具50所承載的晶圓504尺寸為8吋時,承載主體500之凹面深度503a範圍介於15至1000微米之間。
由於磊晶層和成長基板的晶格常數、熱膨脹係數不同,在不同的溫度區間,晶圓會產生不同程度的翹曲和形變。在本實施例中,如果此時晶圓的翹曲形狀為一凹面,選擇包含凹面的晶圓載具50會使晶圓表面溫度分佈較均勻,晶圓上不同區域的發光層發光波長分佈亦較均勻。
本發明第二實施例之晶圓載具50更包含複數個支撐柱505位於承載主體500之周邊。在本實施例中,複數個支撐柱505的數量為至少三個,且複數個支撐柱505位於承載主體500之周邊。複數個支撐柱505位於承載主體500之周邊的上視圖如圖6所示,圖6為晶圓載具60之上視圖,複數個支撐柱605的數量為至少三個,且複數個支撐柱605位於承載主體之周邊。
本發明第二實施例之各複數個支撐柱505的上視圖如圖7所示。圖7為晶圓載具701之各複數個支撐柱704之上視圖,各複數個支撐柱704之上視圖包含第一側邊702,其中第一側邊更包含具有第一曲率半徑的第一弧面;及複數個第二側邊703,其中各複數個第二側邊更包含具有第二曲率半徑的第二弧面,且第二曲率半徑不同於第一曲率半徑。
如圖5A所示,各複數個支撐柱505具有一高度505a小於承載主體500之高度501,且各複數個支撐柱高度505a大於承載主體500之凹面深度503a。在本實施例中,各複數個支撐柱505之高度505a介於15至1000微米之間。複數個支撐柱505之材料包含複合性材料,例如陶瓷;半導體材料,例如氮化硼、碳化矽;導電性材料,例如石墨或金屬,其中金屬包含鉬、鎢、鈦、鋯或上述之任意合金;非導電性材料,例如石英。
圖5B為晶圓504之上視圖,晶圓504包含一平邊5041,如圖5A所示,在本實施例中,晶圓504被複數個支撐柱505架高後,由於晶圓504無法透過直接與晶圓載具50之底面503接觸而受熱,且平邊5041處因加熱不易,影響到晶圓504上發光層的發光波長。此現象隨著晶圓504尺寸加大而更加明顯。當晶圓載具80凹口包含平邊803,如圖8A所示,可減少晶圓平邊5041和晶圓載具平邊803間的空隙803a,而降低晶圓平邊5041和晶圓載具平邊803間的空隙803a所產生受熱不佳的情形,如圖8B所示。故在本實施例中,晶圓載具50係承載4吋或是4吋以上的晶圓,且晶圓載具50凹口的上視形狀更包含一平邊。
本發明另一實施例係提供一種晶圓載具的製造方法,其包含成長一磊晶層於一成長基板以形成一晶圓結構;量測晶圓結構的翹曲率;以及依據晶圓結構的翹曲率,提供一如第一、二實施例所述的晶圓載具,即當晶圓結構的翹曲形狀為一凸面時,提供一包含凸面及複數個支撐柱的晶圓載具;當晶圓結構的翹曲形狀為一凹面時,則提供一包含凹面及複數個支撐柱的晶圓載具,其中凸面包含一凸面高度,凹面包含一凹面深度,凸面高度和凹面深度的範圍如第一、二實施例所述,與晶圓載具所承載的一晶圓尺寸成一正比關係,其中複數個支撐柱的數量為至少三個。其中,磊晶層之材料包含一種以上之元素選自鎵(Ga)、鋁(Al)、銦(In)、磷(P)、氮(N)、鋅(Zn)、鎘(Cd)、及硒(Se)所構成之群組。
以上各圖式與說明雖僅分別對應特定實施例,然而,各個實施例中所說明或揭露之元件、實施方式、設計準則、及技術原理除在彼此顯相衝突、矛盾、或難以共同實施之外,吾人當可依其所需任意參照、交換、搭配、協調、或合併。
雖然本發明已說明如上,然其並非用以限制本發明之範圍、實施順序、或使用之材料與製程方法。對於本發明所作之各種修飾與變更,皆不脫本發明之精神與範圍。
10、20、30、40、50、60、701、80‧‧‧晶圓載具
100、200、300、400、500‧‧‧承載主體
401、501‧‧‧承載主體高度
102、202、302、402、502‧‧‧承載主體凹口
103、203、303、403、503‧‧‧底面
403a‧‧‧凸面高度
503a‧‧‧凹面深度
104、204、304、404、504、804‧‧‧晶圓
305‧‧‧支撐環
405、505、605、704‧‧‧支撐柱
405a、505a‧‧‧支撐柱高度
702‧‧‧第一側邊
703‧‧‧第二側邊
803、4041、5041、8041‧‧‧平邊
圖1係習知之晶圓載具剖面圖。
圖2係習知之晶圓載具剖面圖。
圖3A係習知之晶圓載具剖面圖。
圖3B係習知之晶圓載具上視圖。
圖4A係本發明第一實施例之晶圓載具剖面圖。
圖4B係本發明第一實施例之晶圓上視圖。
圖5A係本發明第二實施例之晶圓載具剖面圖。
圖5B係本發明第二實施例之晶圓上視圖。
圖6係本發明第一、二實施例之晶圓載具上視圖。
圖7係本發明第一、二實施例晶圓載具之各複數個支撐柱上視圖。
圖8A係本發明第一、二實施例晶圓載具之平邊上視圖。
圖8B係本發明第一、二實施例晶圓及晶圓載具之上視圖。
40...晶圓載具
400...承載主體
401...承載主體高度
402...承載主體凹口
403...底面
403a...凸面高度
404...晶圓
405...支撐柱
405a...支撐柱高度

Claims (10)

  1. 一晶圓載具,其包含:一承載主體,具有一高度及一凹口,該凹口包含一底面為一曲面;以及複數個支撐柱位於該承載主體之周邊,其中該複數個支撐柱之其中之一於該晶圓載具之一上視圖上包含一第一側邊具有一第一曲率半徑,以及複數個第二側邊各包含一第二曲率半徑,其中該第二曲率半徑不同於該第一曲率半徑,該第一側邊以及該複數個第二側邊位於同一平面上。
  2. 如申請專利範圍第1項所述的晶圓載具,其中該凹口的上視形狀大約為一圓形。
  3. 如申請專利範圍第1項所述的晶圓載具,其中該凹口的上視形狀包含一側邊及一圓心。
  4. 如申請專利範圍第3項所述的晶圓載具,其中該曲面包含一凸面自該凹口之該側邊向該凹口之該圓心凸出一高度或一凹面自該凹口之該側邊向該凹口之該圓心凹陷一深度。
  5. 如申請專利範圍第2項所述的晶圓載具,其中該凹口的上視形狀更包含一平邊。
  6. 如申請專利範圍第1項所述的晶圓載具,其中該凹口的上視形狀包含一側邊,該複數個支撐柱之其中之一於該晶圓載具之一上視圖上包含一側邊與該凹口之該側邊齊平,該第一側邊與該支撐柱之該側邊相對,該複數個第 二側邊位於該支撐柱之該側邊及該第一側邊之間,連接該側邊及該第一側邊。
  7. 如申請專利範圍第1項所述的晶圓載具,其中該複數個支撐柱的數量為至少三個。
  8. 如申請專利範圍第1項所述的晶圓載具,其中各該複數個支撐柱具有一高度小於該承載主體之該高度。
  9. 如申請專利範圍第4項所述的晶圓載具,其中各該複數個支撐柱具有一高度大於該凸面之該高度或該凹面之該深度。
  10. 如申請專利範圍第1項所述的晶圓載具,其中該承載主體及/或該支撐柱包含複合性材料、半導體材料、導電性材料、或非導電性材料。
TW100137510A 2011-10-14 2011-10-14 晶圓載具 TWI541928B (zh)

Priority Applications (2)

Application Number Priority Date Filing Date Title
TW100137510A TWI541928B (zh) 2011-10-14 2011-10-14 晶圓載具
US13/649,445 US9691668B2 (en) 2011-10-14 2012-10-11 Wafer carrier

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
TW100137510A TWI541928B (zh) 2011-10-14 2011-10-14 晶圓載具

Publications (2)

Publication Number Publication Date
TW201316440A TW201316440A (zh) 2013-04-16
TWI541928B true TWI541928B (zh) 2016-07-11

Family

ID=48085279

Family Applications (1)

Application Number Title Priority Date Filing Date
TW100137510A TWI541928B (zh) 2011-10-14 2011-10-14 晶圓載具

Country Status (2)

Country Link
US (1) US9691668B2 (zh)
TW (1) TWI541928B (zh)

Families Citing this family (236)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10316412B2 (en) 2012-04-18 2019-06-11 Veeco Instruments Inc. Wafter carrier for chemical vapor deposition systems
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10167571B2 (en) 2013-03-15 2019-01-01 Veeco Instruments Inc. Wafer carrier having provisions for improving heating uniformity in chemical vapor deposition systems
JP6387395B2 (ja) * 2013-04-22 2018-09-05 貴州光浦森光電有限公司Guizhou Gzgps Co.,Ltd Led電球の生産方法
JP6114629B2 (ja) * 2013-05-27 2017-04-12 東京エレクトロン株式会社 回転可能状態検出装置及び回転可能状態検出方法、並びにこれを用いた基板処理装置及び基板処理方法
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
CN105632984B (zh) * 2014-11-24 2018-10-16 中微半导体设备(上海)有限公司 一种晶圆载盘
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
KR102417931B1 (ko) * 2017-05-30 2022-07-06 에이에스엠 아이피 홀딩 비.브이. 기판 지지 장치 및 이를 포함하는 기판 처리 장치
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
JP7124098B2 (ja) 2018-02-14 2022-08-23 エーエスエム・アイピー・ホールディング・ベー・フェー 周期的堆積プロセスにより基材上にルテニウム含有膜を堆積させる方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
JP7322365B2 (ja) * 2018-09-06 2023-08-08 株式会社レゾナック サセプタ及び化学気相成長装置
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
CN110530700B (zh) * 2019-10-14 2022-04-12 长江存储科技有限责任公司 采用fib制备测试样品的方法以及测试样品
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
WO2021120189A1 (zh) * 2019-12-20 2021-06-24 苏州晶湛半导体有限公司 一种晶圆承载盘及化学气相淀积设备
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US20220102177A1 (en) * 2020-09-30 2022-03-31 Gudeng Precision Industrial Co., Ltd. Reticle pod with antistatic capability
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN114752920B (zh) * 2022-02-24 2023-12-22 华灿光电(浙江)有限公司 提高外延片质量的外延托盘及其使用方法

Family Cites Families (60)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3482682A (en) * 1968-10-02 1969-12-09 Monsanto Co Retaining trays for semiconductor wafers and the like
US3539759A (en) * 1968-11-08 1970-11-10 Ibm Susceptor structure in silicon epitaxy
DE6913118U (de) * 1969-04-01 1969-08-07 Wacker Chemie Gmbh Verpackung fuer epitaktisch beschichtete halbleiterscheiben
US3719273A (en) * 1971-01-11 1973-03-06 Chisso Corp Packing vessel for thin sheet materials
US4355974A (en) * 1980-11-24 1982-10-26 Asq Boats, Inc. Wafer boat
US5242501A (en) * 1982-09-10 1993-09-07 Lam Research Corporation Susceptor in chemical vapor deposition reactors
US4653636A (en) * 1985-05-14 1987-03-31 Microglass, Inc. Wafer carrier and method
JPH0620911B2 (ja) * 1986-12-27 1994-03-23 日本鉱業株式会社 半導体ウェーハー包装容器
IT209910Z2 (it) * 1987-02-06 1988-11-04 Sgs Microelettronica Spa Contenitore porta-wafer o fretta di slicio, utilizzato perl'immagazzinamento e/o spedizione sotto vuoto degli stessi.
US5169684A (en) * 1989-03-20 1992-12-08 Toyoko Kagaku Co., Ltd. Wafer supporting jig and a decompressed gas phase growth method using such a jig
JP2978192B2 (ja) * 1990-02-19 1999-11-15 株式会社ピュアレックス 半導体ウエハー試料作成法
DE69126724T2 (de) * 1990-03-19 1998-01-15 Toshiba Kawasaki Kk Vorrichtung zur Dampfphasenabscheidung
JP3245246B2 (ja) * 1993-01-27 2002-01-07 東京エレクトロン株式会社 熱処理装置
KR0135049B1 (ko) * 1994-05-31 1998-04-20 양승택 반도체 제조장비의 웨이퍼 장착 카세트
US5474177A (en) * 1994-10-14 1995-12-12 Capitol Vial, Inc. Container for a wafer chip
US5534074A (en) * 1995-05-17 1996-07-09 Heraeus Amersil, Inc. Vertical boat for holding semiconductor wafers
US5584936A (en) * 1995-12-14 1996-12-17 Cvd, Incorporated Susceptor for semiconductor wafer processing
JP3122364B2 (ja) * 1996-02-06 2001-01-09 東京エレクトロン株式会社 ウエハボート
US6395363B1 (en) * 1996-11-05 2002-05-28 Applied Materials, Inc. Sloped substrate support
JPH10203584A (ja) * 1997-01-22 1998-08-04 Nec Corp 基板用カセット
US6196211B1 (en) * 1999-04-15 2001-03-06 Integrated Materials, Inc. Support members for wafer processing fixtures
TWI250604B (en) * 1999-07-29 2006-03-01 Ibm Improved ladder boat for supporting wafers
US6287112B1 (en) * 2000-03-30 2001-09-11 Asm International, N.V. Wafer boat
US6341935B1 (en) * 2000-06-14 2002-01-29 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer boat having improved wafer holding capability
JP4526683B2 (ja) * 2000-10-31 2010-08-18 株式会社山形信越石英 石英ガラス製ウェーハ支持治具及びその製造方法
US6528124B1 (en) * 2000-12-01 2003-03-04 Komag, Inc. Disk carrier
US6802942B2 (en) * 2001-10-23 2004-10-12 Unaxis Balzers Limited Storage plate support for receiving disk-shaped storage plates
US7077913B2 (en) * 2002-01-17 2006-07-18 Hitachi Kokusai Electric, Inc. Apparatus for fabricating a semiconductor device
US7256375B2 (en) * 2002-08-30 2007-08-14 Asm International N.V. Susceptor plate for high temperature heat treatment
US6939132B2 (en) * 2002-09-30 2005-09-06 Samsung Austin Semiconductor, L.P. Semiconductor workpiece apparatus
JP2004165439A (ja) * 2002-11-13 2004-06-10 Canon Inc ステージ装置
DE10261362B8 (de) * 2002-12-30 2008-08-28 Osram Opto Semiconductors Gmbh Substrat-Halter
JP4019998B2 (ja) * 2003-04-14 2007-12-12 信越半導体株式会社 サセプタ及び気相成長装置
US6915906B2 (en) * 2003-07-14 2005-07-12 Peak Plastic & Metal Products (International) Limited Wafer storage container with wafer positioning posts
JP4599816B2 (ja) * 2003-08-01 2010-12-15 信越半導体株式会社 シリコンエピタキシャルウェーハの製造方法
WO2005053016A1 (ja) * 2003-11-27 2005-06-09 Hitachi Kokusai Electric Inc. 基板処理装置、基板保持具、及び半導体装置の製造方法
US7225929B2 (en) * 2004-12-30 2007-06-05 Illinois Tool Works Inc. Adjustable height wafer box
EP1902465A2 (en) * 2005-07-08 2008-03-26 Asyst Technologies, Inc. Workpiece support structures and apparatus for accessing same
US7736436B2 (en) * 2005-07-08 2010-06-15 Integrated Materials, Incorporated Detachable edge ring for thermal processing support towers
US7431162B2 (en) * 2005-07-15 2008-10-07 Illinois Tool Works Inc. Shock absorbing horizontal transport wafer box
US20070089836A1 (en) * 2005-10-24 2007-04-26 Applied Materials, Inc. Semiconductor process chamber
JP4716928B2 (ja) * 2006-06-07 2011-07-06 信越ポリマー株式会社 ウェーハ収納容器
US7902039B2 (en) * 2006-11-30 2011-03-08 Sumco Corporation Method for manufacturing silicon wafer
US20080314319A1 (en) * 2007-06-19 2008-12-25 Memc Electronic Materials, Inc. Susceptor for improving throughput and reducing wafer damage
JP5537766B2 (ja) * 2007-07-04 2014-07-02 株式会社ニューフレアテクノロジー 気相成長装置及び気相成長方法
US7971734B2 (en) * 2008-01-30 2011-07-05 Asm International N.V. Wafer boat
KR100972976B1 (ko) 2008-05-06 2010-07-29 삼성엘이디 주식회사 서셉터 및 이를 구비하는 화학 기상 증착 장치
US8469368B2 (en) * 2008-08-19 2013-06-25 Lam Research Corporation Edge rings for electrostatic chucks
USD616391S1 (en) * 2009-03-06 2010-05-25 Tokyo Electron Limited Pedestal of heat insulating cylinder for manufacturing semiconductor wafers
FR2943177B1 (fr) * 2009-03-12 2011-05-06 Soitec Silicon On Insulator Procede de fabrication d'une structure multicouche avec report de couche circuit
US20110049779A1 (en) * 2009-08-28 2011-03-03 Applied Materials, Inc. Substrate carrier design for improved photoluminescence uniformity
JP5359698B2 (ja) * 2009-08-31 2013-12-04 豊田合成株式会社 化合物半導体の製造装置、化合物半導体の製造方法及び化合物半導体
US8486726B2 (en) 2009-12-02 2013-07-16 Veeco Instruments Inc. Method for improving performance of a substrate carrier
DE112010004736B4 (de) * 2009-12-11 2022-04-21 Sumco Corporation Aufnahmefür cvd und verfahren zur herstellung eines films unterverwendung derselben
US9650726B2 (en) * 2010-02-26 2017-05-16 Applied Materials, Inc. Methods and apparatus for deposition processes
JP2013095973A (ja) * 2011-11-02 2013-05-20 Tocalo Co Ltd 半導体製造装置用部材
US8940094B2 (en) * 2012-04-10 2015-01-27 Sunedison Semiconductor Limited Methods for fabricating a semiconductor wafer processing device
JP5949171B2 (ja) * 2012-05-31 2016-07-06 三菱電機株式会社 半導体装置の製造方法
TWI625814B (zh) * 2012-07-27 2018-06-01 荏原製作所股份有限公司 工件搬送裝置
WO2014065955A1 (en) * 2012-10-24 2014-05-01 Applied Materials, Inc. Minimal contact edge ring for rapid thermal processing

Also Published As

Publication number Publication date
US20130092595A1 (en) 2013-04-18
US9691668B2 (en) 2017-06-27
TW201316440A (zh) 2013-04-16

Similar Documents

Publication Publication Date Title
TWI541928B (zh) 晶圓載具
TWI557842B (zh) 晶圓載具
TW417315B (en) GaN single crystal substrate and its manufacture method of the same
US20240112945A1 (en) Thermal processing susceptor
US8153454B2 (en) Fabrication apparatus and fabrication method of semiconductor device produced by heating substrate
WO2016107411A1 (zh) 用于 led 外延晶圆制程的石墨承载盘
US10014436B2 (en) Method for manufacturing a light emitting element
CN105845798B (zh) 无翘曲ⅲ族氮化物复合衬底的制备方法和衬底放置装置
TWM531053U (zh) 具有14個容置區的排列組態之晶圓載具
TWM531055U (zh) 具有35個容置區的排列組態之晶圓載具
TWI671439B (zh) 用於保持半導體晶圓的基座、用於在半導體晶圓的正面上沉積磊晶層的方法、以及具有磊晶層的半導體晶圓
CN105826438A (zh) 一种具有金属缓冲层的发光二极管及其制备方法
US11220743B2 (en) Composite substrate and manufacturing method thereof
JP6477419B2 (ja) 炭化珪素エピタキシャル成長装置、炭化珪素エピタキシャルウエハの製造方法及び炭化珪素半導体装置の製造方法
JP2008091615A (ja) 被加工処理基板、その製造方法およびその加工処理方法
CN106536794B (zh) 氮化镓衬底
CN103094424B (zh) 晶片载具
KR101209487B1 (ko) 반도체 발광소자 및 그 제조방법
CN208422879U (zh) 一种外延用载盘
TW202044354A (zh) 在晶圓的正面上沉積磊晶層的方法和實施該方法的裝置
CN205313714U (zh) 一种改善硅基氮化物各圈波长均值的石墨盘
KR102622605B1 (ko) 서셉터 및 반도체 제조장치
KR20090077471A (ko) 질화갈륨 성장용 서셉터 및 질화갈륨 성장 방법
KR20170095025A (ko) 탄화규소 에피 웨이퍼 및 이를 포함하는 반도체 소자
KR101144844B1 (ko) 질화갈륨 베이스 기판 및 질화갈륨 웨이퍼 제조 방법