JP2008172083A - 気相成長装置および気相成長方法 - Google Patents

気相成長装置および気相成長方法 Download PDF

Info

Publication number
JP2008172083A
JP2008172083A JP2007004698A JP2007004698A JP2008172083A JP 2008172083 A JP2008172083 A JP 2008172083A JP 2007004698 A JP2007004698 A JP 2007004698A JP 2007004698 A JP2007004698 A JP 2007004698A JP 2008172083 A JP2008172083 A JP 2008172083A
Authority
JP
Japan
Prior art keywords
gas flow
gas
reaction chamber
vapor phase
phase growth
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP2007004698A
Other languages
English (en)
Inventor
Hidekazu Sakagami
英和 坂上
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Sharp Corp
Original Assignee
Sharp Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Sharp Corp filed Critical Sharp Corp
Priority to JP2007004698A priority Critical patent/JP2008172083A/ja
Publication of JP2008172083A publication Critical patent/JP2008172083A/ja
Withdrawn legal-status Critical Current

Links

Images

Abstract

【課題】パーツの消耗を防ぎ、かつ材料ガスの消費効率に優れる気相成長装置および気相成長方法、を提供する。
【解決手段】気相成長装置は、基板20が配置される反応室群18Xおよび反応室群18Yと、ガス供給部材30と、ガス流れ規制部材40とを備える。ガス供給部材30は、反応室群18X,18Yに通じ、互いに異なる材料ガスが流通するガス流路31および32を形成する。ガス流れ規制部材40には、ガス供給口41および42が周方向に交互に形成されている。ガス供給口41は、反応室群18Xおよび18Yのいずれか一方とガス流路31とを連通させる。ガス供給口42は、反応室群18Xおよび18Yのいずれか他方とガス流路32とを連通させる。ガス流れ規制部材40および複数の反応室18の相対的な移動により、互いに連通するガス流路31,32と反応室群18X,18Yとの組み合わせが入れ替わる。
【選択図】図5

Description

この発明は、一般的には、気相成長装置および気相成長方法に関し、より特定的には、2種類以上の材料ガスを交互に供給する気相成長装置および気相成長方法に関する。
LED(Light Emitting Diode)や半導体レーザーの製造工程において、トリメチルガリウム(TMG)やトリメチルアルミニウム(TMA)等の有機金属ガスと、アンモニア(NH)、ホスフィン(PH)、アルシン(AsH)等の水素化合物とを材料ガスとして化合物半導体薄膜を形成するMOCVD法(Metal Organic Chemical Vapor Deposition:有機金属化学気相蒸着法)が用いられている。
MOCVD法では、材料ガスを反応炉内に供給して加熱し、基板上で気相化学反応させることによって、基板に薄膜を形成する。MOCVD法を用いた半導体製造工程においては、成膜品質の向上、運用コストの低減、歩留まりや生産処理能力の最大化が強く求められている。
このような薄膜を形成するための気相成長装置が、たとえば、特開2004−363180号公報(特許文献1)、特開平4−29313号公報(特許文献2)、特開2002−75879号公報(特許文献3)、特開平7−321045号公報(特許文献4)、特開平9−111457号公報(特許文献5)および特開平9−306845号公報(特許文献6)に開示されている。
特開2004−363180号公報 特開平4−29313号公報 特開2002−75879号公報 特開平7−321045号公報 特開平9−111457号公報 特開平9−306845号公報
図19は、気相成長装置の一例を示す図である。図19を参照して、反応炉121を貫通するように、ガス供給部122およびガス排気部123が設けられている。反応炉121の内部には、基板124を載置するサセプタ125と、基板124を加熱するためのヒータ126とが設置されている。
ガス供給部122に接続される配管の経路上には、ガス流れの最も上流側に位置して材料ガス源127が設けられている。材料ガス源127は、気相成長に必要な複数のガス種である材料ガスA、材料ガスBおよび材料ガスCのソースが設置されたユニットとして設けられている。
材料ガスA、材料ガスBおよび材料ガスCは、それぞれ属性の異なるガスであり、たとえば、TMA、TMG等の有機金属ガスや、アンモニア、ホスフィン、アルシン等の水素化合物などである。材料ガス源127とガス供給部122との中間部には、ガスの流量を調整するための流量調整手段128が設置されている。流量調整手段128として、材料ガスA,材料ガスB,材料ガスCのそれぞれに対応して、マスフローコントローラMa,Mb,Mcが設置されている。
流量調整手段128よりもガス流れの下流側には、供給するガスを反応炉121側またはパージライン130側に切り替える切り替えバルブ129が設けられている。切り替えバルブ129は、使用する複数の材料ガスを、個別に反応炉121側とパージライン130側とに切り替える。切り替えバルブ129は、電磁バルブVa〜Vfを含む。電磁バルブVa〜Vcは、それぞれ材料ガスA〜Cの反応炉121側の供給配管を開閉し、電磁バルブVd〜Vfは、それぞれ材料ガスA〜Cのパージライン130側の導入配管を開閉する。電磁バルブVa〜Vfを適当な組み合わせで開閉させることにより、材料ガスA〜Cを反応炉121側に供給するかパージライン130側へ排出するかが選択される。
ガス排気部123に接続される配管は、パージライン130に接続されている。さらにパージライン130の下流側には、排ガス処理装置131が設けられている。
成膜時、材料ガス源127で発生した材料ガスが、流量調整手段128および切り替えバルブ129を通じて、ガス供給部122から反応炉121に供給される。供給された材料ガスは、ヒータ126によってサセプタ125および基板124とともに加熱され、基板124の表面上で気相反応が促進される。これにより、基板124の表面上に薄膜が形成される。
基板124を通過した材料ガスは、ガス排気部123によって反応炉121の外部に排出され、その後、パージライン130に流入する。一方、切り替えバルブ129によってパージライン130側に切り替えられた材料ガスも、パージライン130に流入する。パージライン130に流入した材料ガスは、互いに合流し、最終的に排ガス処理装置131で除害処理される。
このような気相成長装置を用いた気相成長工程においては、材料ガスの切り替え前後で材料ガスを安定して供給する必要がある。このため、反応炉121に供給する必要のないタイミングであっても、その材料ガスの大元の流れを止めるという行為を行なわず、一定の流量を維持させる。反応炉121に供給されない材料ガスは、パージライン130側に流れが切り替えられ、廃棄される。
このため、材料ガス源127に貯留された材料ガスのソースが無駄に消費され、気相成長工程におけるコストアップの要因となっている。また、このような工程が繰り返されることによって、ソースの交換サイクルが短くなる。これにより、ソースの交換作業回数が増え、生産性が著しく低下するという問題が生じる。
ところで、MOCVD法においては、複数種類の有機金属材料やドーピングに使用する不純物材料などの材料ガスを、反応炉に同時に連続的に供給する。このため、反応炉の内部では、複数種類の材料ガスが混在した状態で気相成長が行なわれることになる。
この場合、成膜後の基板上の結晶内部において、複数種類の不純物が無秩序に取り込まれる。この結果、結晶性が損なわれたり、pn不純物のドーピング量が低下するなどして、活性化率が減少し半導体の性能が低下するという問題がある。このような問題を解決する手段の1つとして、原子層成長法(ALE:Atomic Layer Epitaxy)という有効な手法の研究が進められている。原子層成長法では、複数種類の材料ガスの供給を交互に切り替えながら、原子層レベルで成膜を制御する。
原子層成長法における気相成長工程では、複数の材料ガスを、単独で交互に反応炉に供給することによって、気相成長のセルフリミットがかかり、純粋な原子層の膜が形成される。このサイクルを繰り返すことによって、基板上により高品質な薄膜が形成される。
一例を挙げれば、青色レーザ素子のAlGaN層を形成する場合、Al原料ガスとNHガスとが用いられる。Al原料ガスとNHガスとを同時に供給した場合、ガス同士が混ざることにより重合体が発生し、その重合体が正常なAlGaN成長を阻害するおそれがある。これに対して、Al原料ガスとNHガスとを交互に供給した場合、各材料ガスがフレッシュな状態で基板に達するため、高品質なAlGaN層を形成することができる。
一方、特許文献2には、原料ガスの使用効率の向上を図ることを目的とした半導体結晶の製造装置が開示されている。特許文献2に開示された半導体結晶の製造装置では、複数の反応管が設けられている。気相成長工程の途中に一時的に不要となった材料ガスは、3方バルブ等の切り替えによって、タイミングをずらしながら、順次、他の反応管に供給される。これにより、材料ガスの供給の安定性を維持しつつ、材料の使用効率を向上させることができる。
しかしながら、特許文献2では、本来パージラインに一時的に捨てようとするガスを、他の反応管に供給し、利用するだけのものである。このため、少なくとも2系統以上の反応管において、複数のプロセスの切り替えタイミングを考慮した上で成長開始のタイミングをずらして成長レシピを実行しなければならない。また、複数の反応管で同一の成膜工程を実施するには、各反応管で実行する成長レシピを同一条件とするか、少なくとも材料ガスの切り替えタイミングや使用する材料ガスの流量を同じ条件としなければならない。たとえ条件が同一に設定されたとしても、現実の装置においては、各反応管の固有の環境状態(圧力、温度、流速分布等)に差が生じる。このため、複数の反応管で同一の成膜を行なうことは困難である。
このような理由から、特許文献2に開示された半導体結晶の製造装置では、材料ガスを有効利用するための条件が限定される。このため、生産工程で使用する成膜レシピの柔軟性や信頼性に欠けるという問題がある。
また、特許文献3には、複数種類の材料ガスの切り替えをパルス状に制御することによって、原子層成長法を行なうMOCVD装置が開示されている。
この方法について図19を用いて説明すると、使用する複数種類の材料ガスのうち、まず1つの材料ガスが、あるタイミングで切り替えバルブ129により選択され、その選択された材料ガスが、単独で反応炉121に供給される。このステップを他の材料ガスに対しても同様に実施することにより、原子レイヤーが1層形成される。さらに、このサイクルを繰り返すことにより、基板上に原子層レベルで結晶性の揃った薄膜が形成されていく。成膜時においては、材料ガスA、材料ガスBおよび材料ガスCが、予め定められたタイミングで切り替えバルブ129の開閉動作によって選択され、反応炉121内に供給される。
しかしながら、材料ガスの供給をパルス状に制御するには、材料ガスそのものの発生を停止することは現実的でない。このため、図19に示すように、それぞれの材料ガスを供給する配管には、材料ガスを反応炉121側に供給する電磁バルブVa〜Vcと、パージライン130側に導入する電磁バルブVd〜Vfとを対になるように設置する必要がある。このような構成において、材料ガス源の流量を一定に固定し、対になった切り替えバルブ129の瞬間的な切り替えによって、材料ガスの供給をパルス状にON、OFFするように制御する。
図20は、図19中の気相成長装置において、材料ガスA〜Cの供給量の変化を示す概略図である。図20中の横軸は、ガスを供給する時間あるいはタイミングを表わし、縦軸は、材料ガスの供給量を表わす。図中では、材料ガスA〜Cの供給が、一定のタイミングでパルス状に制御された様子が示されている。区間Aは、図19中の気相成長装置における原子層成長の1サイクルの時間を表わす。
図21は、材料ガスA〜Cの供給をパルス状に制御する場合の電磁バルブの動作を示す図である。図中では、材料ガスA〜Cの各反応炉への供給のタイミングと、電磁バルブVa〜Vfの開閉状態との関係が示されている。区間Aが、T0〜T10にさらに細分化されている。
図20および図21から分かるように、この方法では、1サイクル中で、材料ガスAの供給が終了し、他の材料ガスB、材料ガスCの供給が継続する間、材料ガスAの流量を次の供給タイミングで安定するように一定に維持しておく必要がある。このため、プロセス時に一時的に使用しない材料ガスであっても、一定の流量を保ったまま、パージライン130側へ流し続ける必要があり、材料ガスを無駄に廃棄することになる。
また、原子層成長における1サイクル当たりの基板上の結晶成長は、現状、僅か数Å程度である。このため、数μm以上の膜厚が必要となる半導体素子の成膜においては、少なくとも5000〜10000サイクル以上、成長時間に換算すると5〜10時間以上の製造工程が必要となる。
材料ガスの供給をパルス状に制御する場合、材料ガスの切り替え制御を行なう電磁バルブは、長時間に渡って連続的に、瞬間的な開閉動作を繰り返すことになる。このため、通常の気相成長と比べて、電磁バルブなどのパーツに過剰なストレスが加わることとなり、気相成長装置の機能が劣化したり故障が発生し易くなったりする問題がある。
また、気相成長装置に使用される電磁バルブの開閉動作の耐久回数は、一般的に高性能なものでも50万〜100万回程度と言われている。このため、原子層成長の実使用時間においては、成長条件や使用環境にも左右されるが、僅か50回〜100回程度の成長回数で、パーツが消耗し、メンテナンス交換の必要性が発生することも考えられる。
このような理由から、材料ガスの供給をパルス状に制御する気相成長装置においては、原子層成長の実施が可能という利点の反面、材料ガスの消費量、メンテナンスやパーツ交換の頻度が高くなることによって、装置の運用コストの増大や生産性を大幅に悪化させるという問題がある。
また、特許文献4に開示された気相成長装置では、反応炉内を材料ガスごとに区切り、基板がその区切られた空間を交互に移動するように基板支持体を回転させる。この構成では、基板支持体の回転速度を調整することによって、材料ガスを交互供給するサイクルタイミングを変更する。しかしながら、基板支持体の回転速度が変わると、基板の公転速度(基板表面と材料ガスの流れとの相対速度)も変わる。この場合、成膜に影響を与える2つのパラメータ(材料ガスを交互供給するサイクルタイミング、基板の公転速度)が変化することとなり、成長条件の設定が難しくなるという問題がある。
そこでこの発明の目的は、上記の課題を解決することであり、パーツの消耗を防ぎ、かつ材料ガスの消費効率に優れる気相成長装置および気相成長方法を提供することである。
この発明に従った気相成長装置は、基板が配置される複数の反応室と、ガス供給部材と、筒状のガス流れ規制部材とを備える。複数の反応室は、周方向に並ぶ。ガス供給部材は、複数の反応室に通じる複数のガス流路を形成する。ガス供給部材は、複数の反応室の中心に設けられる。ガス流れ規制部材は、ガス供給部材の外周上に設けられる。ガス流れ規制部材は、複数のガス流路を閉塞する。複数の反応室は、第1反応室群および第2反応室群を含む。複数のガス流路は、互いに異なる材料ガスが流通する第1ガス流路および第2ガス流路を含む。ガス流れ規制部材には、第1ガス供給口と第2ガス供給口とが、周方向に交互に形成されている。第1ガス供給口は、第1反応室群および第2反応室群のいずれか一方と第1ガス流路とを連通させる。第2ガス供給口は、第1反応室群および第2反応室群のいずれか他方と第2ガス流路とを連通させる。ガス流れ規制部材および複数の反応室は、相対的に移動可能に設けられている。ガス流れ規制部材および複数の反応室の相対的な移動により、互いに連通するガス流路と反応室群との組み合わせが入れ替わる。
このように構成された気相成長装置によれば、ガス流れ規制部材および複数の反応室を相対的に移動させることにより、第1反応室群および第2反応室群にそれぞれ配置された基板に、異なる材料ガスを交互に供給する。この際、材料ガスは、第1ガス供給口および第2ガス供給口を通じて交互に入れ替わるように第1反応室群および第2反応室群に導入される。このため、材料ガスの無駄を抑え、その消費効率を向上させることができる。また、ガス流れの切り替えを、ガス流れ規制部材および複数の反応室の相対的な移動によって行なうため、気相成長装置を構成するパーツの消耗を防ぐことができる。
また好ましくは、ガス供給部材は、複数のガス流路を区画形成する多重管構造を有する。このように構成された気相成長装置によれば、ガス供給部材をコンパクトに配置することができる。
また好ましくは、ガス流れ規制部材および複数の反応室は、ガス供給部材を中心に相対的に回転可能に設けられている。このように構成された気相成長装置によれば、ガス流れ規制部材および複数の反応室を相対的に回転させることにより、互いに連通するガス流路と反応室群との組み合わせが連続して入れ替わる。
また好ましくは、ガス流れ規制部材は、複数のガス流路を閉塞する位置から退避することが可能である。このように構成された気相成長装置によれば、異なる材料ガスを同時に複数の反応室に供給することが可能となる。これにより、材料ガスの供給条件の幅が広がり、気相成長の制御性を向上させることができる。
また好ましくは、気相成長装置は、複数のガス流路から供給された材料ガスを基板に向けて案内するガイドプレートをさらに備える。ガイドプレートには、ガス流れ規制部材を格納する溝が形成されている。ガス流れ規制部材が溝に格納された状態で溝から露出するガス流れ規制部材の端面は、傾斜部を含む。傾斜部は、ガイドプレートの壁面と同じ傾きを有する。このように構成された気相成長装置によれば、ガス流れ規制部材が溝に格納された状態で、基板に向かうガス流れが乱れることを防止できる。
また好ましくは、N個(N:2以上の偶数)の反応室が設けられている場合に、各反応室が360/N(度)の等角度で配設されている。このように構成された気相成長装置によれば、各反応室の成長条件を同一として、複数の反応室間で均一となる気相成長を行なうことができる。
また好ましくは、ガス流れに直交する平面で切断した場合の各反応室の断面積は、ガス流れの上流側における基板の端部と、ガス流れの下流側における基板の端部との間で一定である。このように構成された気相成長装置によれば、ガス流れの上流側と下流側との間で、気相成長の条件がばらつくことを抑制できる。
この発明に従った気相成長方法は、上述のいずれかに記載の気相成長装置を用いて、基板の表面上に薄膜を成長させる気相成長方法である。気相成長方法は、複数のガス流路に材料ガスを導入するステップと、ガス流れ規制部材および複数の反応室を相対的に移動させつつ、材料ガスを複数のガス流路を通じて複数の反応室に供給するステップとを備える。材料ガスを供給するステップ時、第1ガス供給口により第1反応室群と第1ガス流路とを連通させ、第2ガス供給口により第2反応室群と第2ガス流路とを連通させるステップと、第1ガス供給口により第2反応室群と第1ガス流路とを連通させ、第2ガス供給口により第1反応室群と第2ガス流路とを連通させるステップとを交互に実施する。
このように構成された気相成長方法によれば、材料ガスの無駄を抑え、材料ガスの消費効率を向上させることができる。
また好ましくは、材料ガスを供給するステップは、複数の反応室内で基板を所定温度に保持するとともに、基板の表面上に異なる材料ガスを交互に供給することにより、薄膜を成長させるステップを含む。このように構成された気相成長方法によれば、原子層成長法によって、結晶性に優れた高品質な薄膜を基板上に形成することができる。
また好ましくは、材料ガスを供給するステップは、ガス流れ規制部材および複数の反応室を、ガス供給部材を中心に相対的に回転させるステップを含む。ガス流れ規制部材および複数の反応室の相対的な回転速度を、基板に材料ガスを供給する期間の長さに基づいて設定する。このように構成された気相成長方法によれば、材料ガスの供給期間を容易に調整できるため、気相成長の制御性を向上させることができる。
以上説明したように、この発明に従えば、パーツの消耗を防ぎ、かつ材料ガスの消費効率に優れる気相成長装置および気相成長方法を提供することができる。
この発明の実施の形態について、図面を参照して説明する。なお、以下で参照する図面では、同一またはそれに相当する部材には、同じ番号が付されている。
(実施の形態1)
図1は、この発明の実施の形態1における気相成長装置を示す斜視図である。図2は、図1中の気相成長装置を示す断面図である。図3は、図2中のIII−III線上に沿った気相成長装置の平面図である。
図1から図3を参照して、気相成長装置10は、LEDや半導体レーザーの製造工程に用いられるMOCVD装置である。気相成長装置10は、チャンバ11内に形成された複数の反応室18と、複数の反応室18に複数種類の材料ガスを供給するガス供給部材30と、ガス供給部材30から複数の反応室18に供給されるガス流れを制御するガス流れ規制部材40とを含む。
複数の反応室18は、周方向に並んで形成されている。周方向に並ぶ複数の反応室18の中心には、ガス供給部材30が配置されている。互いに隣り合う反応室18間は、ガス流路仕切り板14によって区画されている。ガス流路仕切り板14は、ガス供給部材30を中心に放射状に延在する。ガス流路仕切り板14は、等角度ごとに設けられている。反応室18は、底面18cと上面18bとを含む。底面18cと上面18bとは、互いに対向する。ガス流路仕切り板14の壁面と、底面18cおよび上面18bとによって、各反応室18が区画形成されている。反応室18内のガス流れに直交する平面で切断した場合の反応室18の断面積は、ガス流れの上流側から下流側に向かうに従って徐々に大きくなる。
N個(N:2以上の偶数)の反応室18が設けられている場合に、各反応室18が360/N°の等角度で配設されている。本実施の形態では、8個の反応室18が設けられており、各反応室18が45°の等角度で配設されている。複数の反応室18は、互いに同一の形状を有する。
複数の反応室18は、反応室群18Xと反応室群18Yとを含む。反応室群18Xを構成する反応室18と、反応室群18Yを構成する反応室18とは、周方向に交互に形成されている。
各反応室18には、基板20が配置されている。基板20は、底面18cに配置されている。基板20は、薄膜が形成される表面20aを含む。表面20aと底面18cとは、互いに平行に延在する。基板20は、表面20aが反応室18内のガス流れに平行に延在するように配置されている。基板20は、表面20aが水平方向に延在するように配置されている。基板20は、サセプタ12によって保持されている。サセプタ12は、図示しない回転駆動機構に接続されている。その回転駆動機構を駆動させることにより、基板20は、表面20aに平行な平面内で回転する。これにより、基板20の温度の均一化が図られる。サセプタ12の下方には、基板20を加熱するヒータ16が配置されている。なお、基板20を自転させる機構は必ずしも設けられなくてもよい。
気相成長装置10は、ガス排出部19を含む。ガス排出部19は、周方向に並ぶ複数の反応室18の外周上に設けられている。ガス供給部材30の外周上に、複数の反応室18とガス排出部19とが内側から順に設けられている。
ガス供給部材30は、ガス流路31およびガス流路32を形成する。ガス流路31およびガス流路32は、それぞれガス吹き出し口31hおよびガス吹き出し口32hを含む。ガス吹き出し口31hおよび32hは、複数の反応室18に開口する。ガス吹き出し口31hおよびガス吹き出し口32hは、周方向に延在する。ガス吹き出し口31hおよびガス吹き出し口32hは、上下にずれた位置で開口する。複数の反応室18が並ぶ周方向の中心軸を想定した場合に、ガス吹き出し口31hおよびガス吹き出し口32hは、その中心軸の軸方向にずれた位置で開口する。
ガス供給部材30は、ガス流路31およびガス流路32を区画形成する多重管構造を有する。ガス流路31は、多重管構造の中心部に形成されている。ガス流路32は、ガス流路31の外側に形成されている。複数の反応室18が並ぶ周方向の中心軸を想定した場合に、ガス供給部材30は、複数の反応室18に対してその中心軸に沿った一方の側に設けられている。
ガス流路31およびガス流路32には、それぞれ、反応室18に供給される材料ガスAおよび材料ガスBが流れる。材料ガスAと材料ガスBとは、互いに異なる種類のガスである。材料ガスAは、たとえばTMAやTMG等のV族の有機金属ガスである。材料ガスBは、たとえばアンモニア等のIII族のガスである。
気相成長装置10は、ガイドプレートとしての合流仕切り板37を含む。合流仕切り板37は、ガス供給部材30の下方に配置されている。合流仕切り板37は、周方向に並ぶ複数の反応室18の中心部に設けられている。合流仕切り板37は、ガス流路31に流れる材料ガスAを基板20に向けて案内する。ガス流路31を流れる材料ガスAは、合流仕切り板37によってその進行方向を略90度、変化させ、基板20に向かって流れる。合流仕切り板37は、基板20の表面20a等からの輻射による温度上昇を避けるため、表面20aより窪んだ形状を有する。
ガス供給部材30は、ガス流路33を形成する。ガス流路33は、多重管構造を有するガス供給部材30において、ガス流路32の外側に形成されている。本実施の形態では、ガス供給部材30が3層の多重管構造を有する。ガス流路33には、反応室18に供給される材料ガスCが流れる。材料ガスCは、窒素等のバリアガスである。ガス流路33から反応室18に供給された材料ガスCは、反応室18の上面18bに沿って流れる。これにより、反応室18に供給された材料ガスAおよびBと上面18bとの間が、バリアガスである材料ガスCによって遮られる。これにより、上面18bに材料ガスAおよびBによる生成物が付着することを防ぐ。
ガス流路31を通り、ガス吹き出し口31hから流出した材料ガスAと、ガス流路32を通り、ガス噴き出し口32hから流出した材料ガスBとは、ガス吹き出し口31hおよび32hの近傍で混合する。混合した材料ガスAおよびBは、各反応室18へ水平方向に層流状態で流れる。混合した材料ガスAおよびBは、各反応室18内を放射状に流れる。加熱された基板20上で材料ガスAおよびBが熱化学反応を起こすことにより、基板20の表面上に膜が成長する。基板20を通過した材料ガスは、ガス排出部19を流れ、外部に排出される。
図4は、図1中の気相成長装置に設けられたガス流れ規制部材を示す斜視図である。図5は、図4中のガス流れ規制部材がガス流路を塞ぐ状態を示す断面図である。
図4および図5を参照して、ガス流れ規制部材40は、筒形状を有する。ガス流れ規制部材40は、円筒形状を有する。ガス流れ規制部材40と複数の反応室18とは、相対的に移動可能に設けられている。ガス流れ規制部材40と複数の反応室18とは、ガス供給部材30を中心に相対的に回転可能に設けられている。本実施の形態では、ガス流れ規制部材40が、ガス供給部材30を中心に回転可能に設けられている。ガス流れ規制部材40は、アクチュエータとしての図示しない回転駆動手段に接続されている。
ガス流れ規制部材40は、ガス流路31および32を塞ぐように設けられている。ガス流れ規制部材40は、ガス流路31および32を塞ぐ位置から退避可能なように設けられている。本実施の形態では、ガス流れ規制部材40が、上下方向にスライド移動可能なように設けられている。上方向にスライド移動したガス流れ規制部材40によって、ガス流路31および32が閉塞される。図2に示すように、ガス流れ規制部材40が下方向に移動することによって、ガス流路31および32を塞ぐ位置から退避する。このとき、図3に示すように、材料ガスAおよびBの混合ガスが各反応室18内を放射状に流れる。
ガス流れ規制部材40には、ガス供給口41およびガス供給口42が形成されている。ガス流れ規制部材40がガス流路31および32を閉塞する位置で、ガス供給口41を通じて、ガス流路31と反応室群Xおよび反応室群Yのいずれか一方とが連通し、ガス供給口42を通じてガス流路32と反応室群Xおよび反応室群Yのいずれか他方とが連通する。
ガス供給口41およびガス供給口42は、複数の反応室18が並ぶ周方向に交互に並んで形成されている。ガス供給口41およびガス供給口42は、交互に段違いで形成されている。ガス供給口41およびガス供給口42は、ガス吹き出し口31hおよびガス吹き出し口32hの位置関係に対応し、上下にずれた位置に形成されている。ガス供給口41およびガス供給口42の周方向の長さは、ガス供給口41および42が開口する位置での各反応室18の円周方向の幅と同じである。
ガス供給口41およびガス供給口42は、互いに同一形状を有し、周方向において均等に形成されている。本実施の形態では、反応室18が8個に区切られているため、ガス供給口41およびガス供給口42が、それぞれ4個ずつ均等に形成されている。ガス供給口41および42は、略矩形形状を有する。ガス供給口41および42は、楕円や長円等の円形や、矩形以外の多角形状を有してもよい。
図6は、図5中の反応室内に形成されるガス流れの状態を示す平面図である。図7は、図5中の反応室内に形成されるガス流れの別の状態を示す平面図である。
図5から図7を参照して、基板20の表面20aに薄膜を形成する成膜工程時、ガス流路31〜33にそれぞれ材料ガスA〜Cを連続的に導入する。ガス流れ規制部材40をガス流路31および32を閉塞する位置にスライド移動させる。ガス流れ規制部材40をガス供給部材30を中心に回転させつつ、複数の反応室18に材料ガスA〜Cを供給する。このとき、ガス供給口41を通じてガス流路31と反応室群18Xとが連通し、ガス供給口42を通じてガス流路32と反応室群18Yとが連通する状態と、ガス供給口41を通じてガス流路31と反応室群18Yとが連通し、ガス供給口42を通じてガス流路32と反応室群18Xとが連通する状態とが、交互に入れ替わる。結果、材料ガスAおよび材料ガスBが、それぞれガス供給口41およびガス供給口42を通じて、反応室群Xおよび反応室群Yに交互に供給される。
このようにガス流れ規制部材40によって材料ガスAおよびBの流れを規制することにより、パージラインに材料ガスを廃棄することなく、ガス流れ供給部材30に供給された材料ガスを全て反応室18に供給することが可能となる。
図8は、図2中の2点鎖線VIIIで囲まれた範囲を示す断面図である。図9は、図8中のガス流れ規制部材の詳細形状を示す断面図である。
図8および図9を参照して、合流仕切り板37には、ガス流れ規制部材40を格納する溝51が形成されている。ガス流れ規制部材40は、端面43を含む。ガス流れ規制部材40が溝51に格納された状態で、端面43は溝51から露出する。端面43は、材料ガスAの流路の壁面の一部をなす。ガス流れ規制部材40が上方向にスライド移動した時、ガス流れ規制部材40は、材料ガスBと材料ガスCとを分離するガイドの下面にわずかな隙間を設けるように位置決めされる。
端面43は、傾斜部45と水平部44とを含む。傾斜部45は、合流仕切り板37の壁面37cと同じ傾きαを有する。水平部44は、反応室18の底面18cと同じ傾きを有する。水平部44は、水平方向に延在する。このような構成により、ガス流れ規制部材40が溝51に格納された状態で、ガス供給部材30から反応室18に供給されるガス流れが乱れることを防ぐ。
図10は、図1中の気相成長装置において、原子層成長の成膜時、材料ガスAおよび材料ガスBの供給量の変化を示すタイミングチャート図である。図10を参照して、図中の横軸は、材料ガスを供給する時間またはタイミングを示し、縦軸は、材料ガスの供給量を示す。ガス流れ規制部材40がガス流路31および32を閉塞する図5に示す状態において、反応室I(反応室群Xを構成する反応室)と、反応室Iに隣接する反応室II(反応室群Yを構成する反応室)との各材料ガスの供給量変化が、同じ時間軸で記載されている。反応室Iへの材料ガスAおよび材料ガスBの供給タイミングと、反応室IIへの材料ガスAおよび材料ガスBの供給タイミングとは逆になる。
図11は、材料ガスAおよび材料ガスBの供給量の変化を示す別のタイミングチャート図である。図11を参照して、図中には、図10中に示す場合よりもガス流れ規制部材40の回転速度を大きくした場合の材料ガスAおよび材料ガスBの供給量の変化が示されている。原子層成長の1サイクルの時間(区間B)が、図10中の原子層成長の1サイクルの時間(区間A)より短くなる。原子層成長の1サイクルの時間は、ガス流れ規制部材40の回転速度によって制御可能である。言い換えれば、ガス流れ規制部材40の回転速度を、基板20に材料ガスAおよびBを供給する期間の長さに基づいて設定する。
このように、それぞれ固有の供給タイミングにおいて材料ガスAおよびBを単独で各反応室18に供給するサイクルを複数回繰り返すことによって、基板20の表面20a上に、原子層レベルで結晶性の揃った薄膜を形成する。
この発明の実施の形態1における気相成長装置10は、基板20が配置される複数の反応室18と、ガス供給部材30と、筒状のガス流れ規制部材40とを備える。複数の反応室18は、周方向に並ぶ。ガス供給部材30は、複数の反応室18に通じる複数のガス流路を形成する。ガス供給部材30は、複数の反応室18の中心に設けられる。ガス流れ規制部材40は、ガス供給部材30の外周上に設けられている。ガス流れ規制部材40は、複数のガス流路を閉塞する。複数の反応室18は、第1反応室群としての反応室群18Xおよび第2反応室群としての反応室群18Yを含む。複数のガス流路は、互いに異なる材料ガスAおよび材料ガスBがそれぞれ流通するガス流路31およびガス流路32を含む。ガス流れ規制部材40には、第1ガス供給口としてのガス供給口41と第2ガス供給口としてのガス供給口42とが、周方向に交互に形成されている。ガス供給口41は、反応室群18Xおよび反応室群18Yのいずれか一方とガス流路31とを連通させる。ガス供給口42は、反応室群18Xおよび反応室群18Yのいずれか他方とガス流路32とを連通させる。ガス流れ規制部材40および複数の反応室18は、相対的に移動可能に設けられている。ガス流れ規制部材40および複数の反応室18の相対的な移動により、互いに連通するガス流路31,32と反応室群18X,18Yとの組み合わせが入れ替わる。
このように構成された、この発明の実施の形態1における気相成長装置および気相成長方法によれば、材料ガスの消費の無駄を無くすことによって、材料ガスの利用効率を低下させずに原子層成長を行なうことができる。また、本実施の形態では、電磁バルブ等の制御機器を用いることなく、互いに異なる材料ガスAおよびBを各反応室18に交互に供給することができる。このため、パーツの消耗を抑え、装置に負担をかけずに原子層成長を行なうことができる。これにより、メンテナンスやパーツの交換頻度を抑えることができる。
図12は、図1中に示す気相成長装置の第1の変形例を示す平面図である。図12を参照して、本変形例では、4枚のガス流路仕切り板14によって、4個の反応室18が区画形成されている。各反応室18には複数の基板20が配置されている。図中では、各反応室18に、基板20A,20B,20Cが配置されている。この場合、同時に処理される基板20の枚数が12枚となり、図6および図7中に示す場合と比較して生産効率を向上させることができる。
図13は、図1中に示す気相成長装置の第2の変形例を示す平面図である。図13を参照して、本変形例では、ガス流路仕切り板14によって、互いに異なる形状を有する複数種類の反応室18が区画形成されている。ガス流路仕切り板14は、必ずしも放射状に延在するように形成されなくてもよい。
図14は、図1中に示す気相成長装置の第3の変形例を示す斜視図である。図14を参照して、基板20は、反応室18に形成されるガス流れの最も上流側に位置する上流端20mと、最も下流側に位置する下流端20nとを含む。本変形例では、ガス流れに直交する平面で切断した場合の反応室18の断面積Sが、上流端20mと下流端20nとの間で一定である。各反応室18の幅は、反応室18内のガス流れの上流側から下流側に向けて一定である。このような構成により、表面20a上で材料ガスの流速がばらつくことを抑制し、表面20aにより均一な成膜を実施することができる。
なお、以上に説明した気相成長装置では、反応室18の底面18c上に基板20を配置したが、基板20の配置の形態はこれに限られない。基板20は、水平に対して傾いて配置されてもよい。基板20は、たとえば、鉛直方向に延在する基板設置面に配置されてもよい。このとき、基板20は、表面20aと反応室18内のガス流れとが平行になるように配置されてもよいし、表面20aと反応室18内のガス流れとが直交するように配置されてもよい。また、基板設置面を多角錐の外側面となるように設け、その基板設置面に基板20を配置してもよい。
また、反応室群18Xおよび反応室群18Yは、隣接する複数個(たとえば2個)の反応室18の組みからそれぞれ構成されてもよい。また、ガス流れ規制部材40によって流れが規制される材料ガスは、3種類以上あってもよい。本発明の適用により、各反応室に3種類の材料ガスが順次供給される気相成長装置を実現することができる。
(実施の形態2)
図15は、この発明の実施の形態2における気相成長装置を示す断面図である。本実施の形態における気相成長装置は、実施の形態1における気相成長装置10と比較して、基本的には同様の構造を備える。以下、重複する構造については説明を繰り返さない。
図15を参照して、本実施の形態における気相成長装置は、図2中のガス供給部材30に替えてガス供給部材70を含む。ガス供給部材70は、ガス流路71およびガス流路72を形成する。複数の反応室18が並ぶ周方向の中心軸を想定した場合に、ガス供給部材70は、複数の反応室18に対してその中心軸に沿った両側に設けられている。ガス流路71およびガス流路72は、材料ガスAおよび材料ガスBをそれぞれ下方向および上方向から反応室18に供給する。ガス供給部材70は、多重管構造を有さない。
ガス供給部材70とは別に、複数の反応室18に連通するガス流路73が形成されている。ガス流路73は、上面18bに開口する。ガス流路73には、バリアガスである材料ガスCが流れる。
このように構成された、この発明の実施の形態2における気相成長装置によれば、実施の形態1に記載の効果を同様に得ることができる。
(実施の形態3)
図16は、この発明の実施の形態3における気相成長装置を示す平面図である。本実施の形態における気相成長装置は、実施の形態1における気相成長装置10と比較して、基本的には同様の構造を備える。以下、重複する構造については説明を繰り返さない。
図16を参照して、本実施の形態では、複数の反応室18がガス流れ規制部材40に対して回転可能に設けられている。複数の反応室18は、ガス流路仕切り板14とともにガス供給部材30を中心に回転する。基板20の表面20aに薄膜を形成する成膜工程時、複数の反応室18を回転させつつ、複数の反応室18に材料ガスA〜Cを供給する。このとき、反応室群18Xおよび18Yが交互にガス供給口41および42と対向することにより、材料ガスAおよび材料ガスBが反応室群Xおよび反応室群Yに交互に供給される。
このように構成された、この発明の実施の形態3における気相成長装置によれば、実施の形態1に記載の効果を同様に得ることができる。
(実施の形態4)
図17は、この発明の実施の形態4における気相成長装置を示す断面図である。図18は、図17中の気相成長装置に設けられたガス流れ規制部材を示す斜視図である。本実施の形態における気相成長装置は、実施の形態1における気相成長装置10と比較して、基本的には同様の構造を備える。以下、重複する構造については説明を繰り返さない。
図17および図18を参照して、本実施の形態では、図4中のガス流れ規制部材40に替えてガス流れ規制部材80が設けられている。ガス流れ規制部材80には、ガス供給口81〜85が形成されている。ガス供給口81,82,83,84,85は、挙げた順に上下に並ぶ。ガス供給口81〜85のうち上下に隣接するガス供給口は、複数の反応室18が並ぶ周方向に交互に並んで形成されている。
図4中のガス流れ規制部材40が回転可能に設けられていたのに対して、本実施の形態におけるガス流れ規制部材80は、複数の反応室18に対して上下にスライド移動可能に設けられている。ガス流れ規制部材80は、ガス供給口81〜85が互いに隣り合うピッチ分だけスライド移動可能に設けられている。基板20の表面20aに薄膜を形成する成膜工程時、ガス流れ規制部材80を上下に往復運動させつつ、複数の反応室18に材料ガスA〜Cを供給する。
図18(A)中には、ガス流れ規制部材80が下方向にスライド移動した状態が示されている。このとき、ガス供給口82を通じてガス流路31と反応室群18Xとが連通し、ガス供給口83を通じてガス流路32と反応室群18Yとが連通する。また、ガス供給口84および85を通じてガス流路33と反応室群18Xおよび18Yとが連通する。一方、図18(B)中には、ガス流れ規制部材80が上方向にスライド移動した状態が示されている。このとき、ガス供給口81を通じてガス流路31と反応室群18Yとが連通し、ガス供給口82を通じてガス流路32と反応室群18Xとが連通する。また、ガス供給口83および84を通じてガス流路33と反応室群18Xおよび18Yとが連通する。
このような構成により、材料ガスAおよび材料ガスBが、それぞれガス供給口81〜83を通じて、反応室群Xおよび反応室群Yに交互に供給されると同時に、材料ガスCが、ガス供給口83〜85を通じて、反応室群XおよびYに供給される。本実施の形態における気相成長装置と比較して、実施の形態1における気相成長装置10では、ガス供給口を共用する必要がなく寸法的制約が小さいという利点がある。また、ガス流れ規制部材40の内筒面が異種の材料ガスに晒されることがないため、反応物質の付着が抑制されるという利点もある。
このように構成された、この発明の実施の形態4における気相成長装置によれば、実施の形態1に記載の効果を同様に得ることができる。
なお、本実施の形態では、図17中に円筒形状を有するガス流れ規制部材80を示したが、これに限られず、ガス流れ規制部材80は、円筒以外の筒形状を有してもよい。ガス流れ規制部材80は、たとえば断面が多角形となる筒形状を有してもよい。
今回開示された実施の形態はすべての点で例示であって制限的なものではないと考えられるべきである。本発明の範囲は上記した説明ではなくて特許請求の範囲によって示され、特許請求の範囲と均等の意味および範囲内でのすべての変更が含まれることが意図される。
この発明の実施の形態1における気相成長装置を示す斜視図である。 図1中の気相成長装置を示す断面図である。 図2中のIII−III線上に沿った気相成長装置の平面図である。 図1中の気相成長装置に設けられたガス流れ規制部材を示す斜視図である。 図4中のガス流れ規制部材がガス流路を塞ぐ状態を示す断面図である。 図5中の反応室内に形成されるガス流れの状態を示す平面図である。 図5中の反応室内に形成されるガス流れの別の状態を示す平面図である。 図2中の2点鎖線VIIIで囲まれた範囲を示す断面図である。 図8中のガス流れ規制部材の詳細形状を示す断面図である。 図1中の気相成長装置において、原子層成長の成膜時、材料ガスAおよび材料ガスBの供給量の変化を示すタイミングチャート図である。 材料ガスAおよび材料ガスBの供給量の変化を示す別のタイミングチャート図である。 図1中に示す気相成長装置の第1の変形例を示す平面図である。 図1中に示す気相成長装置の第2の変形例を示す平面図である。 図1中に示す気相成長装置の第3の変形例を示す斜視図である。 この発明の実施の形態2における気相成長装置を示す断面図である。 この発明の実施の形態3における気相成長装置を示す平面図である。 この発明の実施の形態4における気相成長装置を示す断面図である。 図17中の気相成長装置に設けられたガス流れ規制部材を示す斜視図である。 気相成長装置の一例を示す図である。 図19中の気相成長装置において、材料ガスA〜Cの供給量の変化を示す概略図である。 材料ガスA〜Cの供給をパルス状に制御する場合の電磁バルブの動作を示す図である。
符号の説明
10 気相成長装置、18 反応室、18X,18Y 反応室群、20 基板、20m 上流端、20n 下流端、30,70 ガス供給部材、31,32,71,72 ガス流路、37 合流仕切り板、40,80 ガス流れ規制部材、41,42,81〜85 ガス供給口、43 端面、45 傾斜部、51 溝。

Claims (10)

  1. 基板が配置され、周方向に並ぶ複数の反応室と、
    前記複数の反応室に通じる複数のガス流路を形成し、前記複数の反応室の中心に設けられるガス供給部材と、
    前記ガス供給部材の外周上に設けられ、前記複数のガス流路を閉塞する筒状のガス流れ規制部材とを備え、
    前記複数の反応室は、第1反応室群および第2反応室群を含み、
    前記複数のガス流路は、互いに異なる材料ガスが流通する第1ガス流路および第2ガス流路を含み、
    前記ガス流れ規制部材には、前記第1反応室群および前記第2反応室群のいずれか一方と前記第1ガス流路とを連通させる第1ガス供給口と、前記第1反応室群および前記第2反応室群のいずれか他方と前記第2ガス流路とを連通させる第2ガス供給口とが、周方向に交互に形成され、
    前記ガス流れ規制部材および前記複数の反応室は、相対的に移動可能に設けられ、
    前記ガス流れ規制部材および前記複数の反応室の相対的な移動により、互いに連通するガス流路と反応室群との組み合わせが入れ替わる、気相成長装置。
  2. 前記ガス供給部材は、前記複数のガス流路を区画形成する多重管構造を有する、請求項1に記載の気相成長装置。
  3. 前記ガス流れ規制部材および前記複数の反応室は、前記ガス供給部材を中心に相対的に回転可能に設けられている、請求項1または2に記載の気相成長装置。
  4. 前記ガス流れ規制部材は、前記複数のガス流路を閉塞する位置から退避することが可能である、請求項1から3のいずれか1項に記載の気相成長装置。
  5. 前記複数のガス流路から供給された材料ガスを前記基板に向けて案内するガイドプレートをさらに備え、
    前記ガイドプレートには、前記ガス流れ規制部材を格納する溝が形成され、
    前記ガス流れ規制部材が前記溝に格納された状態で前記溝から露出する前記ガス流れ規制部材の端面は、前記ガイドプレートの壁面と同じ傾きを有する傾斜部を含む、請求項4に記載の気相成長装置。
  6. N個(N:2以上の偶数)の反応室が設けられている場合に、各反応室が360/N(度)の等角度で配設されている、請求項1から5のいずれか1項に記載の気相成長装置。
  7. ガス流れに直交する平面で切断した場合の各反応室の断面積は、ガス流れの上流側における前記基板の端部と、ガス流れの下流側における前記基板の端部との間で一定である、請求項1から6のいずれか1項に記載の気相成長装置。
  8. 請求項1から7のいずれか1項に記載の気相成長装置を用いて、前記基板の表面上に薄膜を成長させる気相成長方法であって、
    前記複数のガス流路に材料ガスを導入するステップと、
    前記ガス流れ規制部材および前記複数の反応室を相対的に移動させつつ、材料ガスを前記複数のガス流路を通じて前記複数の反応室に供給するステップとを備え、
    前記材料ガスを供給するステップ時、前記第1ガス供給口により前記第1反応室群と前記第1ガス流路とを連通させ、前記第2ガス供給口により前記第2反応室群と前記第2ガス流路とを連通させるステップと、前記第1ガス供給口により前記第2反応室群と前記第1ガス流路とを連通させ、前記第2ガス供給口により前記第1反応室群と前記第2ガス流路とを連通させるステップとを交互に実施する、気相成長方法。
  9. 前記材料ガスを供給するステップは、前記複数の反応室内で前記基板を所定温度に保持するとともに、前記基板の表面上に異なる材料ガスを交互に供給することにより、薄膜を成長させるステップを含む、請求項8に記載の気相成長方法。
  10. 前記材料ガスを供給するステップは、前記ガス流れ規制部材および前記複数の反応室を、前記ガス供給部材を中心に相対的に回転させるステップを含み、
    前記ガス流れ規制部材および前記複数の反応室の相対的な回転速度を、前記基板に材料ガスを供給する期間の長さに基づいて設定する、請求項8または9に記載の気相成長方法。
JP2007004698A 2007-01-12 2007-01-12 気相成長装置および気相成長方法 Withdrawn JP2008172083A (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2007004698A JP2008172083A (ja) 2007-01-12 2007-01-12 気相成長装置および気相成長方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2007004698A JP2008172083A (ja) 2007-01-12 2007-01-12 気相成長装置および気相成長方法

Publications (1)

Publication Number Publication Date
JP2008172083A true JP2008172083A (ja) 2008-07-24

Family

ID=39699885

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2007004698A Withdrawn JP2008172083A (ja) 2007-01-12 2007-01-12 気相成長装置および気相成長方法

Country Status (1)

Country Link
JP (1) JP2008172083A (ja)

Cited By (291)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010056470A (ja) * 2008-08-29 2010-03-11 Tokyo Electron Ltd 成膜装置及び成膜方法
JP2010153805A (ja) * 2008-11-19 2010-07-08 Tokyo Electron Ltd 成膜装置、成膜装置のクリーニング方法、プログラム、プログラムを記憶するコンピュータ可読記憶媒体
JP2010263245A (ja) * 2008-06-27 2010-11-18 Tokyo Electron Ltd 成膜装置、成膜方法、基板処理装置及び記憶媒体
JP2011119408A (ja) * 2009-12-02 2011-06-16 Tokyo Electron Ltd 基板処理装置
KR20140018793A (ko) * 2012-08-02 2014-02-13 에이에스엠 아이피 홀딩 비.브이. 다중 반응기의 평행 쉬프트 작동 방법
US10312129B2 (en) 2015-09-29 2019-06-04 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10438965B2 (en) 2014-12-22 2019-10-08 Asm Ip Holding B.V. Semiconductor device and manufacturing method thereof
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10468262B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by a cyclical deposition and related semiconductor device structures
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US10480072B2 (en) 2009-04-06 2019-11-19 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541173B2 (en) 2016-07-08 2020-01-21 Asm Ip Holding B.V. Selective deposition method to form air gaps
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US10561975B2 (en) 2014-10-07 2020-02-18 Asm Ip Holdings B.V. Variable conductance gas distribution apparatus and method
US10566223B2 (en) 2012-08-28 2020-02-18 Asm Ip Holdings B.V. Systems and methods for dynamic semiconductor process scheduling
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10604847B2 (en) 2014-03-18 2020-03-31 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10622375B2 (en) 2016-11-07 2020-04-14 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10665452B2 (en) 2016-05-02 2020-05-26 Asm Ip Holdings B.V. Source/drain performance through conformal solid state doping
US10672636B2 (en) 2017-08-09 2020-06-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10707106B2 (en) 2011-06-06 2020-07-07 Asm Ip Holding B.V. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10714335B2 (en) 2017-04-25 2020-07-14 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10720322B2 (en) 2016-02-19 2020-07-21 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top surface
US10720331B2 (en) 2016-11-01 2020-07-21 ASM IP Holdings, B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10734223B2 (en) 2017-10-10 2020-08-04 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10734497B2 (en) 2017-07-18 2020-08-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10734244B2 (en) 2017-11-16 2020-08-04 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by the same
US10741385B2 (en) 2016-07-28 2020-08-11 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10784102B2 (en) 2016-12-22 2020-09-22 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10787741B2 (en) 2014-08-21 2020-09-29 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US10804098B2 (en) 2009-08-14 2020-10-13 Asm Ip Holding B.V. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10832903B2 (en) 2011-10-28 2020-11-10 Asm Ip Holding B.V. Process feed management for semiconductor substrate processing
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10847371B2 (en) 2018-03-27 2020-11-24 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10851456B2 (en) 2016-04-21 2020-12-01 Asm Ip Holding B.V. Deposition of metal borides
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867786B2 (en) 2018-03-30 2020-12-15 Asm Ip Holding B.V. Substrate processing method
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US10914004B2 (en) 2018-06-29 2021-02-09 Asm Ip Holding B.V. Thin-film deposition method and manufacturing method of semiconductor device
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10928731B2 (en) 2017-09-21 2021-02-23 Asm Ip Holding B.V. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10934619B2 (en) 2016-11-15 2021-03-02 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11001925B2 (en) 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11056567B2 (en) 2018-05-11 2021-07-06 Asm Ip Holding B.V. Method of forming a doped metal carbide film on a substrate and related semiconductor device structures
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11101370B2 (en) 2016-05-02 2021-08-24 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11233133B2 (en) 2015-10-21 2022-01-25 Asm Ip Holding B.V. NbMC layers
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11742189B2 (en) 2015-03-12 2023-08-29 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11959168B2 (en) 2020-04-29 2024-04-16 Asm Ip Holding B.V. Solid source precursor vessel
US11961741B2 (en) 2020-03-12 2024-04-16 Asm Ip Holding B.V. Method for fabricating layer structure having target topological profile
US11967488B2 (en) 2013-02-01 2024-04-23 Asm Ip Holding B.V. Method for treatment of deposition reactor
US11976359B2 (en) 2020-01-06 2024-05-07 Asm Ip Holding B.V. Gas supply assembly, components thereof, and reactor system including same
US11987881B2 (en) 2020-05-22 2024-05-21 Asm Ip Holding B.V. Apparatus for depositing thin films using hydrogen peroxide
US11986868B2 (en) 2020-02-28 2024-05-21 Asm Ip Holding B.V. System dedicated for parts cleaning
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11996292B2 (en) 2019-10-25 2024-05-28 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
US11993843B2 (en) 2017-08-31 2024-05-28 Asm Ip Holding B.V. Substrate processing apparatus
US11996309B2 (en) 2019-05-16 2024-05-28 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
US12006572B2 (en) 2019-10-08 2024-06-11 Asm Ip Holding B.V. Reactor system including a gas distribution assembly for use with activated species and method of using same
US12020934B2 (en) 2020-07-08 2024-06-25 Asm Ip Holding B.V. Substrate processing method
US12027365B2 (en) 2021-11-19 2024-07-02 Asm Ip Holding B.V. Methods for filling a gap and related systems and devices

Cited By (369)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010263245A (ja) * 2008-06-27 2010-11-18 Tokyo Electron Ltd 成膜装置、成膜方法、基板処理装置及び記憶媒体
JP4661990B2 (ja) * 2008-06-27 2011-03-30 東京エレクトロン株式会社 成膜装置、成膜方法、基板処理装置及び記憶媒体
JP2010056470A (ja) * 2008-08-29 2010-03-11 Tokyo Electron Ltd 成膜装置及び成膜方法
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
JP2010153805A (ja) * 2008-11-19 2010-07-08 Tokyo Electron Ltd 成膜装置、成膜装置のクリーニング方法、プログラム、プログラムを記憶するコンピュータ可読記憶媒体
US10480072B2 (en) 2009-04-06 2019-11-19 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US10844486B2 (en) 2009-04-06 2020-11-24 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US10804098B2 (en) 2009-08-14 2020-10-13 Asm Ip Holding B.V. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP2011119408A (ja) * 2009-12-02 2011-06-16 Tokyo Electron Ltd 基板処理装置
US10707106B2 (en) 2011-06-06 2020-07-07 Asm Ip Holding B.V. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US10832903B2 (en) 2011-10-28 2020-11-10 Asm Ip Holding B.V. Process feed management for semiconductor substrate processing
JP2014033203A (ja) * 2012-08-02 2014-02-20 Asm Ip Holding Bv 複数のリアクタの並列シフト動作方法
KR102072248B1 (ko) * 2012-08-02 2020-02-03 에이에스엠 아이피 홀딩 비.브이. 다중 반응기의 평행 쉬프트 작동 방법
KR20140018793A (ko) * 2012-08-02 2014-02-13 에이에스엠 아이피 홀딩 비.브이. 다중 반응기의 평행 쉬프트 작동 방법
US10566223B2 (en) 2012-08-28 2020-02-18 Asm Ip Holdings B.V. Systems and methods for dynamic semiconductor process scheduling
US11501956B2 (en) 2012-10-12 2022-11-15 Asm Ip Holding B.V. Semiconductor reaction chamber showerhead
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US11967488B2 (en) 2013-02-01 2024-04-23 Asm Ip Holding B.V. Method for treatment of deposition reactor
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10604847B2 (en) 2014-03-18 2020-03-31 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10787741B2 (en) 2014-08-21 2020-09-29 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10561975B2 (en) 2014-10-07 2020-02-18 Asm Ip Holdings B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US11795545B2 (en) 2014-10-07 2023-10-24 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10438965B2 (en) 2014-12-22 2019-10-08 Asm Ip Holding B.V. Semiconductor device and manufacturing method thereof
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US11742189B2 (en) 2015-03-12 2023-08-29 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US11242598B2 (en) 2015-06-26 2022-02-08 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10312129B2 (en) 2015-09-29 2019-06-04 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US11233133B2 (en) 2015-10-21 2022-01-25 Asm Ip Holding B.V. NbMC layers
US11956977B2 (en) 2015-12-29 2024-04-09 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11676812B2 (en) 2016-02-19 2023-06-13 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top/bottom portions
US10720322B2 (en) 2016-02-19 2020-07-21 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top surface
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10851456B2 (en) 2016-04-21 2020-12-01 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10665452B2 (en) 2016-05-02 2020-05-26 Asm Ip Holdings B.V. Source/drain performance through conformal solid state doping
US11101370B2 (en) 2016-05-02 2021-08-24 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US11649546B2 (en) 2016-07-08 2023-05-16 Asm Ip Holding B.V. Organic reactants for atomic layer deposition
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10541173B2 (en) 2016-07-08 2020-01-21 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11094582B2 (en) 2016-07-08 2021-08-17 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11749562B2 (en) 2016-07-08 2023-09-05 Asm Ip Holding B.V. Selective deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US11694892B2 (en) 2016-07-28 2023-07-04 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10741385B2 (en) 2016-07-28 2020-08-11 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US11107676B2 (en) 2016-07-28 2021-08-31 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US10943771B2 (en) 2016-10-26 2021-03-09 Asm Ip Holding B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10720331B2 (en) 2016-11-01 2020-07-21 ASM IP Holdings, B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US11810788B2 (en) 2016-11-01 2023-11-07 Asm Ip Holding B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10644025B2 (en) 2016-11-07 2020-05-05 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10622375B2 (en) 2016-11-07 2020-04-14 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10934619B2 (en) 2016-11-15 2021-03-02 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US11396702B2 (en) 2016-11-15 2022-07-26 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
US11970766B2 (en) 2016-12-15 2024-04-30 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US12000042B2 (en) 2016-12-15 2024-06-04 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11851755B2 (en) 2016-12-15 2023-12-26 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11001925B2 (en) 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US11251035B2 (en) 2016-12-22 2022-02-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10784102B2 (en) 2016-12-22 2020-09-22 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US11410851B2 (en) 2017-02-15 2022-08-09 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10468262B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by a cyclical deposition and related semiconductor device structures
US11658030B2 (en) 2017-03-29 2023-05-23 Asm Ip Holding B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
US10714335B2 (en) 2017-04-25 2020-07-14 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10950432B2 (en) 2017-04-25 2021-03-16 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11848200B2 (en) 2017-05-08 2023-12-19 Asm Ip Holding B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US11976361B2 (en) 2017-06-28 2024-05-07 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US11695054B2 (en) 2017-07-18 2023-07-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11164955B2 (en) 2017-07-18 2021-11-02 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US10734497B2 (en) 2017-07-18 2020-08-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11004977B2 (en) 2017-07-19 2021-05-11 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US11802338B2 (en) 2017-07-26 2023-10-31 Asm Ip Holding B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11417545B2 (en) 2017-08-08 2022-08-16 Asm Ip Holding B.V. Radiation shield
US11587821B2 (en) 2017-08-08 2023-02-21 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10672636B2 (en) 2017-08-09 2020-06-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US11581220B2 (en) 2017-08-30 2023-02-14 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11993843B2 (en) 2017-08-31 2024-05-28 Asm Ip Holding B.V. Substrate processing apparatus
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
US10928731B2 (en) 2017-09-21 2021-02-23 Asm Ip Holding B.V. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11387120B2 (en) 2017-09-28 2022-07-12 Asm Ip Holding B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11094546B2 (en) 2017-10-05 2021-08-17 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10734223B2 (en) 2017-10-10 2020-08-04 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10734244B2 (en) 2017-11-16 2020-08-04 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by the same
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11682572B2 (en) 2017-11-27 2023-06-20 Asm Ip Holdings B.V. Storage device for storing wafer cassettes for use with a batch furnace
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11501973B2 (en) 2018-01-16 2022-11-15 Asm Ip Holding B.V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11972944B2 (en) 2018-01-19 2024-04-30 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD913980S1 (en) 2018-02-01 2021-03-23 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11735414B2 (en) 2018-02-06 2023-08-22 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11387106B2 (en) 2018-02-14 2022-07-12 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11939673B2 (en) 2018-02-23 2024-03-26 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US11398382B2 (en) 2018-03-27 2022-07-26 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US12020938B2 (en) 2018-03-27 2024-06-25 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US10847371B2 (en) 2018-03-27 2020-11-24 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10867786B2 (en) 2018-03-30 2020-12-15 Asm Ip Holding B.V. Substrate processing method
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11056567B2 (en) 2018-05-11 2021-07-06 Asm Ip Holding B.V. Method of forming a doped metal carbide film on a substrate and related semiconductor device structures
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11908733B2 (en) 2018-05-28 2024-02-20 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11837483B2 (en) 2018-06-04 2023-12-05 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11296189B2 (en) 2018-06-21 2022-04-05 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11814715B2 (en) 2018-06-27 2023-11-14 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11952658B2 (en) 2018-06-27 2024-04-09 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11168395B2 (en) 2018-06-29 2021-11-09 Asm Ip Holding B.V. Temperature-controlled flange and reactor system including same
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10914004B2 (en) 2018-06-29 2021-02-09 Asm Ip Holding B.V. Thin-film deposition method and manufacturing method of semiconductor device
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11646197B2 (en) 2018-07-03 2023-05-09 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11923190B2 (en) 2018-07-03 2024-03-05 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755923B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11804388B2 (en) 2018-09-11 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus and method
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11735445B2 (en) 2018-10-31 2023-08-22 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11866823B2 (en) 2018-11-02 2024-01-09 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11798999B2 (en) 2018-11-16 2023-10-24 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11244825B2 (en) 2018-11-16 2022-02-08 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11411088B2 (en) 2018-11-16 2022-08-09 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11769670B2 (en) 2018-12-13 2023-09-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11959171B2 (en) 2019-01-17 2024-04-16 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11798834B2 (en) 2019-02-20 2023-10-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11615980B2 (en) 2019-02-20 2023-03-28 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11901175B2 (en) 2019-03-08 2024-02-13 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US12025484B2 (en) 2019-04-29 2024-07-02 Asm Ip Holding B.V. Thin film forming method
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
US11996309B2 (en) 2019-05-16 2024-05-28 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11908684B2 (en) 2019-06-11 2024-02-20 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11746414B2 (en) 2019-07-03 2023-09-05 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11996304B2 (en) 2019-07-16 2024-05-28 Asm Ip Holding B.V. Substrate processing device
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11876008B2 (en) 2019-07-31 2024-01-16 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11898242B2 (en) 2019-08-23 2024-02-13 Asm Ip Holding B.V. Methods for forming a polycrystalline molybdenum film over a surface of a substrate and related structures including a polycrystalline molybdenum film
US11827978B2 (en) 2019-08-23 2023-11-28 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US12006572B2 (en) 2019-10-08 2024-06-11 Asm Ip Holding B.V. Reactor system including a gas distribution assembly for use with activated species and method of using same
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11996292B2 (en) 2019-10-25 2024-05-28 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11976359B2 (en) 2020-01-06 2024-05-07 Asm Ip Holding B.V. Gas supply assembly, components thereof, and reactor system including same
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11986868B2 (en) 2020-02-28 2024-05-21 Asm Ip Holding B.V. System dedicated for parts cleaning
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11837494B2 (en) 2020-03-11 2023-12-05 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11961741B2 (en) 2020-03-12 2024-04-16 Asm Ip Holding B.V. Method for fabricating layer structure having target topological profile
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11959168B2 (en) 2020-04-29 2024-04-16 Asm Ip Holding B.V. Solid source precursor vessel
US11798830B2 (en) 2020-05-01 2023-10-24 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11987881B2 (en) 2020-05-22 2024-05-21 Asm Ip Holding B.V. Apparatus for depositing thin films using hydrogen peroxide
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US12020934B2 (en) 2020-07-08 2024-06-25 Asm Ip Holding B.V. Substrate processing method
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
US12033885B2 (en) 2021-01-04 2024-07-09 Asm Ip Holding B.V. Channeled lift pin
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
US12033861B2 (en) 2021-06-07 2024-07-09 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US12027365B2 (en) 2021-11-19 2024-07-02 Asm Ip Holding B.V. Methods for filling a gap and related systems and devices
US12033849B2 (en) 2022-12-08 2024-07-09 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by PEALD using bis(diethylamino)silane

Similar Documents

Publication Publication Date Title
JP2008172083A (ja) 気相成長装置および気相成長方法
US11377737B2 (en) Manifolds for uniform vapor deposition
US6884296B2 (en) Reactors having gas distributors and methods for depositing materials onto micro-device workpieces
JP5172617B2 (ja) 気相成長装置及び気相成長方法
KR20070107782A (ko) 다중 유입구를 구비하는 화학기상증착 반응기
JP4840832B2 (ja) 気相成長装置、気相成長方法、および半導体素子の製造方法
US20080029028A1 (en) Systems and methods for depositing material onto microfeature workpieces in reaction chambers
CN110904432A (zh) 一种mocvd反应器
TWI606137B (zh) 基板處理設備
CN112695302B (zh) 一种mocvd反应器
JP2009164570A (ja) 気相処理装置、気相処理方法および基板
TW202113149A (zh) 用於薄膜沉積設備的流體分配裝置、相關設備和方法
KR101311362B1 (ko) 박막증착장치
JP2011222592A (ja) 気相成長装置及び気相成長方法
TWI809088B (zh) 具有多區域噴射器塊的化學氣相沉積設備
JP5015085B2 (ja) 気相成長装置
KR101004903B1 (ko) 화학 기상 증착 장치
JP5031910B2 (ja) 気相成長装置
JP2010238831A (ja) 気相成長装置及び気相成長方法
JP4879693B2 (ja) Mocvd装置およびmocvd法
JP2012009752A (ja) 気相成長装置、及びガス吐出装置
KR20120079443A (ko) 박막 증착 방법 및 박막 증착 장치
KR20150101236A (ko) 가스 공급의 개별 제어가 가능한 모듈형 화학기상 증착장치
KR101804127B1 (ko) 박막 증착 방법
KR20100015212A (ko) 화학 기상 증착 장치

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20090925

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20100201

A761 Written withdrawal of application

Free format text: JAPANESE INTERMEDIATE CODE: A761

Effective date: 20100217