KR102072248B1 - 다중 반응기의 평행 쉬프트 작동 방법 - Google Patents

다중 반응기의 평행 쉬프트 작동 방법 Download PDF

Info

Publication number
KR102072248B1
KR102072248B1 KR1020130084459A KR20130084459A KR102072248B1 KR 102072248 B1 KR102072248 B1 KR 102072248B1 KR 1020130084459 A KR1020130084459 A KR 1020130084459A KR 20130084459 A KR20130084459 A KR 20130084459A KR 102072248 B1 KR102072248 B1 KR 102072248B1
Authority
KR
South Korea
Prior art keywords
gas
line
lines
reactor
reactant
Prior art date
Application number
KR1020130084459A
Other languages
English (en)
Other versions
KR20140018793A (ko
Inventor
아다치 와타루
하전석
Original Assignee
에이에스엠 아이피 홀딩 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠 아이피 홀딩 비.브이. filed Critical 에이에스엠 아이피 홀딩 비.브이.
Publication of KR20140018793A publication Critical patent/KR20140018793A/ko
Application granted granted Critical
Publication of KR102072248B1 publication Critical patent/KR102072248B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F17STORING OR DISTRIBUTING GASES OR LIQUIDS
    • F17DPIPE-LINE SYSTEMS; PIPE-LINES
    • F17D3/00Arrangements for supervising or controlling working operations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/0318Processes
    • Y10T137/0324With control of flow by a condition or characteristic of a fluid
    • Y10T137/0357For producing uniform flow

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Electromagnetism (AREA)
  • Plasma & Fusion (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Engineering & Computer Science (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

다중 반응기의 평행 이동 작동 방법은 (i) 1 내지 n으로 번호가 매겨진 n개의 기체를 각각 n개의 주요 기체 라인을 통해 n개의 기체 포트로 일정한 유동 속도로 동시에 연속적으로 공급하는 단계로서, 여기서 각각의 주요 기체 라인의 분지 기체 라인들 중의 하나는 개방 상태에 있으며, 분지 기체 라인들 중의 하나는, 각각의 반응기의 유입 속도가 동일하도록 하고 각각의 반응기의 유출 속도가 동일하도록 하는 단계; 및 (ii) 각각의 반응기들의 유입 속도 및 유출 속도를 유지시키면서, 개방 상태의 각각의 주요 기체 라인의 분지 기체 라인들 중의 하나를 동시에 폐쇄시키고 각각의 주요 기체 라인의 분지 기체 라인들 중의 또 다른 하나를 개방시켜서 상이한 수의 기체들이 반응기의 유입 속도 및 유출 속도를 변화시키지 않고 평행하게 각각의 반응기에 연속적으로 공급되도록 하는 단계를 포함한다.

Description

다중 반응기의 평행 쉬프트 작동 방법{Method of Parallel Shift Operation of Multiple Reactors}
본 발명은 일반적으로 반도체 제조방법, 특히 기체가 반응기로 연속적으로 공급되는 다중 반응기(multiple reactor)의 평행 이동 작동 방법(parallel shift operation)에 관한 것이다.
플라즈마-증진된 원자 층 증착(PEALD) 공정은 전형적으로 도 1에 나타낸 바와 같은 다음의 4개의 단계들을 반복한다:
단계 1(전구체 공급)에서, 전구체 기체는 반응기 체임버(reactor chamber; RC) 속으로 공급되며 전구체는 웨이퍼 표면(wafer surface)에 흡수된다. 단계 2(퍼지; Purge)에서, 흡수되지 않은 전구체는 반응기 체임버로부터 제거된다. 단계 3(플라즈마 처리)에서, 흡수된 표면은 전구체와 반응기 기체의 반응을 위한 RF 플라즈마에 의해 활성화된다. 단계 4(퍼지)에서, 반응되지 않은 전구체 및 부산물은 표면으로부터 제거된다. 상기에서, 단계들 동안에, 반응물 기체는 연속적으로 유동한다. PEALD 공정을 위한 장치는 도 3에 나타낸 바와 같은 다음 성분들을 필요로 한다:
RF 생성기 (단일 또는 이중 주파수): 1개의 장치 (4) / 1 RC;
전구체 기체 라인(precursor gas line): 1 라인 (1) / 1 RC (공정이 상이한 전구체를 변환시키고 유동(flowing)시키는 것을 필요로 하면, 상이한 라인(2)이 각각의 전구체를 위해 필요하다);
반응물 기체 라인: 1 라인(3) / 1 RC; 및
RC 압력 조절 밸브 및 배기 라인(exhaust line): 1 세트 (6, 7) / 1 RC.
필요한 성분은, 시스템을 하나의 RC로부터 다수의 RC로 확대시키는 경우 변해야 한다. 간단한 확대에 의해, 2-RC 시스템은 도 4에 나타낸 바와 같이 다음 성분들을 필요로 한다:
RF 생성기(단일 또는 이중 주파수): 2개의 장치 (4, 4')/ 2 RC;
전구체 기체 라인: 2 라인(1, 1' / 2 RC (공정이 상이한 전구체를 변환하고 유동시키는 것을 필요로 하면, 상이한 라인이 RC당 각각의 전구체를 위해 필요하다);
반응물 기체 라인: 2 라인(3, 3' / 2 RC; 및
RC 압력 조절 밸브 및 배기 라인 : 2개의 세트(6, 7, 6', 7') / 2 RC.
상기한 성분 중복을 고려하여, 전구체 공급 시스템, 공정 순서의 구성, 및 2개의 RC 사이의 공정 조절능(process controllability)에 따라, 시스템 요건은 변형될 수 있다.
전구체 공급 시스템은 다음의 2가지 유형으로 분류된다.
(I) 전구체 유동의 온/오프 조절에 의해, 전구체 공급은 도 5에서 (I)에 나타낸 바와 같이 조절된다.
(II) 전구체 유동 및 분활성 기체 유동을 변환시키면서 동일한 유동 속도를 유지시킴으로써, 전구체 공급은 도 5에서 (II)에 나타낸 바와 같이 조절된다.
온-오프 유동 조절 시스템에서, 액체 전구체는 탱크(41) 속에서 증발되며, 운반 기체(carrier gas)는 라인(43)을 통해 탱크(41) 속으로 도입되며, 밸브(42)가 폐쇄되면, 도 5에서 (a)에 나타낸 바와 같이 어떠한 유동도 라인(44)를 통해 탱크(41)로부터 빠져나오지 않는다. 밸브(42)가 개방되는 경우, 운반 기체는 증발된 전구체를 운반하며 도 5에서 (b)에 나타낸 바와 같이 라인(44)을 통해 탱크(41)로부터 함께 유동되어 나온다. 전구체 유동의 온/오프 조절에 의해, 총 기체 유동 속도 및 RC 압력은 변하게 된다. 따라서, 이러한 유동 조절 시스템은, RC들 사이의 RC 압력 차이가 문제를 유발할 수 있는 경우(예를 들면, 부적절한 전구체 기체 유입이 RC들 사이의 압력 차이로 인하여 공유된 배기 라인을 통해 잘못된 RC로 진행되는 경우)에는 채택되지 않는다. 유동 조절 시스템을 변환시킴에 있어서, 액체 전구체는 탱크(51) 속에서 증발되고, 운반 기체는, 밸브(55)가 폐쇄되기 때문에 밸브(56)를 경유하여 라인(53)을 통해 탱크(51) 속으로 도입된다. 운반 기체는 증발된 전구체를 운반하며 도 5에서 (d)에 나타낸 바와 같이 밸브(57)을 경유하여 라인(54)을 통해 탱크(51)로부터 함께 유동되어 나온다. 그러나, 밸브(55)가 개방되고, 밸브(56, 57)가 폐쇄되는 경우, 단지 운반 기체만이 도 5에서 (c)에 나타낸 바와 같이 라인(53, 54)을 통해 유동된다. 전구체 및 불활성 기체 유동을 변환시킴으로써, 전체 유동 속도 및 RC 압력은 실질적으로 고정될 수 있으며 RC 압력은 자동 압력 조절기(나타내지 않음)에 의해 용이하게 조절된다. 이러한 유동 조절 시스템에서, RC들 사이에 실질적인 압력 차이가 존재하지 않기 때문에, RC들이 배기 라인을 공유하지만, RC들 사이에는 인터플레이(interplay)가 실질적으로 존재하지 않는다. 변환 유동 조절 시스템을 이용하는 전형적이 공정 순서는 도 2에 나타낸다. 도 1에 나타낸 공정 순서로부터의 차이는, 단계 2, 3 및 4에서, 전구체로부터 불활성 기체로 변환되는 경우, 불활성 기체가 단계 1에서의 전구체의 유동 속도와 동일한 유동 속도에서 단계 1로부터 연속적으로 유동한다.
공정 순서의 구성은 다음과 같은 2개의 유형으로 분류된다.
(1) 도 6에서 (1)에 나타낸 바와 같은 RC1과 RC2 사이의 동시발생하는 공정(concurrent processing);
(2) 도 6에서 (2)에 나타낸 바와 같은 RC1과 RC2 사이의 교호 공정(alternative processing).
동시발생하는 공정은 간단하다. PEALD 공정에서, 교호적인 공정을 선택하여 특정한 단계에서만 사용된 단일 공급원의 이중(동시 타이밍) 작동을 제거함으로써 시스템 성분을 감소시킬 수 있다. 예를 들면, 2개의 RC들 사이의 중복 플라즈마 처리를 제거함으로써, 단일 RF 생성기는 2개의 RC들 사이에 공유시킬 수 있다. 교호적인 공정에서, 동일한 작동이 2개의 RC들에서 교호적으로 수행되며, 여기서 독립적인 공정 단계 조절이 각각의 RC에서 필요하다.
2개의 RC들 사이의 공정 가공능은, 2개의 RC들 사이의 상이한 공정 사이클이 설정될 수 있는지 또는 설정될 수 없는지에 따라 다음과 같은 2개의 유형으로 분류된다.
(A) 2개의 RC들 사이의 상이한 공정 사이클을 설정할 수 있다;
(B) 2개의 RC들 사이의 상이한 공정 사이클을 설정할 수 없다(동일한 공정 사이클만을 설정할 수 있다).
2개의 RC들 사이의 상이한 공정 사이클을 설정하는 일부 이점들이 존재한다. 첫째, 상이한 조건(예를 들면, 필름 두께)의 증착하의 공정을 각각의 RC에서 동시에 수행할 수 있다. 둘째, RC-대-RC 미스매치(mismatch)는 RC들 사이의 상이한 공정 사이클을 설정함으로써 조정할 수 있다. 교호 가공을 할 수 있는 시스템은 2개의 RC들 사이의 상이한 사이클을 자연스럽게 가공할 수 있음을 주목해야 하는데, 그 이유는 독립적인 공정 단계 조절이 교호적인 가공을 할 수 있는 각각의 RC에 대해서 필요하기 때문이다.
전구체 공급 시스템((I): 온-오프 조절 시스템; (II): 유동 조절 시스템을 변환시킴), 공정 순서의 구성((1): 동시발생하는 가공; (2) 교호적 가공), 및 2개의 RC들 사이의 공정 조절능((A): 상이한 사이클; (B): 동일한 사이클)을 다수의 방법으로 조합할 수 있다.
도 7은 온-오프 유동 조절 시스템(I), 동시발생하는 공정(1), 및 상이한 사이클(A)의 능력을 갖는 2-반응기 시스템을 설명하며, 여기서 전구체 라인(1), 전구체 라인(2), 및 반응물 기체 라인(3)은 반응 체임버(4) 및 반응 체임버(4')에 의해 공유된다. 그러나, 반응 체임버(4, 4') 사이의 상이한 사이클의 능력을 갖도록 하기 위하여, 상이한 RF 생성기(5, 5'), 상이한 압력 조절 밸브(6, 6'), 및 상이한 배기 라인(7, 7')은 각각 반응 체임버(4, 4')에 제공된다.
도 8은 온-오프 유동 조절 시스템(I), 동시발생하는 가공(1), 및 상이한 사이클(B)의 불능을 갖는 2-반응기(2-RC) 시스템을 나타내며, 여기서 전구체 라인(1), 전구체 라인(2), 반응물 기체 라인(3), 전구체 조절 밸브(6), 및 배기 라인(7)이 반응 체임버(4) 및 반응 체임버(4')에 의해 공유된다. RF 생성기는 반응 체임버(4, 4')에 의해 공유될 수 있지만, 상이한 RF 생성기(5, 5')를 사용하여 RC들 사이에 동일한 유효 전력이 보장되도록 한다. 공정은 상이한 사이클들의 능력 없이 동시발생하기 때문에, 성분들 모두(RF 생성기를 제외함)는 2개의 반응 체임버에 의해 공유될 수 있다.
도 9는 온-오프 유동 조절 시스템(I) 및 교호 가공(2)을 갖는 2-반응기(2-RC) 시스템을 나타내는 데, 여기서 전구체 라인(1), 전구체 라인(2), 및 반응물 기체 라인(3)은 반응 체임버(4) 및 반응 체임버(4')에 의해 공유된다. 교호적 가공을 수행하기 위하여, 기체들의 유동은 온-오프 밸브(8)에 의해 조절되고, 상이한 압력 조절 밸브(6, 6') 및 상이한 배기 라인(7, 7')은 각각 반응 체임버(4, 4')로 제공된다. 상이한 RF 생성기가 반응 체임버(4, 4')에서 사용될 수 있지만, 반응 체임버(4, 4')를 변환시키기 위한 스위치가 제공된 RF 생성기(5)는 반응 체임버(4, 4')에 의해 공유된다.
도 10은 변환 유동 조절 시스템(II), 동시발생하는 가공(1), 및 상이한 사이클(A)의 능력을 갖는 2-반응기 (2-RC) 시스템을 나타내며, 여기서 반응물 기체 라인(3)은 반응 체임버(4) 및 반응 체임버(4')에 의해 공유된다. 반응 체임버(4, 4') 사이의 상이한 사이클을 수행하기 위하여, 상이한 전구체/불활성 기체 라인(12, 12')(고정된 유동 속도를 지님), 상이한 전구체/불활성 기체 라인(12, 12')(고정된 유동 속도를 가짐), 및 상이한 RF 생성기(5, 5')를 각각 반응 체임버(4, 4')에 제공한다. 사이클들이 반응 체임버(4, 4') 사이에서 상이한지에 무관하게, 반응 체임버(4,4')의 기체들의 전체 유동은 변환 유동 시스템(즉, 유동 속도가 고정됨)으로 인하여 동일하며, 이에 따라 압력 조절 밸브(6) 및 배기 라인(7)이 반응 체임버(4, 4')에 의해 공유된다.
도 11은 변환 유동 조절 시스템(II), 동시발생하는 가공(1), 및 상이한 사이클(B)의 불능을 갖는 2-반응기(2-RC) 시스템을 나타내며, 여기서 전구체/불활성 기체 라인(11)(고정된 유동 속도를 가짐), 전구체/불활성 기체 라인(12)(고정된 유동 속도를 가짐), 반응물 기체 라인(3), 압력 조절 밸브(6), 및 배기 라인(7)이 반응 체임버(4) 및 반응 체임버(4')에 의해 공유된다. RF 생성기는 반응 체임버(4, 4')에 의해 공유될 수 있지만, 상이한 RF 발생기(5, 5')를 사용하여 RC들 사이의 동일한 유효 전력을 보장하도록 한다. 공정은 상이한 사이클의 능력없이 동시발생하기 때문에, 성분들 모두(RF 생성기를 제외함)는 2개의 반응 체임버에 의해 공유될 수 있다.
도 12는 변환 유동 조절 시스템(III) 및 교호적 가공(2)을 갖는 2-반응기(2-RC) 시스템을 나타내며, 여기서 반응물 기체 라인(3)은 반응 체임버(4) 및 반응 체임버(4')에 의해 공유된다. 반응 체임버(4, 4')에서 교호적 가공을 수행하기 위하여, 상이한 전구체/불활성 기체 라인(11, 11')(고정된 유동 속도를 가짐), 및 상이한 전구체/불활성 기체 라인(12, 12')(고정된 유동 속도를 가짐)을 각각 반응 체임버(4, 4')에 제공한다. 가공이 반응 체임버(4, 4')에서 교호적으로 수행되는지 무관하게, 반응 체임버(4, 4')의 기체들의 총 유동은, 변환 유동 시스템(즉, 유동 속도가 고정됨)으로 인하여 동일하며, 이에 따라 압력 조절 밸브(6) 및 배기 라인(7)은 반응 체임버(4, 4')에 의해 공유된다. 상이한 RF 생성기가 반응 체임버(4, 4')에서 사용될 수 있지만, 반응 체임버(4, 4')를 변환시키기 위한 스위치가 제공된 RF 생성기(5)는 반응 체임버(4, 4')에 의해 공유된다.
하기 표 1은 상기한 조합 및 필요한 최소 성분을 요약해서 나타낸다.
조합 RF 생성기 전구체당 전구체 라인 반응물 기체 라인 RC 압력 조절 밸브 및 배기 라인
(I)-(1)-(A) 7 2 세트 / 2 RC 1 세트 / 2 RC 1 세트 / 2 RC 2 세트 / 2 RC
(I)-(1)-(B) 8 2 세트 / 2 RC 1 세트 / 2 RC 1 세트 / 2 RC 1 세트 / 2 RC
(I)-(2) 9 1 세트 / 2 RC 1 세트 / 2 RC 1 세트 / 2 RC 2 세트 / 2 RC
(II)-(1)-(A) 10 2 세트 / 2 RC 2 세트 / 2 RC 1 세트 / 2 RC 1 세트 / 2 RC
(II)-(1)-(B) 11 2 세트 / 2 RC 1 세트 / 2 RC 1 세트 / 2 RC 1 세트 / 2 RC
(II)-(2) 12 1 세트 / 2 RC 2 세트 / 2 RC 1 세트 / 2 RC 1 세트 / 2 RC
표 1에 나타낸 바와 같이, 교호적인 가공(2) 또는 상이한 사이클(A)이 가능하도록 하기 위하여, 시스템은 전구체 라인 또는 RC 압력 조절 밸브 및 배기 라인 중의 적어도 하나의 2개의 세트를 필요로 한다.
상기한 예들은 PEALD를 기본으로 한다. 그러나, 다중 반응 체임버를 이용하는 어떠한 순환 증착(cyclic deposition)(예를 들면, 순환 CVD, 열적 ALD, 라디칼-증진된 ALD 등)도 시스템 성분들의 수를 증가시키는 데 있어서 유사한 문제점들을 갖는다.
또한, 어떠한 순환 증착에서도, 화학 반응을 기본으로 한 필름 증착 공정은 전형적으로 필름 증착 동안의 반응물 기체(들)의 독립된 공급을 이용하는 데, 그 이유는 전구체와 반응물 기체(들) 사이의 원하지 않는 화학 반응을 피해야하기 때문이거나, 또는 반응물 기체들이 특정한 화학 반응을 위해 독립적으로 공급되어야할 필요가 있기 때문이다. 독립된 반응물 기체 공급에 있어서, 또 다른 반응물 기체를 도입시키기 전에 반응 체임버 속에 잔류하는 반응물 기체를 제거하는 것이 필요하다. 반응 체임버를 퍼징(purging)하거나 반응 체임버를 밖으로 펌핑함으로써 남아있는 반응물 기체를 제거하기 위해 반응물 공급 각각 및 모두에서 추가의 이행 시간(transition time)이 필요하다. 전형적으로, 이행 시간은 반응물 기체 공급을 중단하고, 반응 체임버를 퍼징하거나 밖으로 펌핑함으로써 남아있는 반응물 기체를 제거하며, 상이한 반응물 기체를 공급하고 안정화함으로 포함한다. 따라서, 기체들을 변화시키면 공정 사이클 시간을 증가시켜서 생산성을 저하시킨다. 추가로, 불활성 기체가 반응물 기체를 변화시키고 퍼징하는 데 사용되기 때문에, 반응물 기체는 불활성 기체에 의해 희석되며, 반응물 기체의 부분 압력(분압)이 저하되어 화학 반응 속도를 저하시킨다.
도 17은 동시발생하는 가공을 기준으로 한 2개의 반응 체임버를 이용하여 순환 증착을 위해 사용된 통상적인 공정 순서를 나타내며, 여기서 반응물 기체(A)를 각각의 반응 체임버(1) 및 (2)로 공급하는 단계, 반응 체임버(1) 및 (2)를 퍼징하는 단계, 반응물 기체(B)를 각각의 반응 체임버(1) 및 (2)로 공급하는 단계, 및 이후에 반응 체임버(1) 및 (2)를 퍼징하는 단계들을 반복한다. 도 17은 매우 간략화한 것이며, 반응물 기체의 각각의 공급 펄스(pulse)는 도 17에 나타낸 바와 같이 시작하지 않고 종료하지 않는다. 반응물 기체의 이행 시간 및 희석은 통상적인 순환 증착법에서는 피할 수 없다. 본 기재내용에서, "반응물 기체"는 광의의 측면에서 전구체를 포함하는, 필름의 증착 또는 형성의 화학 반응에 관여하는 모든 기체를 나타낸다. 협의의 측면에서, "반응물 기체"는 필름의 증착 또는 형성을 위해 전구체와 반응하는 모든 기체를 나타낸다.
관련된 기술에 포함되는 문제점 및 해결책에 대한 어떠한 토의도 본 발명을 위한 문맥을 제공하기 위한 목적만으로 본 기재내용에 포함되었으며, 토의 내용의 특정한 것 또는 모든 것이 발명이 이루어진 시점에 공지되었다는 것을 인정하는 것으로 고려되어서는 안된다.
일부 양태들은, 이행 시간을 상당히 감소시킬 수 있고 또한 이행 시간을 상당히 감소시킬 수 있는, 기판들이 위치하는 다중 반응기("반응 체임버"로도 언급됨)의 평행 이동 작동방법을 제공한다. "평행 이동 작동"은, 하나의 반응기로부터 다른 반응기로 이동하는 작동을 포함하는 비-동시발생 작동을 광범위하게 나타낸다. 평행 이동 작동은 2개의 반응기들 사이의 상이한 사이클의 능력을 갖는 교호 가공을 포함한다. 일부 양태에서, 이 방법은 장치를 이용하여 수행하며, 여기서 1 내지 n으로 번호가 매겨진 n개의 주요 기체 라인(main gas line) 및 1 내지 n으로 번호가 매겨진 n개의 기체 포트(gas port)가 제공되며, 여기서 n은 1 초과의 정수이며, 각각의 주요 기체 라인은 각각 n개의 기체 포트에 연결된 1 내지 n으로 번호가 매겨진 n개의 분지 기체 라인(branch gas line) 내로 분지되며, 각각의 분지 기체 라인에는 분지 기체 라인을 폐쇄하고 개방하기 위한 밸브가 제공되며, 각각의 반응기는 상이한 기체 포트에 연결되며, 여기서 각각의 주요 기체 라인의 분지 기체 라인 1 내지 n 중의 적어도 하나(그러나 전부는 아님), 전형적으로 1개는 한번에(at a time) 개방 상태로 존재하는 반면 주요 기체 라인 중의 모든 다른 분지 기체 라인은 폐쇄 상태로 존재한다. 숫자 n은, 많은 개별 기체들이 증착을 위해 어떻게 사용되어야하는 지에 좌우되어, 통상적인 작업을 기준으로 하여 당업자에 의해 결정된다. 예를 들면, n은 2, 3, 4, 5 또는 6 중의 임의의 정수이다. 반응기의 수는 또한, 숫자 n(반응기의 수는 수 n을 초과하지 않는다) 및 생산성에 좌우되어, 통상적인 작업을 기준으로 하여 당업자에 의해 측정될 수 있다. 일부 양태에서, 숫자 n은 반응기의 수와 배기구(vent)(반응기를 우회하고 배기구에 연결됨)의 수의 합과 동일하다. 일부 양태에서, 상기 방법은, (i) 1 내지 n으로 번호가 매겨진 n개의 기체를 각각 n개의 기체 라인을 통해 n개의 기체 포트로 일정한 유동 속도로 동시에 연속적으로 공급하는 단계로서, 여기서 각각의 주요 기체 라인의 분지 기체 라인들 중의 하나는 개방 상태에 있으며, 분지 기체 라인들 중의 하나는, 각각의 반응기의 유입 속도(inflow rate)가 동일하도록 하고 각각의 반응기의 유출 속도(outflow rate)가 동일하도록 하는 단계; 및 (ii) 각각의 반응기들의 유입 속도 및 유출 속도를 유지시키면서, 개방 상태의 각각의 주요 기체 라인의 분지 기체 라인들 중의 하나를 동시에 폐쇄시키고 각각의 주요 기체 라인의 분지 기체 라인들 중의 또 다른 하나를 개방시켜서 상이한 수의 기체들이 반응기의 유입 속도 및 유출 속도를 변화시키지 않고 평행하게 각각의 반응기에 연속적으로 공급되도록 하는 단계를 포함한다.
일부 양태에서, 주요 기체 라인 및 배기 라인이 반응기들에 의해 공유되지만, 평행 이동 또는 교호 가공은 실질적으로 전이 시간 없이 수행할 수 있다. 일부 양태에서, 다중 반응기의 작동은 순환 CVD 또는 ALD(예를 들면, 펄스화된 플라즈마-증진된 CVD, 열적 ALD, 플라즈마-증진된 ALD, 또는 라디칼-증진된 ALD)이다.
또한, 일부 양태는, 기재된 방법들 중의 어느 하나를 수행할 수 있는 반도체가공 장치를 제공하며, 이는, 다중 반응기; 1 내지 n으로 번호가 매겨진 n개의 주요 기체 라인 및 1 내지 n으로 번호가 매겨진 n개의 기체 포트(여기서, n은 1 초과의 정수이며, 각각의 주요 기체 라인은, n개의 기체 포트에 각각 연결된 1 내지 n으로 번호가 매겨진 n개의 분지 기체 라인으로 분지되며, 각각의 분지 기체 라인에는 분지 기체 라인을 폐쇄하고 개방하기 위한 밸브가 제공되며, 각각의 반응기는 상이한 기체 포트에 연결되며, 여기서 각각의 주요 기체 라인의 분지 기체 라인 1 내지 n개 중의 하나는 한번에 개방 상태에 있는 반면 주요 기체 라인 중의 다른 분지 기체 라인들 모두는 폐쇄 상태에 있다); 및 반응기들에 의해 공유된 공통의 배기관을 포함한다.
본 발명의 국면들 및 관련된 기술에 비하여 성취된 이점들을 요약할 목적으로, 본 발명의 특정한 목적 및 이점이 본 기재내용에 기술된다. 물론, 이러한 목적들 또는 이점들 모두가 필수적으로 본 발명의 임의의 특정한 양태에 따라 성취될 수 있음을 이해하여야 한다. 따라서, 예를 들면, 당업자들은, 본 발명이, 본원에 교시되거나 제안될 수 없는 다른 목적 또는 이점을 성취할 필요없이 본원에 교시된 바와 같은 하나의 이점 또는 이점들의 그룹을 성취하거나 최적화하는 방식으로 구현되거나 실행될 수 있음을 인식할 것이다.
본 발명의 추가의 국면, 특징 및 이점은 다음과 같은 상세한 설명으로부터 명백해질 것이다.
본 발명의 이들 및 기타 특징은 이제, 본 발명을 설명하고자 하는 것이며 제한하고자 하는 것이 아닌 바람직한 양태들의 도면들을 참조로 하여 기술할 것이다. 이러한 도면들은 설명할 목적으로 매우 단순화하며 필수적으로 축적을 나타내지는 않는다.
도 1은 온-오프 유동 조절 시스템을 이용하는 전형적인 플라즈마-증진된 원자 층 증착(PEALD)을 위해 사용된 공정 순서를 나타낸다.
도 2는 변환 유동 조절 시스템을 이용하는 전형적인 플라즈마-증진된 원자 층 증착(PEALD)을 나타낸다.
도 3은 하나의 반응기 체임버를 포함하는 통상적인 PEALD 장치의 개략도이다.
도 4는 2개의 반응기 체임버를 포함하는 통상적인 PEALD 장치의 개략도이다.
도 5는 온-오프 유동 조절 시스템(I)의 개략도, 및 변환 유동 조절 시스템(II)의 개략도를 나타낸다.
도 6은 동시발생하는 공정(1)을 사용하는 전형적인 PEALD에 대해 사용된 공정 순서, 및 교호 공정(2)을 이용하는 전형적인 PEALD에 대해 사용된 공정 순서를 나타낸다.
도 7은 온-오프 유동 조절 시스템(I), 동시발생하는 공정(1), 및 상이한 사이클(A)의 능력을 갖는 2-반응기 시스템을 나타낸다.
도 8은 온-오프 유동 조절 시스템(I), 동시발생하는 공정(1), 및 상이한 사이클(B)의 불능을 갖는 2-반응기 시스템을 나타낸다.
도 9는 온-오프 유동 조절 시스템(I), 교호 공정(2)를 갖는 2-반응기 시스템을 나타낸다.
도 10은 변환 유동 조절 시스템(II), 동시발생하는 공정(1), 및 상이한 사이클(A)의 불능을 갖는 2-반응기 시스템을 나타낸다.
도 11은 변환 유동 조절 시스템(II), 동시발생하는 공정(1), 및 상이한 사이클(B)의 불능을 갖는 2-반응기 시스템을 나타낸다.
도 12는 변환 유동 조절 시스템(II) 및 교호 공정(1)을 갖는 2-반응기 시스템을 나타낸다.
도 13a는 본 발명의 하나의 양태에 따르는 2개의 반응기를 이용하는 PEALD의 평행 이동 작동을 나타내는 개략도이다.
도 13b는 본 발명의 하나의 양태에 따르는 기체를 변화시킨 후의 PEALD의 평행 이동 작동을 나타내는 개략도이다.
도 14a 내지 도 14d는 본 발명의 하나의 양태에 따르는 평행 이동 작동의 변형을 나타내는 개략도이다.
도 15는 본 발명의 하나의 양태에 따르는 RF 전하(charge)를 사용하지 않는 ALD에서의 2개의 반응물 기체의 공정 순서를 나타낸다.
도 16은 본 발명의 하나의 양태에 따르는 불활성 기체의 유동 및 전구체 기체의 유동을 변환시키는 개략도를 나타낸다.
도 17은 동시방생하는 공정을 기본으로 한 2개의 반응 체임버를 이용하는 순환 증착을 위해 사용된 통상적인 공정 순서를 나타낸다.
도 18은 본 발명의 하나의 양태에 따르는 2개의 반응기에서 평행 이동 작동의 공정 순서를 나타낸다.
도 19는 본 발명의 하나의 양태에 따르는 변환 유동 조절 시스템을 이용하는 2개의 반응기에서 평행 이동 작동의 공정 순서를 나타낸다.
도 20은, 불활성 기체(X, Y)의 유동이 본 발명의 하나의 양태에 따르는 각각의 반응기에서 반응물(A) 및 반응물(B)를 분리하는, 평행 이동 작동을 이용하는 4개의 반응기의 공정 순서를 나타낸다.
도 21은 하나의 양태에 따르는 평행 이동 작동을 이용하는 반응기의 공정 순서를 나타내며, 여기서 전구체가 공급되는 경우, 반응물 기체(B)가 또한 공급되며, 전구체가 공급되지 않는 경우, 반응물 기체(A)가 하나의 양태에 따라 공급된다.
도 22는 평행 이동 작동의 시스템을 나타내는 개략도이며, 여기서 반응물 (A) 및 (B)는 본 발명의 하나의 양태에 따르는 반응 체임버(RC1) 및 (RC2) 각각에 공급된다.
도 23은 평행 이동 작동의 시스템을 나타내는 개략도이며, 여기서 반응물 (A) 및 (B)는 본 발명의 하나의 양태에 따르는 반응 체임버(RC1) 및 (RC2) 각각에 공급된다.
도 24는 하나의 양태에 따르는 2개의 반응기를 이용하는 PEALD의 평행 이동 작동의 공정 순서를 나타낸다.
도 25 내지 28은 하나의 양태에 따르는 액체 전구체를 이용하는 2개의 반응기에 의한 PEALD의 평행 이동 작동을 나타내는 개략도이다. 도 25 내지 28은 각각 반응기 RC1의 공급, 퍼징, 반응기 RC2의 공급, 및 퍼징에 상응하는 단계들을 나타낸다.
도 29는 본 발명의 양태에 따르는 상이한 사이클을 갖는 2개의 반응기를 사용하는 평행 이동 작동의 공정 순서를 나타낸다.
도 30은 본 발명의 하나의 양태에 따르는, 반응 체임버(RC1) 및 (RC2) 외에 배기구가 제공되는 평행 이동 작동의 시스템을 나타내는 개략도이다.
본 기재내용에서, "기체"는 증발된 고체 및/또는 액체를 포함할 수 있으며 단일 기체 또는 기체들의 혼합물로 구성될 수 있다. 본 기재내용에서, "단수(a)"는 종 또는 복수의 종들을 포함하는 속(genus)을 나타낸다. 또한, 본 기재내용에서, 변수 중의 임의의 2개의 수는, 작업가능한 범위가 통상적인 작업을 기준으로 하여 측정할 수 있고 임의의 범위가 종점들을 포함하거나 배제할 수 있기 때문에 변수의 작업가능한 범위를 구성할 수 있다. 추가로, 나타낸 변수들 중의 임의의 값들은 정확한 값들 또는 근사 값들을 나타낼 수 있으며, 일부 양태에서 평균, 메디안, 대표값, 다수값 등을 나타낼 수 있다.
조건 및/또는 구조가 명시되지 않은 본 기재내용에서, 당업자는, 통상적인 실험의 문제로서, 본 기재내용의 관점에서, 이러한 조건 및/또는 구조를 용이하게 제공할 수 있다.
기재된 양태들 모두에서, 하나의 양태에서 사용된 어떠한 성분도 의도한 목적들을 위해, 본원에 명확하게, 필수적으로 또는 고유하게 기재된 것들을 포함하는, 이와 동등한 임의의 성분들로 대체될 수 있다. 또한, 본 발명은 장치 및 방법에 동등하게 적용될 수 있다.
본 기재내용에서, 임의의 정의된 의미들은 일부 양태에서 일반적이고 통상적인 의미들을 필수적으로 배제하지는 않는다.
위에서 기술한 바와 같이, 일부 양태들은, 기판들이 위치하는 다중 반응기의 평행 작동 방법을 제공하며, 여기서 기판들이 위치하며, 1 내지 n으로 번호가 매겨진 n개의 주요 기체 라인 및 1 내지 n으로 번호가 매겨진 n개의 기체 포트가 제공되며, 여기서 n은 1 초과의 정수이며, 각각의 주요 기체 라인은 n개의 기체 포트에 연결된 1 내지 n으로 번호가 매겨진 n개의 분지 기체 라인으로 각각 분지되며, 각각의 분지 기체 라인에는 분지 기체 라인을 폐쇄하고 개방하기 위한 밸브가 제공되며, 각각의 반응기는 상이한 기체 포트에 연결되며, 여기서 각각의 주요 기체 라인의 분지 기체 라인 1 내지 n개 중의 하나는 한번에 개방 상태로 존재하는 한편 주요 기체 라인의 다른 분지 기체 라인들 모두는 폐쇄된 상태로 존재하며, 여기서 당해 방법은, (i) 1 내지 n으로 번호가 매겨진 n개의 기체를 각각 n개의 기체 라인을 통해 n개의 기체 포트로 일정한 유동 속도로 동시에 연속적으로 공급하는 단계로서, 여기서 각각의 주요 기체 라인의 분지 기체 라인들 중의 하나는 개방 상태에 있으며, 분지 기체 라인들 중의 하나는, 각각의 반응기의 유입 속도가 동일하도록 하고 각각의 반응기의 유출 속도가 동일하도록 하는 단계; 및 (ii) 각각의 반응기들의 유입 속도 및 유출 속도를 유지시키면서, 개방 상태의 각각의 주요 기체 라인의 분지 기체 라인들 중의 하나를 동시에 폐쇄시키고 각각의 주요 기체 라인의 분지 기체 라인들 중의 또다른 하나를 개방시켜서 상이한 수의 기체들이 반응기의 유입 속도 및 유출 속도를 변화시키지 않고 평행하게 각각의 반응기에 연속적으로 공급되도록 하는 단계를 포함한다.
위에서, "연속적으로"라는 표현은, 물리적 조건을 변화시키기 않고, 이의 직후에, 또는 개재 단계(intervening step) 없이, 시간표로서 중단되지 않음을 나타낸다. 본 기재내용에서, "일정한", "동일한", "동일함" 등은 실질적으로 일정한, 동일한, 동일함 등, 및 이의 균등물을 포함하며, 예를 들면, 그 차이는 당업자가 인지하게 되는 바와 같이 기능적으로 중요하지 않거나, 무시할만하거나 또는 비-검출가능한 것이다. 또한, "반응기" 또는 "반응 체임버"는, 별도로 또는 독립적으로 조절가능하지 않은, 이중 체임버를 포함하는, 체임버를 구성하는 단면 또는 구획의 수에 무관하게 반응의 체임버를 나타낸다.
일부 양태에서, 이 방법은, 필름이 기판 위에 형성될 때까지 순서대로 단계(ii)를 반복함을 추가로 포함한다.
일부 양태에서, 단계(i)에서, 개방 상태의 분지 기체 라인은 각각 주요 기체 라인 1 내지 n에 상응하는 분지 기체 라인 1 내지 n이며, 단계(ii)에서, 개방되는 분지 기체 라인은 각각 주요 기체 라인 1 내지 n에 상응하는 다음 번호의 분지 기체 라인이며, 여기서 숫자 n 다음의 수는 수 1로 되돌아 간다.
도 22는 평행 이동 작동의 시스템을 나타내는 개략도이며, 여기서 반응물 (A) 및 (B)는 일부 양태에 따라 각각 반응 체임버(RC1) 및 (RC2)에 공급된다. 당해 도면에서, 2개의 주요 가스 라인(M1, M2) 및 2개의 기체 포트(P1, P2)가 제공되며, 여기서 각각의 주요 기체 라인은 각각 기체 포트(P1, P2)에 연결된 2개의 분지 기체 라인(B1, B2)으로 분지되고, 분지 기체 라인 B1 (M1) (즉, 주요 기체 라인(M1)에 연결된 분지 기체 라인 (B1)), B1 (M2), B2 (M1), 및 B2 (M2)에는 각각 상응하는 분지 기체 라인을 폐쇄하고 개방하기 위한 밸브 V1 (M1), V1 (M2), V2 (M1), 및 V2 (M2)가 제공되며, 반응 체임버(RC1, RC2)는 각각 기체 포트(P1, P2)에 연결되며, 여기서 밸브 V1 (M1) 및 V2 (M2)는 개방 상태에 있지만 밸브 V1 (M2) 및 V2 (M1)는 폐쇄 상태에 있다. 반응물(A, B)은 일정한 유동 속도에서 각각 주요 기체 라인(M1, M2)를 통해 기체 포트(P1, P2)에 동시에 연속적으로 공급되며, 여기서 밸브 V1 (M1), V2 (M2)는 개방 상태로 존재하여 반응 체임버(RC1, RC2)의 유입 속도가 동일하도록 하고 각각의 반응기의 유출 속도가 동일하도록 한다. 기체들은 단일 압력 조절 밸브(6)를 통해 단일 배기관(7)으로 배출된다.
도 23은 일부 양태에 따라, 반응물(A) 및 (B)가 각각 반응 체임버(RC2) 및 (RC1)에 공급되는 평행 이동 작동의 시스템을 나타내는 개략도이다. 당해 도면에서, 각각의 반응기의 유입 속도 및 유출 속도를 유지시키면서, 개방 상태의 밸브 V1 (M1), V2 (M2)는 폐쇄되며 밸브 V1 (M2), V2 (M1)은 동시에 개방되어 반응물(A, B)이 변환되고, 반응기의 유입 속도 및 유출 속도를 변화시키지 않고 평행하게 각각 반응 체임버(RC2, RC1)로 변환되고 이에 연속적으로 공급된다. 도 18은 상기한 평행 이동 작동의 공정 순서를 나타낸다. 반응물(A)은 반응기 (1) (RC1)로 먼저 공급된 다음, 반응 체임버 2 (RC2)로 즉시 변환된 다음, 다시 반응 체임버 (1) (RC1)로 다시 즉시 변환되고, 이러한 변환을 반복한다. 이러한 변환은 밸브 V1 (M1), V2 (M1)의 동시 작동에 의해 성취된다. 반응물(B)은 반응기에 공급되며, 여기서 반응물(A)은 공급되지 않아서, 각각의 반응기에 대한 유입이 항상 일정할 수 있도록 한다. 반응물(B)이 공급되는 반응기의 변환은 밸브 V1 (M2), V2 (M2)의 동시 작동에 의해 성취된다. 밸브V1 (M1), V1 (M2), V2 (M1), V2 (M2)는 동기화되며 동시에 작동된다. 상기한 방법을 사용함으로써, 이행 시간이 상당히 감소되거나 제거될 수 있다. 당업자는, 이러한 장치가 프로그램된 하나 이상의 조절기를 포함하거나 그렇지 않으면 구성되어 본원의 어느 곳에 기술된 증착 공정이 수행되도록 구성되며, 당업자가 인지할 것인 바와 같이, 조절기(들)가 반응기의 밸브, 전원, 펌프, 로보틱(robotic) 및 기체 유동 조절기 또는 가열 시스템과 연결되는 것을 인지할 것이다.
도 18에 나타낸 평행 이동 작동의 순서는 총 기체 유동을 감소시킬 수 있는 데, 그 이유는 이행 시간이 증착 사이클 당으로 실질적으로 제거되기 때문이다. 감소된 총 기체 유동은 반응물 또는 전구체 기체의 높은 분압을 생성시켜서, 도 17에 나타낸 바와 같은 통상적인 공정 순서와 비교하여 순환 증착법에서 높은 표면 흡착 속도 및 높은 반응 속도를 수득하도록 할 수 있다. 예를 들면, 화학 반응 역학으로부터, 반응 속도는 다음과 같이 측정할 수 있다:
반응 속도 = k x [반응물 A 농도]a x [반응물 B 농도]b
상기 식에서,
k, a 및 b는 상수이다.
반응 상수 a 및 b는 각각의 등식에 의해 측정되며, k는 공정 온도의 함수이다. 예를 들면, N2(g) + 3H2(g) → 2NH3(g)의 경우, 반응 속도 k는 [N2]a x [H2]b(여기서, a = 1, b = 3, k는 아레니우스 방정식(arrhenius equation)으로 측정한 온도 의존 상수이다). 반응물들의 농도들이 증가하는 경우, 반응 속도는 상당히 감소될 수 있다. 또한, 랑뮈르 등온선(langmuir isotherm)으로부터, 흡착 속도는 다음과 같이 측정할 수 있다:
흡착 속도(θ) = (αP)/(1+αP)
상기 식에서,
α는 상수이고,
P는 흡착 물질의 분압이다.
반응물 또는 전구체 기체의 분압을 증가시킴으로써, 흡착 속도가 또한 증가할 수 있다. 따라서, 일부 양태에서, 부분 이동 작동은, 상이한 반응물 기체 공급들 사이의 이행 시간을 감소시키거나 제거시키는 것, 사이클 당 반응기 내의 총 기체 유동을 최소화시키는 것 및 전구체 또는 반응물 기체의 분압을 증가시키는 것을 포함하여 2개 이상의 반응물 기체를 사용하여, 전구체 표면 흡착 및 반응 속도를 증가시키고/시키거나, 이행 동안 압력 변화를 감소시키거나 제거하여 공정 조절능 및 공정 안정성을 증진시킴을 포함하여, 통상적인 작동에 비하여 이점들을 생성할 수 있다.
반응물 기체가 이의 화학 반응성으로 인하여 반응기 속에서 혼합되어야 하는 경우, 불활성 기체가 공급되어 도 20에 나타낸 바와 같이 반응물 기체를 분리시킬 수 있다. 도 20은 하나의 양태에 따라 평행 이동 작동을 이용하는 4개의 반응기의 공정 순서를 나타내며, 여기서 불활성 기체(X, Y)의 유동은 각각의 반응기에서 반응물(A) 및 반응물(B)를 분리한다. 차단없이 동시에, 반응물(A)은 반응기에 1→4→3→2의 순서로 공급되고, 반응물(B)는 반응기에 3→2→1→4의 순서로 공급되고, 불활성 기체(X)는 반응기에 2→1→4→3의 순서로 공급되며, 불활성 기체(Y)는 반응기에 4→3→2→1의 순서로 공급된다.
또한, 주변 기체는 도 21에 나타낸 바와 같은 전구체 공급물들과 함께 독립적으로 조절될 수 있다. 도 21은 하나의 양태에 따르는 평행한 이동 작동을 이용하는 2개의 반응기 중의 하나의 공정 순서를 나타내며, 여기서 티탄 테트라이소프로폭사이드(TTIP)와 같은 전구체가 공급되는 경우, NH3와 같은 반응물 기체(B)는 또한 TTIP의 흡착성을 증진시키기 위해 제공되며, 전구체가 공급되지 않는 경우, O2와 같은 반응물 기체(A)는 하나의 양태에 따라 ALD에서 TTIP와의 반응에 공급된다. 제2 반응기의 공정 순서는 하나의 공급 장치에 의해 이동되는데, 여기서 전구체가 제1 반응기에서 공급되는 경우, 어떠한 전구체도 제2 반응기에 공급되지 않지만, 전구체가 제1 반응기에 공급되지 않는 경우, 전구체는 제2 반응기에 공급된다. 상기에서, 전구체를 공급하기 위한 주요 라인은 동일한 총 유동 속도에서 중단하지 않고 불활성 기체의 유동 및 전구체의 유동을 변화시킬 수 있거나 또는 불활성 기체의 유동 및 전구체와 불활성 기체의 혼합물의 유동을 변환시킬 수 있어서 반응기의 유출 속도가 실질적으로 일정할 수 있도록 할 수 있다.
따라서, 일부 양태에서, n개의 기체들 중의 적어도 하나는 불활성 기체, 반응물 기체, 또는 이들의 혼합물이며, n개의 기체들 중의 적어도 하나에 대한 주요 기체 라인은 동일한 총 유동 속도에서 중단하지 않고 불활성 기체의 유동 및 반응물 기체의 유동을 변환시킬 수 있거나 또는 불활성 기체의 유동 및 혼합물 기체의 유동을 변환시킬 수 있다. 예를 들면, 불활성 기체의 유동 및 전구체와 불활성 기체의 혼합물의 유동을 변환시키는 것은, 변환 유동 조절 시스템을 나타내는 도 5에서 (II)에 나타낸 바와 같이 성취할 수 있으며, 여기서 전구체는 액체이며, 탱크(51)에서 증발된다. 불활성 기체의 유동 및 전구체 기체의 유동을 변환시키는 것은 도 16에 나타낸 바와 같이 성취할 수 있다. 도 16은 하나의 양태에 따르는 불활성 기체의 유동 및 전구체 기체의 유동을 변환시키는 개략도를 나타낸다. 도 16의 (a)에서, 밸브 V1 (I) 및 V2 (P)는 폐쇄되고, 밸브 V1 (P) 및 V2 (I)는 개방되어, 전구체 기체가 밸브 V1(P)를 통해 배기구로 유동하고, 불활성 기체가 밸브 V2 (I)를 통해 반응기로 유동한다. 도 16의 (b)에서, 밸브 V1 (P) 및 V2 (I)를 동시에 폐쇄시키고 밸브 V1 (I) 및 V2 (P)를 개방함으로써, 전구체 기체는 배기구로 즉시 지향되고, 불활성 기체는 유동 속도의 실질적인 변화없이 반응기로 즉시 지향된다. 배기구는, 예를 들면, 배기구의 하류(downstream)를 설정할 수 있다.
도 5 및 16에 나타낸 바와 같이 유동 조절 시스템의 변환을 사용하는 경우, 도 18에 나타낸 공정 순서를 수정하여, 도 19에 나타낸 바와 같이, 각각의 반응물 기체 A 펄스와 각각의 반응물 기체 B 펄스 사이의 퍼지를 포함할 수 있다.
일부 양태에서, n은 2이고, 주요 기체 라인(1) 및 (2) 각각은 불활성 기체, 반응물 기체, 또는 이들의 혼합물을 동일한 유동 속도에서 공급하며, 기체 포트(1) 및 (2)는 각각 다중 반응기로서 2개의 반응기에 연결된다. 도 13a는 하나의 양태에 따르는 2개의 반응기를 이용하는 PEALD의 평행 이동 작동을 나타내는 개략도이며, 여기서 주요 기체 라인(M1)(전구체/불활성 기체 전환 라인)으로부터의 기체는 분지 기체 라인(B1-2) 내지 밸브(V1-1)을 통해 반응 체임버(RC1)로 유동하는 데, 그 이유는 분지 기체 라인(B1-2)에 제공된 밸브(V1-2)가 폐쇄되고, 또한 주요 기체 라인(M2)(전구체/불활성 기체 변환 라인)으로부터의 기체가 분지 기체 라인(B2-2) 내지 밸브(V2-2)를 통해 반응 체임버(RC2)로 유동하는 데, 그 이유는 분지 기체 라인(B2-1)에 제공된 밸브(V2-1)가 폐쇄되기 때문이다. 도 13b는 하나의 양태에 따르는 기체들을 변화시킨 후에 PEALD의 평행 이동 작동을 나타내는 개략도이며, 여기서 주요 기체 라인(M1)(전구체/불활성 기체 변환 라인)으로부터의 기체는 분지 기체 라인(B1-2) 내지 밸브(V1-2)를 통해 반응 체임버(RC2)로 유동하는 데, 그 이유는 분지 기체 라인(B1-1)에 제공된 밸브(V1-1)가 폐쇄되고, 또한 주요 기체 라인(M2)(전구체/불활성 기체 변환 라인)으로부터의 기체가 분지 기체 라인(B2-1) 내지 밸브(V2-1)를 통해 반응 체임버(RC1)로 유동하는 데, 그 이유는 분지 기체 라인(B2-2)에 제공된 밸브(V2-2)가 폐쇄되기 때문이다. 각각의 밸브의 개방 상태 및 폐쇄 상태의 상기한 변환은 동시에 작동되고 동기화되어, 이행 시간을 줄이거나 제거시킨다. 이러한 변환은 반응 체임버(RC1)과 반응 체임버(RC2) 사이의 교호 가공을 구성한다. 교호 가공이 사용되기 때문에, RF 생성기는 반응기(RC1) 및 (RC2)에 의해 공유될 수 있다. 또한, 각각의 반응기의 유출 속도는 실질적으로 동일하기 때문에, 전구체 조절 밸브(6) 및 배기관(7)은 반응 체임버(RC1) 및 (RC2)에 의해 공유될 수 있다. 또한, 주요 기체 라인(M1) 및 (M2)은 전구체/불활성 기체 변환 유형이기 때문에, 유동 속도를 변화시키지 않는 퍼징은 2개의 전구체 기체의 유동들 사이에서 수행될 수 있다.
일부 양태에서, 공통 기체 라인이 제공되는 데, 이는 각각 다중 반응기로 연결된 기체 라인으로 분지되며, 여기서 공통 기체는 공통 기체 라인을 통해 동시에 연속적으로 다중 반응기로 공급된다. 도 13a 및 13b에서, 공통 기체 라인(C1)은, 예를 들면, 반응물 기체를 반응 체임버(RC1) 및 (RC2) 둘 다에 연속적으로 공급하기 위해 설치된다. 일부 양태에서, 공통 기체는, 여기되지 않은 상태(non-excited state)로 존재하는 경우 기체 1 내지 n에 대하여 반응성이 아니다.
일부 양태에서, 각각의 밸브의 개방 상태 및 폐쇄 상태는 도 25 내지 28에 나타낸 바와 같이 조절하여 액체 전구체를 이용하는 평행 이동 작동을 성취한다. 도 25 내지 28은 하나의 양태에 따르는 액체 전구체를 사용하는 2개의 반응기에 의해 PEALD의 평행 이동 작동을 나타내는 개략도이다. 도 25 내지 28은 반응기(RC1)의 공급, 퍼징, 반응기(RC2)의 공급, 및 퍼징의 단계들에 상응하는 단계들을 나타낸다. 도면에서, 밸브(102)는 액체 전구체를 저장하는 탱크(T1)의 입구에 연결된 라인에 제공되고; 밸브(103)는 탱크(T1)의 출구에 연결된 라인에 제공되고 밸브(108)는 탱크(T2)를 우회하는 라인에 제공되고; 밸브(110)는 또 다른 액체 전구체를 저장하는 탱크(T2)의 입구에 연결된 라인에 제공되고; 밸브(109)는 탱크(T2)의 출구에 연결된 라인에 제공되고; 밸브(108)은 탱크(T2)를 우회하는 라인에 제공되고; 밸브(104)는 탱크(T1)의 출구와 반응기(RC1)의 입구 사이의 라인에 제공되고; 밸브(105)는 탱크(T1)의 출구와 반응기(RC2)의 입구 사이의 라인에 제공되고; 밸브(107)는 탱크(T2)의 출구와 반응기(RC2)의 입구 사이의 라인에 제공되며; 밸브(106)는 탱크(T2)의 출구와 반응기(RC1)의 입구 사이의 라인에 제공된다. 불활성 기체는 일정한 유동 속도로 공유된 라인(C1)을 통해 반응기(RC1) 및 (RC2)에 연속적으로 공급된다. 주요 기체 라인(M1) 및 (M2)에서, 기체들은 일정한 유동 속도(질량 유동 조절(나타내지 않음)이 또한 주어진 일정한 값에서 유동을 설정하도록 수행된다)로 연속적으로 공급된다. 따라서, 펄싱이 수행되어 상응하는 분지 기체 라인을 변환시키는 경우에도, 반응기(RC1) 및 (RC2)의 유입 속도 및 반응기(RC1) 및 (RC2)의 유출 속도는 항상 일정하다. 하나의 양태에서, 밸브들의 개방 상태 및 폐쇄 상태는 하기 표 2에 나타낸다.
RC1 공급 퍼지 RC2 공급 퍼지
밸브 101 폐쇄 개방 폐쇄 개방
밸브 102 개방 폐쇄 개방 폐쇄
밸브 103 개방 폐쇄 개방 폐쇄
밸브 104 개방 개방 폐쇄 폐쇄
밸브 105 폐쇄 폐쇄 개방 개방
밸브 106 폐쇄 폐쇄 개방 개방
밸브 107 개방 개방 폐쇄 폐쇄
밸브 108 개방 개방 개방 개방
밸브 109 폐쇄 폐쇄 폐쇄 폐쇄
밸브 110 폐쇄 폐쇄 폐쇄 폐쇄
상기한 양태 및 기타의 기술된 양태에 따라, 각각의 반응기의 압력은, 전구체가 이에 공급되는지 않되는지에 무관하게 실질적으로 변하지 않는다. 또한, 각 반응기의 압력은, 특별한 전구체를 위한 반응기를 변환시키는 경우에 실질적으로 변하지 않는다. 따라서, 배기관은 반응기들에 의해 공유될 수 있다. 그러나, 평행 이동 작동을 이용하는 3개 이상의 반응기가 존재하는 경우, 일부 양태에서, 배기관은 배기관 용량의 관점에서 3개 이상의 반응기 모두에 의해 공유될 수 없지만, 이들 반응기 중의 일부에 의해 공유될 수 있다. 또한, 반응기들 사이의 압력 차이는 항상 실질적으로 0이며, 이에 따라 배기 라인을 통한 반응기로의 물질 기체의 원하지 않는 및 의도하지 않는 유동이 효과적으로 억제될 수 있으며, 이에 따라 표적 반응기에 물질 기체를 정밀하게 공급할 수 있다.
또한, 상기 예에서, 전구체는 액체이며 기체 포트로 공급되기 전에 증발되지만, 전구체는 증발되지 않고 기체상일 수 있으며, 반응물 및 전구체를 변환시키는 것은 도 16에 나타낸 바와 같이 성취할 수 있다.
일부 양태에서, n은 3이고, 주요 기체 라인(1, 2 및 3) 각각은 불활성 기체, 반응물 기체, 또는 이들의 혼합물을 동일한 유동 속도로 공급하며, 기체 포트(1, 2 및 3)은 각각 다중 반응기로서 3개의 반응기에 연결된다. 일부 양태에서, n은 3이고, 주요 기체 라인(1, 2 및 3) 각각은 불활성 기체, 반응물 기체 또는 이들의 혼합물을 동일한 유동 속도로 공급하며, 기체 포트(1, 2 및 3)은 각각 다중 반응기 및 1개의 배기구로서 2개의 반응기에 연결된다. 일부 양태에서, n은 3이고, 주요 기체 라인(1)은 불활성 기체, 반응물 기체, 또는 이들의 혼합물을 동일한 유동 속도로 공급하며, 주요 기체 라인(2) 및 (3)은 각각 불활성 기체를 공급하며, 기체 포트(1, 2 및 3)은 각각 다중 반응기로서 3개의 반응기에 연결된다. 일부 양태에서, n개의 기체들은 연속적으로 반응물 기체이다. 도 14a 내지 14d는 일부 양태들에 따르는 평행 이동 작동의 변형을 나타내는 개략도이다.
도 14a에서, 2개의 반응 체임버(RC1, RC2) 및 2개의 전구체/불활성 기체 라인(P1, P2)가 존재한다. 표 3에 나타낸 반응 체임버(RC1) 및 (RC2)의 다음의 공정 순서들은 예로서 사용할 수 있다(P1: 전구체 1; P2: 전구체 2; RF 전하: RF 전력의 인가; IA: 불활성 기체; 라인 1: 전구체/불활성 기체 변환 유형의 주요 기체 라인 1; 라인 2: 전구체/불활성 기체 변환 유형의 주요 기체 라인 2).
RC1 P1 공급 퍼지 P2 공급 퍼지 RF 전하 퍼지 P1 공급 퍼지
라인 1 P1 IA IA IA P1 IA
라인 2 P2 IA
RC2 P1 공급 퍼지 P2 공급 퍼지 RF 전하 퍼지
라인 1 P1 IA
라인 2 P2 IA IA IA
공정에 따라, P1 공급, P2 공급 및 RF 전하의 순서는 P1 공급, RF 전하, P2 공급 및 RF 전하로 변할 수 있다. 또한, P2 사이클에 대한 P1 사이클의 비는 1:1일 필요는 없다.
상기에서 2개의 전구체 대신에 단지 하나의 전구체가 사용되는 경우, 표 4 및 5에 나타낸 반응 체임버(RC1) 및 (RC2)의 다음 공정 순서를 예로서 사용할 수 있다.
RC1 P1 공급 퍼지 RF 전하 퍼지 P1 공급 퍼지 RF 전하 퍼지
라인 1 P1 IA P1 IA
라인 2 IA IA IA IA
RC2 P1 공급 퍼지 RF 전하 퍼지 P1 공급 퍼지
라인 1 P1 IA P1 IA
라인 2 IA IA
RC1 P1 공급 퍼지 RF 전하 퍼지 P1 공급 퍼지 RF 전하 퍼지
라인 1 P1 IA P1 IA
라인 2 IA IA IA IA
RC2 P1 공급 퍼지 RF 전하 퍼지 P1 공급 퍼지 RF 전하
라인 1 P1 IA P1 IA
라인 2 IA IA IA
상기 표에서, RF 전하가 반응 체임버(RC1)과 반응 체임버(RC2) 사이에서 중첩되지 않는 한, 단계 지속, 시간 지연(time lag), 사이클의 수 등을 변형시킬 수 있다.
도 14b에서, 2개의 반응 체임버(RC1, RC2) 및 3개의 전구체/불활성 기체 라인(P1, P2, P3)이 존재한다. 표 6에 나타낸 반응 체임버(RC1) 및 (RC2)의 다음 공정 순서를 예로서 사용할 수 있다.
RC1 P1 공급 퍼지 P2 공급 퍼지 P3 공급 퍼지 RF 전하 퍼지
라인 1 P1 IA IA IA
라인 2 P2 IA
라인 3 P3 IA
RC2 P1 공급 퍼지 P2 공급 퍼지 P3 공급 퍼지
라인 1 P1 IA
라인 2 P2 IA
라인 3 P3 IA
RC3 P1 공급 퍼지 P2 공급 퍼지
라인 1 P1 IA
라인 2 P2 IA
라인 3
P1 공급 퍼지 P2 공급 퍼지 P3 공급 퍼지 RF 전하 퍼지
P1 IA IA IA
P2 IA
P3 IA
RF 전하 퍼지 P1 공급 퍼지 P2 공급 퍼지 P3 공급 퍼지
P1 IA
IA IA P2 IA
P3 IA
P3 공급 퍼지 RF 전하 퍼지 P1 공급 퍼지 P2 공급 퍼지
P1 IA
P2 IA
P3 IA IA IA
공정에 따라, RF 전하의 타이밍은 각각의 전구체 공급 후에 변할 수 있으며, 또한 RF 전하가 반응 체임버(RC1)과 반응 체임버(RC2) 사이에서 중첩되지 않는 한, 단계 지속, 시간 지연, 사이클의 수 등이 수정될 수 있다.
도 14c에서, 도 14b에서와 같이 2개의 반응 체임버(RC1, RC2) 및 3개의 전구체/불활성 기체 라인(P1, P2, P3)이 존재한다. 그러나, 반응 체임버(R3) 대신에, 배기구가 사용된다. 예를 들면, 배기구는 반응기에 연결되지 않으며 배기관의 하류를 설정하여 배기구에 대한 유동이 반응기(들) 내의 압력에 영향을 미치지 않고 배출될 수 있도록 한다. 반응 체임버(RC1) 및 (RC2)의 공정 순서는 도 14b에서의 순서와 유사할 수 있다.
도 30은 평행 이동 작동의 시스템을 나타내는 개략도이여, 여기에는 하나의 양태에 따르는 반응 체임버(RC1) 및 (RC2) 외에도 배기구가 존재한다. 배기구는 배기관(7)의 하류를 설정하며 반응기에 연결되지 않는다. 변환하는 유동 조절 유형의 3개의 주요 기체 라인(M1, M2 및 M3), 및 하나의 공통 라인(C1)이 존재한다. 주요 기체 라인(M1)으로부터의 기체는 밸브(V1-1), (V1-2) 및 (V1-V) 각각을 조절함으로써 반응 체임버(RC1) 및 (RC2) 중의 하나 및 배기구로 유동한다. 주요 기체 라인(M2)으로부터의 기체는 밸브(V2-1), (V2-2) 및 (V2-V) 각각을 조절함으로써 반응 체임버(RC1) 및 (RC2) 중의 하나 및 배기구로 유동한다. 주요 기체 라인(M3)으로부터의 기체는 밸브(V3-1), (V3-2) 및 (V3-V) 각각을 조절함으로써 반응 체임버(RC1) 및 (RC2) 중의 하나 및 배기구로 유동한다. 밸브들은 동시에 조절되고 동기화되어 반응 체임버(RC1) 및 (RC2) 및 배기구가 중단되지 않고 순서대로 변하는 상이한 기체들을 항상 접수한다. 배기구가 제공되기 때문에, 사이클의 수는 각각의 반응기에 대해 상이하게 설정될 수 있다. 반응 체임버(RC1) 및 (RC2)를 통과하는 기체 유동의 컨덕턴스(conductance)와 배기구를 통과하는 기체의 컨덕턴스 사이의 차이가 존재하는 경우, 유동 속도는, 질량 유동 조절(MFC)이 이러한 차이를 보상할 수 없는 경우에 변동될 수 있다. 일부 양태에서, 변동을 억제하기 위하여, 니이들 밸브 등을 사용하여 배기구를 통과하는 기체의 컨덕턴스를 조절해서 반응 체임버(RC1) 및 (RC2)를 통과하는 기체의 컨덕턴스에 상응하도록 할 수 있다.
도 14d에서, 2개의 반응 체임버(RC1), (RC2) 및 (RC3), 1개의 전구체/불활성 기체 라인(P1), 및 2개의 불활성 기체 라인(IA1, IA2)이 존재한다. 표 7에 나타낸 반응 체임버(RC1) 및 (RC2)의 다음 공정 순서를 예로서 사용할 수 있다.
RC1 P1 공급 퍼지 RF 전하 퍼지 P1 공급 퍼지 RF 전하 퍼지
라인1 P1 IA P1 IA
라인2 IA1 IA1
라인3 IA2 IA2
RC2 P1 공급 퍼지 RF 전하 퍼지 P1 공급 퍼지 RF 전하
라인1 P1 P1
라인2 IA1 IA1 IA1
라인3 IA2 IA2
RC3 P1 공급 퍼지 RF 전하 퍼지 P1 공급 퍼지
라인1 P1 P1
라인2 IA1 IA1
라인3 IA2 IA2
공정에 따라, 또한 RF 전하가 반응 체임버(RC1)와 반응 체임버(RC2) 사이에서 중첩되지 않는 한, 단계 지속, 시간 지연, 사이클의 수 등을 수정할 수 있다.
상기에서, PEALD 공정은 예로서 사용된다. 그러나, 열 또는 라디칼-증진된 ALD와 같은 기타 순환 증착은, 하나의 양태에 따라 반응물 기체(1) 및 반응물 기체(2)의 공정 순서를 나타내는 도 15에 나타낸 바와 같이, PEALD와 유사한 방식으로 수행할 수 있다. 전구체의 공정 순서가 생략되지만, 이는 도 21에 나타낸 바와 유사하다. 일부 양태들은 2개 이상의 특징을 특징으로 한다: 기체 공급 라인 및 배기 라인은 공유할 수 있으며, 순환 사이클은 서로 독립적으로 각각의 반응기에 대해 설정할 수 있다. 예를 들면, 도 29는 하나의 양태에 따르는 상이한 사이클을 갖는 2개의 반응기를 사용하는 평행 이동 작동의 공정 순서를 나타낸다. 도 29의 (a)에서, 반응 체임버(RC1) 및 (RC2) 둘 다는 4개의 사이클을 수행하며, 도 29의 (b)에서, 반응기(RC1)는 4개의 사이클을 수행하는 반면 반응 체임버(RC2)는 2개의 사이클을 수행한다. 도면에서, 하나의 단위는 0.1초를 나타낸다. 어떠한 사이클도 반응 체임버(RC1) 및 (RC2)에서 수행되지 않지만, 불활성 기체만이 퍼지 단계들에서와 동일한 방식으로 관통해서 유동한다. PEALD 공정에서, 상기한 바와 같이, RF 생성기는 일부 양태들에서 공유할 수 있다. 그러나, RF 전하의 지속이 계속되는 경우 및/또는 기체 라인의 수 및 반응기의 수가 큰 경우, 이는 RF 생성기를 각각의 반응기에 설치하여 공정 출력이 저하되는 것을 억제하는 것이 바람직할 수 있다.
일부 양태에서, 기체 1 내지 n은, 이들이 여기되지 않은 상태에 있는 경우 서로에 대하여 반응성이 아니다. 일부 양태에서, 예를 들면, 도 13a에 나타낸 주요 기체 라인(M1), 주요 기체 라인(M2) 및 주요 기체 라인(C1)에 공급할 수 있는 기체의 유형은, 변환 유동 조절 시스템이 사용되는 경우 하기 표 8에 나타낸다.
플라즈마 부재하의 기체들
사이의 반응성
C1 M1 M2
비 반응성 반응물 3 반응물 1
(전구체 1)
반응물 2
(전구체 2)
반응물 3 반응물 1
(전구체 1)
불활성
반응성 불활성 기체 반응물 1
(전구체 1)
반응물 2
(전구체 2)
불활성 기체 반응물 1
(전구체 1)
불활성 기체
위에서 기술한 바와 같이, 일부 양태에서, 또 다른 주요 기체 라인이 제공되는 데, 이는 다중 반응기에 각각 연결된 분지 기체 라인으로 분지되며, 각각의 분지 기체 라인에는 분지 기체 라인을 폐쇄 및 개방하기 위한 밸브가 제공되며, 여기서 또 다른 반응물 기체는 (a) 다른 반응물 기체를 다른 주요 기체 라인으로 연속적으로 공급하고; (b) 단계(a) 동안에, 다른 반응물 기체를 이에 연결된 분지 기체 라인을 통해 다중 반응기들 중의 하나에 공급[여기서, 분지 기체 라인들 중의 밸브는 개방 상태에 있으며, 다른 분지 기체 라인들 중의 밸브는 폐쇄 상태에 있다]하며; (c) 단계(a) 동안 및 단계(b) 후에, 개발 상태에 있는 분지 기체 라인들 중의 밸브를 동시에 폐쇄하고 폐쇄 상태에 있는 분지 기체 라인들 중의 또다른 것의 밸브를 개방하여 다른 분지 기체 라인에 연결된 다중 반응기들 중의 다른 것에 다른 반응물 기체를 공급하며; (d) 단계(c)를 순서대로 반복함으로써 다중 반응기에 순서대로 공급한다.
일부 양태에서, 다른 주요 기체 라인은 불활성 기체, 다른 반응물 기체 또는 이들의 혼합물을 공급하며, 다른 주요 기체 라인은 동일한 전체 유동 속도를 중단시키지 않고 불활성 기체 및 다른 반응물 기체를 변환시킬 수 있거나 불활성 기체 및 혼합물 기체를 변환시킬 수 있다.
도 24는 하나의 양태에 따르는 2개의 반응기를 이용하는 PEALD의 평행 이동 작동의 공정 순서를 나타낸다. 전구체가 변환 유동 조절 유형의 주요 기체 라인을 통해 공급되면, 각각의 반응기의 유출 속도는 실질적으로 일정하고 동일하며, 공통의 압력 조절 밸브 및 공통의 배기관을 사용할 수 있다. 전구체가 온-오프 유동 조절 유형의 주요 기체 라인을 통해 공급되는 경우, 각각의 반응기의 유출 속도는 변동되고 변동의 타이밍은 반응기들 사이에서 상이하다. 그러나, 반응물 기체의 이행 시간이 상당히 감소되거나 제거될 수 있는 이점은 효과적으로 수득될 수 있다. 이러한 양태는 NH3를 이용하는 SiO 필름에 있어서 PEALD에 적용할 수 있다. 예를 들면, NH3 기체는 SiO ALD 전구체 흡착을 촉진시키기 위해서 뿐만 아니라 플라즈마 점화 동안에 산화를 억제하기 위해서도 공지되어 있다. 성장 속도 획득(growth rate gain)을 최대화하기 위하여, 전구체 공급은 NH3 대기 중에서 수행될 필요가 있으며, 플라즈마 점화는 NH3가 없는 대기 중에서 수행될 필요가 있다. 상기한 양태에서, 공정 시간 손실을 감소시킬 수 있으며 공정 획득을 증가시킬 수 있다.
기재된 양태들은 적어도 2개의 반응기의 평행 이동 작동 방법을 포함하지만 이에 제한되지 않으며, 여기서 1 내지 n으로 번호가 매겨진 n개의 주요 기체 라인 및 1 내지 n으로 번호가 매겨진 n개의 기체 포트가 제공되며, 여기서 n은 1초과의 정수이며, 각각의 주요 기체 라인은 각각 n개의 기체 포트에 연결된 1 내지 n으로 번호가 매겨진 n개의 분지 기체 라인으로 분지되며, 각각의 분지 기체 라인에는 분지 기체 라인을 폐쇄 및 개방하기 위한 밸브가 제공되고, 각각의 반응기는 상이한 기체 포트에 연결되며, 상기 방법은,
(i) 1 내지 n으로 번호가 매겨진 n개의 기체를 n개의 주요 기체 라인에 각각 연속적으로 공급하는 단계;
(ii) 단계(i) 동안에, 기체 1 내지 n을, 각각 주요 기체 라인 1 내지 n에 상응하는 분지 기체 라인 1 내지 n을 통해 각각 기체 포트 1 내지 n으로 공급하는 단계로서, 여기서 각각 주요 기체 라인 1 내지 n에 상응하는 분지 기체 라인 1 내지 n의 밸브가 개방 상태에 있고, 다른 분지 기체 라인의 밸브가 폐쇄 상태에 있는 단계;
(iii) 단계(i) 동안 및 단계(ii) 후에, 개방 상태에서 분지 기체 라인 1 내지 n의 밸브를 동시에 폐쇄하고 각각 다음 번호의 주요 기체 라인에 상응하는 폐쇄 상태에서 분지 기체 라인 1 내지 n의 밸브를 개방하여, 다음 수의 기체를 기체 포트 1 내지 n으로 각각 공급하는 단계로서, 여기서 숫자 n 이후의 다음 수가 숫자 1로 되돌아가는 단계; 및
(iv) 단계(iii)을 반복하여 반응기가, 기체를 이동된 순서로 각각의 반응기에 공급함으로써 평행하게 작동되는 단계를 포함한다.
상기 양태에서, "변환된 순서"는 반응기들 사이의 상이한 사이클을 갖는 순서를 포함한다. 또한, 상기 양태에서, 본원에 명백하게, 함축적으로, 필수적으로 또는 고유하게 기재된 어떠한 변형도 적용될 수 있다.
또 다른 국면에서, 일부 양태는 (a) 다중 반응기; (b) 1 내지 n으로 번호가 매겨진 n개의 주요 기체 라인 및 1 내지 n으로 번호가 매겨진 n개의 기체 포트로서, 여기서 n은 1 초과의 정수이고, 각각의 주요 기체 라인은 각각 n개의 기체 포트에 연결된 1 내지 n으로 번호가 매겨진 n개의 분지 기체 라인으로 분지되고, 각각의 분지 기체 라인에는 분지 기체 라인을 폐쇄 및 개방하기 위한 밸브가 제공되어 있고, 각각의 반응기는 상이한 기체 포트에 연결되며, 여기서 각각의 주요 기체 라인의 분지 기체 라인 1 내지 n 중의 하나는 일시에 개방 상태에 있는 반면 주요 기체 라인의 다른 모든 분지 기체는 폐쇄 상태에 있는 주요 기체 라인 및 기체 포트; 및 (c) 반응기에 의해 공유된 공통 배기관을 포함하는 반도체 가공 장치로서, 여기서 분지 기체 라인의 밸브는 (i) 1 내지 n으로 번호가 매겨진 n개의 기체를 일정한 속도에서 각각 n개의 주요 기체 라인을 통해 n개의 기체 포트에 동시에 연속적으로 공급하고, 여기서 각각의 주요 기체 라인의 분지 기체 라인들 중의 하나는 개방 상태에 있고, 분지 기체 라인들 중의 하나는, 각각의 반응기들의 유입 속도가 동일하도록 하고, 각각의 반응기들의 유출 속도가 동일하도록 존재하며; (ii) 각각의 반응기들의 유입 속도 및 유출 속도를 유지시키면서, 개방 상태에 있는 각각의 주요 기체 라인의 분지 기체 라인들 중의 하나를 동시에 폐쇄하고 각각의 주요 기체 라인의 분지 기체 라인들 중의 다른 하나를 개방하여 상이한 수의 기체들이 반응기의 유입 속도 및 유출 속도를 변화시키지 않고 평행하게 각각의 반응기에 연속적으로 공급되도록 프로그래밍되는 반도체 가공 장치를 제공한다.
당해 분야의 숙련가는 통상적인 작업을 토대로 한 본 기재내용에 기술된 방법들 중의 어느 하나를 수행하기 위한 상기 장치를 용이하게 변형시킬 수 있다.
당해 분야의 숙련가는, 본 발명의 정신으로부터 벗어나지 않고 수많은 각종 변형을 가할 수 있다는 것을 이해할 것이다. 따라서, 본 발명의 형태는 단지 설명하기 위한 것이며 본 발명의 영역을 제한하려는 의도가 아님을 분명히 이해하여야 한다.

Claims (20)

1 내지 n으로 번호가 매겨진 n개의 주요 기체 라인(main gas line) 및 각 주요 기체 라인에 대해 1 내지 n으로 번호가 매겨진 n개의 기체 포트(gas port)가 제공되고, 이때 n은 1 보다 큰 정수이며, 각 주요 기체 라인은 각 n개의 기체 포트에 연결된 1 내지 n으로 번호가 매겨진 n개의 분지 기체 라인(branch gas line) 내로 분지되며, 각 분지 기체 라인에는 분지 기체 라인을 폐쇄하고 개방하기 위한 밸브가 제공되고, 각 반응기는 다른 기체 포트에 연결되며, 여기서 각 주요 기체 라인의 분지 기체 라인 1 내지 n 중의 하나는 한번에 개방 상태로 존재하는 반면 주요 기체 라인 중의 모든 다른 분지 기체 라인은 폐쇄된 상태로 존재하는, 공정을 위해 기재가 위치된 다중 반응기의 평행 쉬프트 작동 방법으로서,
(i) 1 내지 n으로 번호가 매겨진 n개의 기체를 각각 n개의 주요 기체 라인을 통해 n개의 기체 포트로 일정한 유동 속도로 동시에 연속적으로 공급하되, 이때 각 주요 기체 라인의 분지 기체 라인 중 하나는 개방 상태로 있고, 분지 기체 라인 중의 상기 하나는 각 반응기의 유입 속도가 동일하고 각 반응기의 유출 속도가 동일하도록 하는 단계; 및
(ii) 각 반응기의 유입 속도 및 유출 속도를 유지시키면서 개방 상태의 각 주요 기체 라인의 분지 기체 라인 중 하나를 폐쇄시키고 각 주요 기체 라인의 분지 기체 라인 중 또 다른 하나를 동시에 개방시켜, 각 번호가 매겨진 기체가 차례대로 다른 반응기에 연속적으로 공급되도록 하고, 이때 번호가 매겨진 기체의 모두는 밸브에 의해 반응기의 유입 속도 및 유출 속도의 변화없이 기판의 공정에 걸쳐 동시에 하나의 반응기로부터 다른 하나로 스위칭되도록 하는 단계;를 포함하는 다중 반응기의 평행 쉬프트 작동 방법.
제 1항에 있어서,
단계(ii)를 차례대로 반복하는 단계를 더 포함하는 다중 반응기의 평행 쉬프트 작동 방법.
제 1항에 있어서,
단계(i)에서, 개방 상태의 분지 기체 라인은 주요 기체 라인 1 내지 n에 각각 상응하는 분지 기체 라인 1 내지 n이고, 단계(ii)에서, 개방될 분지 기체 라인은 주요 기체 라인 1 내지 n에 각각 상응하는 다음의 번호를 갖는 분지 기체 라인이며, 번호 n 이후의 다음의 번호는 번호 1로 되돌아가는 다중 반응기의 평행 쉬프트 작동 방법.
제 1항에 있어서,
n개의 기체들 중의 하나 이상은 주요 기체 라인을 통해 흐르는 불활성 기체, 반응물 기체, 또는 이들의 혼합물이며, 주요 기체 라인를 통해 흐르는 n개의 기체들 중의 하나 이상은 단계(i) 동안 동일한 총 유동 속도에서 중단없이 불활성 기체와 반응물 기체 사이에서 또는 불활성 기체와 혼합물 기체 사이에서 스위칭되는 다중 반응기의 평행 쉬프트 작동 방법.
제 4항에 있어서,
n은 2이고, 주요 기체 라인 1 및 2가 각각 불활성 기체, 반응물 기체, 또는 이들의 혼합물을 동일한 유동 속도에서 공급하고, 기체 포트 1 및 2가 다중 반응기로서 2개의 반응기에 각각 연결되는 다중 반응기의 평행 쉬프트 작동 방법.
제 4항에 있어서,
n은 3이고, 주요 기체 라인 1, 2 및 3이 각각 불활성 기체, 반응물 기체, 또는 이들의 혼합물을 동일한 유동 속도에서 공급하고, 기체 포트 1, 2 및 3이 다중 반응기로서 3개의 반응기에 각각 연결되는 다중 반응기의 평행 쉬프트 작동 방법.
제 4항에 있어서,
n은 3이고, 주요 기체 라인 1, 2 및 3이 각각 불활성 기체, 반응물 기체, 또는 이들의 혼합물을 동일한 유동 속도에서 공급하고, 기체 포트 1, 2 및 3이 다중 반응기로서 2개의 반응기와 1개의 배기구(vent)에 각각 연결되는 다중 반응기의 평행 쉬프트 작동 방법.
제 4항에 있어서,
n은 3이고, 주요 기체 라인 1이 불활성 기체, 반응물 기체, 또는 이들의 혼합물을 동일한 유동 속도에서 공급하고, 주요 기체 라인 2 및 3은 불활성 기체를 각각 공급하며, 기체 포트 1, 2 및 3은 다중 반응기로서 3개의 반응기에 각각 연결되는 다중 반응기의 평행 쉬프트 작동 방법.
제 4항에 있어서,
하나 이상의 주요 기체 라인은 불활성 기체이거나 불활성 기체를 갖는 반응물 기체이고, 여기서 반응물 기체는 액체 물질의 증발된 기체인 다중 반응기의 평행 쉬프트 작동 방법.
제 4항에 있어서,
하나 이상의 주요 기체 라인은 불활성 기체 또는 반응물 기체를 공급하는 다중 반응기의 평행 쉬프트 작동 방법.
제 1항에 있어서,
공통의 기체 라인이 제공되고, 다중 반응기에 각각 연결된 기체 라인으로 분지되며, 여기서 공통의 기체는 공통의 기체 라인을 통해 다중 반응기로 연속적으로 동시에 공급되는 다중 반응기의 평행 쉬프트 작동 방법.
제 11항에 있어서,
공통의 기체는 여기되지 않은 상태에 있을 때 기체 1 내지 n에 대하여 반응성이 아닌 다중 반응기의 평행 쉬프트 작동 방법.
제 1항에 있어서,
기체 1 내지 n은 여기되지 않은 상태에 있을 때 서로 반응성이 없는 다중 반응기의 평행 쉬프트 작동 방법.
제 1항에 있어서,
다중 반응기로부터의 배기관들이 합쳐지는 공통의 배기관이 제공되는, 다중 반응기의 평행 쉬프트 작동 방법.
제 1항에 있어서,
다중 반응기의 작동은 순환 CVD 또는 ALD인 다중 반응기의 평행 쉬프트 작동 방법.
제 1항에 있어서,
n개의 기체는 연속적으로 반응물 기체인 다중 반응기의 평행 쉬프트 작동 방법.
제 16항에 있어서,
또 다른 주요 기체 라인이 제공되되, 다중 반응기에 각각 연결된 분지 기체 라인으로 분지되며, 각 분지 기체 라인에는 분지 기체 라인을 폐쇄 및 개방하기 위한 밸브가 제공되고, 여기서 또 다른 반응물 기체는, (a) 다른 반응물 기체를 다른 주요 기체 라인으로 연속적으로 공급하고; (b) 단계(a) 동안에 다른 반응물 기체를 다중 반응기 중 하나로, 이에 연결된 분지 기체 라인을 통하여 공급하며, 여기서 분지 기체 라인의 밸브는 개방 상태로 있고, 다른 분지 기체 라인의 밸브는 폐쇄 상태로 있고; (c) 단계(a) 동안 및 단계(b) 후에, 개방 상태로 있는 분지 기체 라인의 밸브를 폐쇄하고, 폐쇄 상태로 있는 분지 기체 라인 중의 또 다른 것의 밸브를 동시에 개방하여, 다른 분지 기체 라인에 연결된 다중 반응기 중의 또 다른 것에 다른 반응물 기체를 공급하며; (d) 단계(c)를 차례대로 반복하여 다중 반응기에 순서대로 공급되는 다중 반응기의 평행 쉬프트 작동 방법.
제 17항에 있어서,
다른 주요 기체 라인은 불활성 기체, 반응물 기체, 또는 이들의 혼합물인 또 다른 기체를 공급하고, 다른 주요 기체 라인을 통해 흐르는 또 다른 기체는 단계(a) 동안 동일한 총 유동 속도에서 중단없이 불활성 기체와 반응물 기체 사이에서 또는 불활성 기체와 혼합물 기체 사이에서 스위칭되는 다중 반응기의 평행 쉬프트 작동 방법.
제 1항에 있어서,
각 반응기의 유입 속도 및 유출 속도를 유지시키면서 미리 정의된 순서로 각 반응기에 RF 전력을 인가하는 단계를 더 포함하는 다중 반응기의 평행 쉬프트 작동 방법.
삭제
KR1020130084459A 2012-08-02 2013-07-18 다중 반응기의 평행 쉬프트 작동 방법 KR102072248B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/565,564 US8911826B2 (en) 2012-08-02 2012-08-02 Method of parallel shift operation of multiple reactors
US13/565,564 2012-08-02

Publications (2)

Publication Number Publication Date
KR20140018793A KR20140018793A (ko) 2014-02-13
KR102072248B1 true KR102072248B1 (ko) 2020-02-03

Family

ID=50024222

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020130084459A KR102072248B1 (ko) 2012-08-02 2013-07-18 다중 반응기의 평행 쉬프트 작동 방법

Country Status (4)

Country Link
US (1) US8911826B2 (ko)
JP (1) JP6209385B2 (ko)
KR (1) KR102072248B1 (ko)
TW (1) TWI597382B (ko)

Families Citing this family (340)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
JP6093552B2 (ja) * 2012-11-08 2017-03-08 日精エー・エス・ビー機械株式会社 樹脂容器用コーティング装置
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) * 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9663857B2 (en) * 2014-04-07 2017-05-30 Asm Ip Holding B.V. Method for stabilizing reaction chamber pressure
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
JP6499835B2 (ja) * 2014-07-24 2019-04-10 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
JP6370630B2 (ja) * 2014-07-31 2018-08-08 株式会社ニューフレアテクノロジー 気相成長装置および気相成長方法
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
JP6545054B2 (ja) * 2014-10-20 2019-07-17 東京エレクトロン株式会社 基板処理装置および基板処理方法
US10460949B2 (en) * 2014-10-20 2019-10-29 Tokyo Electron Limited Substrate processing apparatus, substrate processing method and storage medium
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
JP5947435B1 (ja) * 2015-08-27 2016-07-06 株式会社日立国際電気 基板処理装置、半導体装置の製造方法、プログラムおよび記録媒体
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10927459B2 (en) 2017-10-16 2021-02-23 Asm Ip Holding B.V. Systems and methods for atomic layer deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
CN109778143B (zh) * 2017-11-14 2021-05-07 北京北方华创微电子装备有限公司 一种沉积系统及其气体传输方法
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TW202405221A (zh) 2018-06-27 2024-02-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210089077A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 가스 공급 어셈블리, 이의 구성 요소, 및 이를 포함하는 반응기 시스템
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008172083A (ja) * 2007-01-12 2008-07-24 Sharp Corp 気相成長装置および気相成長方法
JP2011181681A (ja) * 2010-03-01 2011-09-15 Mitsui Eng & Shipbuild Co Ltd 原子層堆積方法及び原子層堆積装置

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH01296613A (ja) * 1988-05-25 1989-11-30 Nec Corp 3−v族化合物半導体の気相成長方法
JPH0429313A (ja) * 1990-05-24 1992-01-31 Fujitsu Ltd 半導体結晶の製造装置
US6342277B1 (en) * 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
JP4174941B2 (ja) * 2000-02-03 2008-11-05 株式会社デンソー 薄膜製造方法及び薄膜製造装置
US6613656B2 (en) * 2001-02-13 2003-09-02 Micron Technology, Inc. Sequential pulse deposition
US6916398B2 (en) * 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US6902624B2 (en) * 2001-10-29 2005-06-07 Genus, Inc. Massively parallel atomic layer deposition/chemical vapor deposition system
KR100497748B1 (ko) * 2002-09-17 2005-06-29 주식회사 무한 반도체소자 제조용 원자층 증착 장치 및 원자층 증착 방법
US7708859B2 (en) * 2004-04-30 2010-05-04 Lam Research Corporation Gas distribution system having fast gas switching capabilities
US20060137609A1 (en) * 2004-09-13 2006-06-29 Puchacz Jerzy P Multi-single wafer processing apparatus

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008172083A (ja) * 2007-01-12 2008-07-24 Sharp Corp 気相成長装置および気相成長方法
JP2011181681A (ja) * 2010-03-01 2011-09-15 Mitsui Eng & Shipbuild Co Ltd 原子層堆積方法及び原子層堆積装置

Also Published As

Publication number Publication date
KR20140018793A (ko) 2014-02-13
JP6209385B2 (ja) 2017-10-04
JP2014033203A (ja) 2014-02-20
US8911826B2 (en) 2014-12-16
TW201416484A (zh) 2014-05-01
TWI597382B (zh) 2017-09-01
US20140033978A1 (en) 2014-02-06

Similar Documents

Publication Publication Date Title
KR102072248B1 (ko) 다중 반응기의 평행 쉬프트 작동 방법
US11377732B2 (en) Reactant vaporizer and related systems and methods
US20210320003A1 (en) Method of forming a nitrogen-containing carbon film and system for performing the method
US10370761B2 (en) Pulsed valve manifold for atomic layer deposition
KR102605484B1 (ko) 막 프로파일 조정을 위한 샤워헤드 커튼 가스 방법 및 시스템
US10847365B2 (en) Method of forming conformal silicon carbide film by cyclic CVD
US9447498B2 (en) Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10927459B2 (en) Systems and methods for atomic layer deposition
KR20190128562A (ko) 박막 형성 방법 및 기판 처리 장치
TWI409897B (zh) A substrate processing apparatus, and a method of manufacturing the semiconductor device
KR100853702B1 (ko) 박막을 성장시키기 위한 개선된 장치 및 방법
US20050223982A1 (en) Apparatus and method for depositing thin film on wafer using remote plasma
KR102339755B1 (ko) Cvd-반응기에서의 배기 가스 정화를 위한 장치 및 방법
US8410001B2 (en) Method of manufacturing semiconductor device, method of processing substrate, and substrate processing apparatus
US11830731B2 (en) Semiconductor deposition reactor manifolds
US20140193579A1 (en) Combination CVD/ALD method and source
JP2020155776A (ja) 反応器マニホールド
JPWO2005024926A1 (ja) 基板処理装置及び半導体装置の製造方法
KR20010036268A (ko) 원자층 증착법을 이용한 금속 산화막 형성방법
CN118109803A (zh) 原子层沉积设备及其方法
KR20230164568A (ko) 가스 확산 노즐을 포함한 기판 처리 장치
JPH11323559A (ja) Cvd装置

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right