TWI597382B - 多個反應器平行轉換操作的方法 - Google Patents

多個反應器平行轉換操作的方法 Download PDF

Info

Publication number
TWI597382B
TWI597382B TW102127065A TW102127065A TWI597382B TW I597382 B TWI597382 B TW I597382B TW 102127065 A TW102127065 A TW 102127065A TW 102127065 A TW102127065 A TW 102127065A TW I597382 B TWI597382 B TW I597382B
Authority
TW
Taiwan
Prior art keywords
gas
reactors
lines
branch
line
Prior art date
Application number
TW102127065A
Other languages
English (en)
Other versions
TW201416484A (zh
Inventor
安達渉
河正石
Original Assignee
Asm Ip控股公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Asm Ip控股公司 filed Critical Asm Ip控股公司
Publication of TW201416484A publication Critical patent/TW201416484A/zh
Application granted granted Critical
Publication of TWI597382B publication Critical patent/TWI597382B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F17STORING OR DISTRIBUTING GASES OR LIQUIDS
    • F17DPIPE-LINE SYSTEMS; PIPE-LINES
    • F17D3/00Arrangements for supervising or controlling working operations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/0318Processes
    • Y10T137/0324With control of flow by a condition or characteristic of a fluid
    • Y10T137/0357For producing uniform flow

Description

多個反應器平行轉換操作的方法
本發明一般有關一種半導體製造方法,尤其有關一種多個反應器平行轉換操作的方法,其中將氣體連續供應至該等反應器。
電漿增強原子層沈積(PEALD)製程通常重複以下如圖1所示的四個步驟:在步驟1(前驅物供給),將前驅物氣體供應至反應器室(RC)及前驅物被吸附至晶圓表面上。在步驟2(清除),從反應器室移除非吸附前驅物。在步驟3(電漿處理),以RF電漿活化吸附表面,以使前驅物與反應物氣體發生反應。在步驟4(清除),從表面移除非反應前驅物及副產物。上文中,在該等步驟期間,反應物氣體連續流動。用於PEALD製程的裝備需要使用以下如圖3所示的組件:RF產生器(單頻或雙頻):1個裝置(4)/1個RC;前驅物氣體管線:1個管線(1)/1個RC(如果製程需要切換不同的前驅物及使其流動,則各前驅物需要使用不同的管線(2));反應物氣體管線:1個管線(3)/1個RC;及 RC壓力控制閥及排氣管線:1組(6、7)/1個RC。
當將系統從一個RC擴展到多個RC時,必須改變所需組件。在簡單擴充下,雙RC系統需要使用以下如圖4所示的組件:RF產生器(單頻或雙頻):2個裝置(4、4’)/2個RC;前驅物氣體管線:2個管線(1、1’)/2個RC(如果製程需要切換不同的前驅物及使其流動,則每個RC的各前驅物需要使用不同的管線);反應物氣體管線:2個管線(3、3’)/2個RC;及RC壓力控制閥及排氣管線:2組(6、7;6’、7’)/2個RC。
考慮以上組件冗餘,可取決於前驅物供應系統、製程順序的架構、及兩個RC之間的製程可控制性,修改系統需求。
前驅物供應系統分成以下兩個類型:
(I)藉由前驅物流的開/閉控制,控制前驅物供應,如圖5中的(I)所示。
(II)藉由切換前驅物流及惰性氣體流且同時維持相同流率,控制前驅物供應,如圖5中的(II)所示。
在開-閉流動控制系統中,液體前驅物在儲槽41中蒸發,透過管線43將載體氣體引入儲槽41,及當閥42關閉時,沒有任何流動透過管線44從儲槽41中流出,如圖5中的(a)所示。當閥42開啟時,載體氣體攜載蒸發的前驅物並透過管線44從儲槽41一起流出,如圖5中的(b)所示。藉由前驅物流的開/閉控制,更改總氣體流率及RC壓力。因此,在RC之間的RC壓力差可能造成問題的情況(如,由於RC之間的壓力差而使不當的前驅物氣體流入經由共用排氣管線進入錯誤的RC)中,不採用此流動控制系統。在切換流 動控制系統中,液體前驅物在儲槽51中蒸發,因為閥55被關閉,故透過管線53經由閥56將載體氣體引入儲槽51。載體氣體攜載蒸發的前驅物並從儲槽51經由閥57透過管線54一起流出,如圖5中的(d)所示。然而,當閥55開啟且閥56、57關閉時,僅載體氣體透過管線53、54流動,如圖5中的(c)所示。藉由切換前驅物及惰性氣體流,總流率及RC壓力可實質上固定且容易利用自動壓力控制器(未顯示)控制RC壓力。在此流動控制系統中,由於RC之間沒有實質壓力差,所以儘管RC共用排氣管線,RC之間實質上不會相互影響。使用切換流動控制系統的典型製程順序如圖2所示。其與圖1所示製程順序的差別在於,在步驟2、3、及4中,在從前驅物切換為惰性氣體時,惰性氣體以步驟1中之前驅物的相同流率從步驟1連續流動。
製程順序的架構分成以下兩個類型。
(1)在RC1及RC2之間的同作處理,如圖6中的(1)所示;(2)在RC1及RC2之間的交替處理,如圖6中的(2)所示。
同作處理比較簡。在PEALD製程中,可選擇交替處理以藉由消除僅在特定步驟中使用之單一資源的複製(同步時序)操作來減少系統組件。例如,藉由消除在兩個RC之間的重疊電漿處理,可在兩個RC之間共用單一RF產生器。在交替處理中,交替地在兩個RC中執行相同操作,其中各RC需要使用獨立製程步驟控制。
兩個RC之間的製程可控制性根據是否可在兩個RC之間設定不同的處理週期而分成以下兩個類型。
(A)可在兩個RC之間設定不同的處理週期; (B)不可在兩個RC之間設定不同的處理週期(僅可設定相同的處理週期)。
在兩個RC之間設定不同的處理週期有以下幾個優點。首先,可同時在相應的RC執行不同沈積條件(如,膜厚度)下的製程。再者,RC對RC的失配可藉由在RC之間設定不同的處理週期而加以調整。應注意,因為能夠交替處理的各RC需要使用獨立的製程步驟控制,故能夠進行交替處理的系統當然能夠處理兩個RC之間的不同週期。
可以多個方式組合前驅物供應系統((I):開-閉流動控制系統;(II):切換流動控制系統)、製程順序的架構((1):同作處理;(2):交替處理)、及兩個RC之間的製程可控制性((A):不同週期;(B):相同週期)。
圖7圖解雙反應器系統,其具有:開-閉流動控制系統(I)、同作處理(1)、及不同週期的能力(A),其中反應室4及反應室4’共用前驅物管線1、前驅物管線2、及反應物氣體管線3。然而,為了具有反應室4、4’之間之不同週期的能力,分別將不同RF產生器5、5’、不同壓力控制閥6、6’、及不同排氣管線7、7’提供至反應室4、4’。
圖8圖解雙反應器(雙RC)系統,其具有開-閉流動控制系統(I)、同作處理(1)、及相同週期的能力(B),其中反應室4及反應室4’共用前驅物管線1、前驅物管線2、反應物氣體管線3、壓力控制閥6、及排氣管線7。雖然反應室4、4’可共用RF產生器,但仍使用不同的RF產生器5、5’以確保RC之間的相同有效功率。由於製程在相同週期的能力下同作,故兩個反應室可共用所有組件(除了RF產生器)。
圖9圖解雙反應器(雙RC)系統,其具有開-閉流動控制系統(I)及交替處理(2),其中反應室4及反應室4’共用前驅物管線1、前驅物管線2、及反應物氣體管線3。為了執行交替處理,由開-閉閥8控制氣體的流動,分別將不同壓力控制閥6、6’、及不同排氣管線7、7’提供至反應室4、4’。雖然可在反應室4、4’中使用不同RF產生器,但反應室4、4’仍共用設有切換反應室4、4’之開關的RF產生器5。
圖10圖解雙反應器(雙RC)系統,其具有切換流動控制系統(II)、同作處理(1)、及不同週期的能力(A),其中反應室4及反應室4’共用反應物氣體管線3。為了執行反應室4、4’之間的不同週期,分別將不同前驅物/惰性氣體管線11、11’(流率固定)、不同前驅物/惰性氣體管線12、12’(流率固定)、及不同RF產生器5、5’提供至反應室4、4’。無論反應室4、4’之間的週期是否不同,反應室4、4’之氣體的總流量因為切換流動控制系統(即,流率固定)而相同,及因此,反應室4、4’共用壓力控制閥6及排氣管線7。
圖11圖解雙反應器(雙RC)系統,其具有切換流動控制系統(II)、同作處理(1)、及相同週期的能力(B),其中反應室4及反應室4’共用前驅物/惰性氣體管線11(流率固定)、前驅物/惰性氣體管線12(流率固定)、反應物氣體管線3、壓力控制閥6、及排氣管線7。雖然反應室4、4’可共用RF產生器,但仍使用不同的RF產生器5、5’以確保RC之間的相同有效功率。由於製程在相同週期的能力下同作,故兩個反應室可共用所有組件(除了RF產生器)。
圖12圖解雙反應器(雙RC)系統,其具有切換流動控制系統(II)及交替處理(2),其中反應室4及反應室4’共用反應物氣體管線3。為了在反應室4、4’中執行交替處理,分別將不同前驅物/惰性氣體管線11、11’(流率固定)、及不同前驅物/惰性氣體管線12、 12’(流率固定)提供至反應室4、4’。無論反應室4、4’中是否交替地執行處理,反應室4、4’之氣體的總流量因為切換流動控制系統(即,流率固定)而相同,及因此,反應室4、4’共用壓力控制閥6及排氣管線7。雖然可在反應室4、4’中使用不同RF產生器,但反應室4、4’仍共用設有切換反應室4、4’之開關的RF產生器5。
以下表1總結以上組合及所需最少組件。
如表1所示,為了能夠進行交替處理(2)或不同週期(A),系統需要使用兩組前驅物管線或RC壓力控制閥及排氣管線之至少一者。
上述實例係基於PEALD。然而,任何使用多個反應 室的循環沈積(如,循環CVD、熱ALD、自由基增強ALD等)在增加系統組件數量上具有類似問題。
此外,在任何循環沈積中,因為應避免在前驅物及反應物氣體之間發生無用的化學反應,或因為需要針對特定化學反應個別地供應反應物氣體,基於化學反應的膜沈積製程在膜沈積期間通常使用反應物氣體的個別供應。對於個別的反應物氣體供應,需要在引入另一反應物氣體之前,先移除留在反應室中的反應物氣體。每個反應物供應需要額外的過渡時間(如,>1秒)以藉由清除反應室或抽空反應室,移除剩餘的反應物氣體。通常,過渡時間包括停止反應物氣體供應、藉由清除或抽空反應室移除剩餘的反應物氣體、及供應及穩定化不同的反應物氣體。因此,更換氣體增加製程週期時間,降低生產力。此外,由於使用惰性氣體以更換反應物氣體及進行清除,利用惰性氣體稀釋反應物氣體,及降低反應物氣體的分壓,因而降低化學反應速度。
圖17圖解使用基於同作處理之兩個反應室之循環沈積所使用的習用製程順序,其中重複以下步驟:供應反應物氣體A至各反應室1及2、清除反應室1及2、供應反應物氣體B至各反應室1及2、及接著清除反應室1及2。圖17已極度簡化,及反應物氣體的各供應脈衝的開始及結束不如圖18所示般一目了然。在習用循環沈積中無法避免過渡時間及反應物氣體的稀釋。在本揭示內容中,「反應物氣體」是指參與膜沈積或形成之化學反應的任何氣體,包括廣義的前驅物。在狹義上,「反應物氣體」是指與前驅物反應以沈積或形成膜的任何氣體。
在本揭示內容中包括先前技術中涉及的任何問題及解決方案的討論只是為了提供本發明的來龍去脈,不應將其視為認可在本發明形成時已知任何或所有討論。
一些具體實施例提供其中放置基板之多個反應器(又稱為「反應室」)平行轉換操作的方法,其能夠減少系統組件數量且亦能夠顯著減少過渡時間。「平行轉換操作」在廣義上是指非同作操作,非同作操作包括從一個反應器轉換至另一個反應器的操作。平行轉換操作包括具有兩個反應器之間之不同週期的能力的交替處理。在一些具體實施例中,該方法使用其中提供編號1至n的n個主要氣體管線及編號1至n的n個氣體埠的設備來執行,其中n是大於一的整數,各主要氣體管線分支成分別連接至該n個氣體埠之編號1至n的n個分支氣體管線,各分支氣體管線設有用於關閉及開啟該分支氣體管線的一閥,及各反應器連接至一不同的氣體埠,其中各主要氣體管線之分支氣體管線1至n的至少一個(但非全部)、通常一個在該主要氣體管線的所有其他分支氣體管線處於一關閉狀態時處於一開啟狀態。取決於沈積必須使用多少離散氣體,數字n可由熟習本技術者基於例行工作決定。例如,n是2、3、4、5、或6的任何整數。取決於數字n(反應器數量不超過數字n)及生產力,反應器數量亦可由熟習本技術者基於例行工作決定。在一些具體實施例中,數字n等於反應器數量及通風口數量(通風口繞過反應器及連接至排氣管)的總數。在一些具體實施例中,該方法包含:(i)以一恆定流率分別經由該n個主要氣體管線同時將編號1至n的n個氣體連續供應給n個氣體埠,其中各主要氣體管線之該等分支氣體管線之一者處於開啟狀態,該等分支氣體管線之該一者係致使該等相應反應器的流入率相等,及該等相應反應器的流出率相等;及 (ii)在維持該等相應反應器的流入率及流出率時,同時關閉各主要氣體管線之該等分支氣體管線在該開啟狀態中之一者及開啟各主要氣體管線之該等分支氣體管線之另一者,致使平行地將不同編號的氣體連續供應至該等相應反應器而不改變該等反應器的流入率及流出率。
在一些具體實施例中,雖然該等反應器共用該主要氣體管線及該排氣管線,但可在實質上沒有過渡時間的情形下執行平行轉換操作或交替處理。在一些具體實施例中,多個反應器的操作係循環CVD或ALD(如,脈衝電漿增強CVD、熱ALD、電漿增強ALD、或自由基增強ALD)。
還有,一些具體實施例提供半導體處理設備,其能夠執行任何所揭示的方法,該設備包含:多個反應器;編號1至n的n個主要氣體管線及編號1至n的n個氣體埠,其中n是大於一的整數,各主要氣體管線分支成分別連接至該n個氣體埠之編號1至n的n個分支氣體管線,各分支氣體管線設有用於關閉及開啟該分支氣體管線的一閥,及各反應器連接至一不同的氣體埠,其中各主要氣體管線之分支氣體管線1至n之一者在該主要氣體管線的所有其他分支氣體管線處於一關閉狀態時處於一開啟狀態;及一共同排氣管,其由該等反應器共用。
為了概述本發明各方面及勝於先前技術所達成的優點,在本揭示內容中說明本發明之目的及優點。當然,應瞭解,未必所有此類目的或優點可根據本發明任何特定具體實施例而達成。因此,例如,熟習本技術者應明白,可在未必達成如本文教 示或建議的其他目的或優點的情形下,以達成或最佳化如本文教示之一個優點或一組優點的方式體現或實行本發明。
本發明的更多方面、特徵及優點將從以下的「實施方式」變得顯而易見。
1、1'‧‧‧前驅物氣體管線
2、2'‧‧‧前驅物氣體管線
3、3'‧‧‧反應物氣體管線
4、4'‧‧‧裝置/反應室
5、5'‧‧‧RF產生器
6、6'‧‧‧壓力控制閥
7、7'‧‧‧排氣管線
8‧‧‧開-閉閥
11、11'‧‧‧前驅物/惰性氣體管線
12、12'‧‧‧前驅物/惰性氣體管線
41‧‧‧儲槽
42‧‧‧閥
43‧‧‧管線
44‧‧‧管線
51‧‧‧儲槽
53‧‧‧管線
54‧‧‧管線
55‧‧‧閥
56‧‧‧閥
57‧‧‧閥
101-110‧‧‧閥
B1、B2、B1-1、B1-2、B2-1、B2-2‧‧‧分支氣體管線
C1‧‧‧共同氣體管線
IA1、IA2‧‧‧惰性氣體管線
M1、M2、M3‧‧‧主要氣體管線
P1、P2、P3‧‧‧氣體埠
RC1、RC2‧‧‧反應室
T1、T2‧‧‧儲槽
V1(M1)、V1(M2)、V2(M1)、V2(M2)、V1-1、V1-2、V1-V、V2-1、V2-2、V2-V、V3-1、V3-2、V3-V‧‧‧閥
本發明的以上及其他特徵將參考較佳具體實施例的圖式來說明,這些圖式係用來圖解而非限制本發明。這些圖式為了圖解已大幅簡化且未按比例繪製。
圖1圖解使用開-閉流動控制系統的典型電漿增強原子層沈積(PEALD)所使用的製程順序。
圖2圖解使用切換流動控制系統的典型電漿增強原子層沈積(PEALD)所使用的製程順序。
圖3是包含一個反應器室之習用PEALD設備的示意圖。
圖4是包含兩個反應器室之習用PEALD設備的示意圖。
圖5圖解開-閉流動控制系統(I)的示意圖,及切換流動控制系統(II)的示意圖。
圖6圖解使用同作處理(1)的典型PEALD所使用的製程順序,及使用交替處理(2)的典型PEALD所使用的製程順序。
圖7圖解具有開-閉流動控制系統(I)、同作處理(1)、及不同週期的能力(A)的雙反應器系統。
圖8圖解具有開-閉流動控制系統(I)、同作處理(1)、及相同週期的能力(B)的雙反應器系統。
圖9圖解具有開-閉流動控制系統(I)及交替處理(2)的 雙反應器系統。
圖10圖解具有切換流動控制系統(II)、同作處理(1)、及不同週期的能力(A)的雙反應器系統。
圖11圖解具有切換流動控制系統(II)、同作處理(1)、及相同週期的能力(B)的雙反應器系統。
圖12圖解具有切換流動控制系統(II)及交替處理(2)的雙反應器系統。
圖13A根據本發明之一具體實施例是圖解使用兩個反應器之PEALD之平行轉換操作的示意圖。
圖13B根據本發明之一具體實施例是圖解在更換氣體後之PEALD之平行轉換操作的示意圖。
圖14A至14D根據本發明之一具體實施例是圖解平行轉換操作之修改的示意圖。
圖15根據本發明之一具體實施例圖解ALD中不使用RF充電之兩個反應物氣體的製程順序。
圖16根據本發明之一具體實施例圖解切換惰性氣體之流動及前驅物氣體之流動的示意圖。
圖17圖解使用基於同作處理之兩個反應室之循環沈積所使用的習用製程順序。
圖18根據本發明之一具體實施例圖解兩個反應器平行轉換操作的製程順序。
圖19根據本發明之一具體實施例圖解使用切換流動控制系統之兩個反應器平行轉換操作的製程順序。
圖20根據本發明之一具體實施例圖解使用平行轉換操作之四個反應器的製程順序,其中惰性氣體X、Y的流動使各反應器中的反應物A及反應物B分離。
圖21根據一具體實施例圖解使用平行轉換操作之反應器的製程順序,其中根據一具體實施例,當供應前驅物時,亦供應反應物氣體B,及當不供應前驅物時,供應反應物氣體A。
圖22根據本發明之一具體實施例是圖解平行轉換操作系統的示意圖,其中分別將反應物A及B供應至反應室RC1及RC2。
圖23根據本發明之一具體實施例是圖解平行轉換操作系統的示意圖,其中分別將反應物A及B供應至反應室RC2及RC1。
圖24根據一具體實施例圖解使用兩個反應器之PEALD之平行轉換操作的製程順序。
圖25至28根據一具體實施例是圖解使用液體前驅物以兩個反應器進行PEALD之平行轉換操作的示意圖。圖25至28代表分別對應於反應器RC1之供給、清除、反應器RC2之供給、及清除的步驟。
圖29根據本發明之一具體實施例圖解使用具有不同週期之兩個反應器之平行轉換操作的製程順序。
圖30根據本發明之一具體實施例是圖解平行轉換操作系統的示意圖,其中除了反應室RC1及RC2還提供有通風口。
在本揭示內容中,「氣體」可包括蒸發的固體及/或液體且可由單一氣體或氣體混合物構成。在本揭示內容中,「一」是指一個物種或包括多個物種的種類。此外,在本揭示內容中,一個變數的任何兩個數字可構成該變數的可工作範圍,可工作範圍可基於例行工作而決定,及所指示的任何範圍可包括或排除端 點。另外,所指示的任何變數值可指精確值或近似值且包括當量,且在一些具體實施例中可指平均值、中央值、代表值、多數等。
在其中未具體說明條件及/或結構的本揭示內容中,熟習本技術者可鑑於本揭示內容,在例行實驗上隨時提供此類條件及/或結構。
在所有所揭示的具體實施例中,在一具體實施例中使用的任何元件可用與其等效的任何元件取代,包括本文中針對所要目的而明確、必定、或固有揭示的元件。此外,本發明可同等應用於設備及方法。
在本揭示內容中,任何已定義的意義未必排除一些具體實施例中的尋常及慣常意義。
如上文說明,一些具體實施例提供其中放置基板之多個反應器平行操作的方法,其中提供編號1至n的n個主要氣體管線及編號1至n的n個氣體埠,其中n是大於一的整數,各主要氣體管線分支成分別連接至該n個氣體埠之編號1至n的n個分支氣體管線,各分支氣體管線設有用於關閉及開啟分支氣體管線的一閥,及各反應器連接至一不同的氣體埠,其中各主要氣體管線之分支氣體管線1至n之一者在該主要氣體管線的所有其他分支氣體管線處於一關閉狀態時處於一開啟狀態,該方法包含:(i)以一恆定流率分別經由該n個主要氣體管線同時將編號1至n的n個氣體連續供應給n個氣體埠,其中各主要氣體管線之該等分支氣體管線之一者處於開啟狀態,該等分支氣體管線之該一者係致使該等相應反應器的流入率相等,及該等相應反應器的流出率相等;及(ii)在維持該等相應反應器的流入率及流出率時,同時關閉各主要氣體管線之該等分支氣體管線在該開啟狀態中之一者及開啟各主要氣體管線之該等分支氣體管線之另一者,致使平行地將不同編號的氣體 連續供應至該等相應反應器而不改變該等反應器的流入率及流出率。
在上文中,「連續」是指如時間軸沒有中斷、未改變物理條件、緊接在其後、或沒有中間步驟。在本揭示內容中,「恆定」、「相等」、「相同」或其類似用語包括實質上恆定、相等、相同或其類似用語、及其等效物,如,其差異如熟習本技術者所瞭解是功能上無關緊要的、可忽略的、或不可偵測的。此外,「反應器」或「反應室」是指用於反應的室,而不管構成該室之區段或隔室的數量,包括雙室,其無法個別地或獨立地控制。
在一些具體實施例中,該方法另外包含依序重複步驟(ii)直到在基板上形成膜為止。
在一些具體實施例中,在步驟(i)中,在開啟狀態中的分支氣體管線是分別對應於主要氣體管線1至n的分支氣體管線1至n,及在步驟(ii)中,要開啟的分支氣體管線是分別對應於主要氣體管線1至n之下一個編號的分支氣體管線,其中數字n之後的下一個數字回到數字1。
圖22根據一些具體實施例是圖解平行轉換操作系統的示意圖,其中分別將反應物A及B供應至反應室RC1及RC2。在此圖中,提供兩個主要氣體管線M1、M2及兩個氣體埠P1、P2,其中各主要氣體管線分支成分別連接至氣體埠P1、P2的兩個分支氣體管線B1、B2,分支氣體管線B1(M1)(即,連接至主要氣體管線M1的分支氣體管線B1)、B1(M2)、B2(M1)、及B2(M2)分別設有用於關閉及開啟對應分支氣體管線的閥V1(M1)、V1(M2)、V2(M1)、及V2(M2),及反應室RC1、RC2分別連接至氣體埠P1、P2,其中閥V1(M1)及V2(M2)處於開啟狀態,而閥V1(M2)及V2(M1)處於關閉狀態。以恆定流率分別將反應物A、B經由主要氣體管線M1、 M2同時連續供應至氣體埠P1、P2,其中閥V1(M1)、V2(M2)處於開啟狀態,致使反應室RC1、RC2的流入率相等,及相應反應器的流出率相等。氣體透過單一壓力控制閥6排放至單一排氣管7。
圖23根據一些具體實施例是圖解平行轉換操作系統的示意圖,其中分別將反應物A及B供應至反應室RC2及RC1。在此圖中,在維持相應反應器的流入率及流出率的同時,關閉開啟狀態中的閥V1(M1)、V2(M2)及開啟閥V1(M2)、V2(M1),致使切換反應物A、B並在未改變反應器的流入率及流出率的情況下將其分別平行地連續供應至反應室RC2、RC1。圖18圖解的上述平行轉換操作的製程順序。首先供應反應物A至反應器1(RC1),接著馬上切換至反應室2(RC2),然後再次馬上切換至反應室1(RC1),及重複此切換。藉由閥V1(M1)、V2(M1)的同步操作,完成此切換。將反應物B供應至未供應反應物A的反應器,致使對各反應器的流入可永遠保持恆定。藉由閥V1(M2)、V2(M2)的同步操作,完成供應反應物B至反應器的切換。閥V1(M1)、V1(M2)、V2(M1)、V2(M2)同步化且同時操作。藉由使用上述方法,可顯著減少或消除過渡時間。熟習本技術者應瞭解,設備包括一或多個控制器(未顯示),其經程式化或以其他方式組態,以執行本文他處說明的沈積製程,及控制器與反應器的閥、電源、幫浦、機器人及氣體流控制器或加熱系統通信,如熟習本技術者所瞭解。
由於實質上消除每個沈積週期的過渡時間,故圖18中圖解的平行轉換操作順序可減少總氣體流。減少的總氣體流可導致反應物或前驅物氣體的較高分壓,以相比於諸如圖17中所圖解的習用製程順序,獲得循環沈積的較高表面吸附率及高反應率。例如,從化學反應動力學,可決定反應率如下:反應率=k x[反應物A濃度]a x[反應物B濃度]b,其 中k、a、b=常數。
反應常數a、b由各反應決定,及k是製程溫度的函數。例如,在N2(g)+3 H2(g)→2 NH3(g)的情況中,反應率為k[N2]a x[H2]b(a=1,b=3,k是以阿瑞尼士方程式(Arrhenius equation)決定的溫度相依常數)。當反應物濃度增加時,反應率可顯著增加。此外,從langmuir等溫線,可決定吸附率如下:吸附率(θ)=(αP)/(1+αP),其中α:常數,P:吸附材料的分壓。
藉由增加反應物或前驅物氣體的分壓,亦可增加吸附率。因此,在一些具體實施例中,平行轉換操作可產生勝於習用操作的優點,包括:減少或消除不同反應物氣體供應之間的過渡時間、最小化反應器中每週期的總氣體流、及增加前驅物或反應物氣體的分壓以增加前驅物表面吸附及反應率、使用兩個或兩個以上反應物氣體、及/或減少或消除在過渡期間的壓力改變以改良製程可控制性及製程穩定性。
如果反應物氣體由於其化學反應性而不應在反應器中混合,則可供應惰性氣體以分開反應物氣體,如圖20所示。圖20根據一具體實施例圖解使用平行轉換操作之四個反應器的製程順序,其中惰性氣體X、Y的流動在各反應器中分開反應物A及反應物B。同時不中斷地將反應物A依序供應至反應器1→4→3→2,將反應物B依序供應至反應器3→2→1→4,將惰性氣體X依序供應至反應器2→1→4→3,及將惰性氣體Y依序供應至反應器4→3→2→1。
還有,可結合前驅物供應獨立控制周圍氣體,如圖21所示。圖21根據一具體實施例圖解使用平行轉換操作之兩個反應器之一者的製程順序,其中根據一具體實施例,當供應諸如四 異丙醇鈦(TTIP)的前驅物時,亦供應反應物氣體B,諸如NH3,以改良TTIP的吸附,及當未供應前驅物時,供應反應物氣體A,諸如O2,以與ALD中的TTIP反應。第二反應器的製程順序以一個供應單位進行轉換,其中當在第一反應器中供應前驅物時,在第二反應器中不供應前驅物,而當在第一反應器中不供應前驅物,在第二反應器中供應前驅物。上文中,供應前驅物的主要管線能夠不中斷地以相同總流率切換惰性氣體的流動及前驅物的流動或切換惰性氣體的流動及前驅物與惰性氣體之混合物的流動,致使反應器的流出率可實質上保持恆定。
因此,在一些具體實施例中,n個氣體之至少一者是惰性氣體、反應物氣體、或其混合物,及用於n個氣體之至少一者的主要氣體管線能夠不中斷地以相同總流率切換惰性氣體的流動及反應物氣體的流動或切換惰性氣體的流動及混合物氣體的流動。例如,切換惰性氣體的流動及前驅物與惰性氣體之混合物的流動可如顯示切換流動控制系統之圖5中的(II)所示完成,其中前驅物是液體並在儲槽51中蒸發。切換惰性氣體的流動及前驅物氣體的流動可如圖16所示完成。圖16根據一具體實施例圖解切換惰性氣體的流動及前驅物氣體的流動的示意圖。在圖16的(a)中,閥V1(I)及V2(P)關閉,及閥V1(P)及V2(I)開啟,致使前驅物氣體經由閥V1(P)流動至通風口,及惰性氣體經由閥V2(I)流動至反應器。在圖16的(b)中,藉由同時關閉閥V1(P)及V2(I)及開啟閥V1(I)及V2(P),馬上將前驅物氣體流動引向反應器,及馬上將惰性氣體流動引向通風口,而未實質改變流率。例如,可將通風口設在排氣管下游。
當使用如圖5及16所示的切換流動控制系統時,可修改圖18所示製程順序,以在各反應物氣體A脈衝及各反應物氣體B 脈衝之間包括清除,如圖19所示。
在一些具體實施例中,n為二,主要氣體管線1及2各以相同流率供應惰性氣體、反應物氣體、或其混合物,及氣體埠1及2分別連接至作為多個反應器的兩個反應器。圖13A是根據一具體實施例圖解使用兩個反應器之PEALD之平行轉換操作的示意圖,其中由於提供於分支氣體管線B1-2中的閥V1-2關閉,故來自主要氣體管線M1(前驅物/惰性氣體切換管線)的氣體經由分支氣體管線B1-1透過閥V1-1流動至反應室RC1,及還有,由於提供於分支氣體管線B2-1中的閥V2-1關閉,故來自主要氣體管線M2(前驅物/惰性氣體切換管線)的氣體經由分支氣體管線B2-2透過閥V2-2流動至反應室RC2。圖13B是根據一具體實施例圖解在改變氣體後之PEALD之平行轉換操作的示意圖,其中由於提供於分支氣體管線B1-1中的閥V1-1關閉,故來自主要氣體管線M1(前驅物/惰性氣體切換管線)的氣體經由分支氣體管線B1-2透過閥V1-2流動至反應室RC2,及還有,由於提供於分支氣體管線B2-2中的閥V2-2關閉,故來自主要氣體管線M2(前驅物/惰性氣體切換管線)的氣體經由分支氣體管線B2-1透過閥V2-1流動至反應室RC1。上述各閥之開啟狀態及關閉狀態的切換同時操作及同步化,以減少或消除過渡時間。此切換構成在反應室RC1及RC2之間的交替處理。由於使用交替處理,故RF產生器可為反應器RC1及RC2所共用。此外,由於各反應器的流出率實質上相同,故壓力控制閥6及排氣管7可為反應器RC1及RC2所共用。此外,由於主要氣體管線M1及M2屬於前驅物/惰性氣體切換類型,故不用改變流率,可在兩個前驅物氣體的流動之間進行清除。
在一些具體實施例中,提供共同氣體管線,其分支成分別連接至多個反應器的氣體管線,其中透過共同氣體管線同 時連續供應共同氣體至多個反應器。在圖13A及13B中,安裝共同氣體管線C1以連續供應例如反應物氣體至兩個反應室RC1及RC2。在一些具體實施例中,在氣體1至n處於非激發態時,共同氣體對其不具反應性。
在一些具體實施例中,如圖25至28所示控制各閥的開啟狀態及關閉狀態,以達成使用液體前驅物的平行轉換操作。圖25至28根據一具體實施例是圖解使用液體前驅物以兩個反應器進行PEALD之平行轉換操作的示意圖。圖25至28代表分別對應於以下步驟的步驟:反應器RC1之供給、清除、反應器RC2之供給、及清除。在各圖式中,閥102提供於連接至儲存液體前驅物之儲槽T1之入口的管線中;閥103提供於連接至儲槽T1之出口的管線中;閥101提供於繞過儲槽T1的管線中;閥110提供於連接至儲存另一液體前驅物之儲槽T2之入口的管線中;閥109提供於連接至儲槽T2之出口的管線中;閥108提供於繞過儲槽T2的管線中;閥104提供於儲槽T1的出口及反應器RC1的入口之間的管線中;閥105提供於儲槽T1的出口及反應器RC2的入口之間的管線中;閥107提供於儲槽T2的出口及反應器RC2的入口之間的管線中;閥106提供於儲槽T2的出口及反應器RC1的入口之間的管線中;惰性氣體以恆定流率經由共用管線C1連續供應至反應器RC1及RC2。在主要氣體管線M1及M2中,以恆定流率連續供應氣體(亦執行大量流動控制(未顯示)以設定在給定恆定值下的流動)。因此,即使在執行脈動流動以切換對應的分支氣體管線時,反應器RC1及RC2的流入率及反應器RC1及RC2的流出率永遠保持恆定。在一具體實施例中,閥的開啟狀態及關閉狀態如以下表2所示。
表2
根據上述具體實施例及其他揭示的具體實施例,無論將前驅物供應至其中與否,各反應器的壓力實質上不變。此外,在針對特定前驅物切換反應器時,各反應器的壓力實質上不變。因此,排氣管可為反應器所共用。然而,在一些具體實施例中,如果有三個或三個以上使用平行轉換操作的反應器,由於排氣管容量,排氣管不可為所有三個或三個以上反應器所共用,但可為一些反應器所共用。此外,在反應器之間的壓力差永遠實質上為零,及因此,可有效抑制不想要及非預計的材料氣體透過排氣管線流動至反應器,藉此精確供應材料氣體至目標反應器。
此外,在上述實例中,前驅物是液體及在供應至氣體埠之前蒸發;然而,前驅物可為無蒸發作用的氣體狀態,及可 如圖16所示完成切換反應物及前驅物。
在一些具體實施例中,n為三,主要氣體管線1、2、及3各以相同流率供應惰性氣體、反應物氣體、或其混合物,及氣體埠1、2、及3分別連接至作為多個反應器的三個反應器。在一些具體實施例中,n為三,主要氣體管線1、2、及3各以相同流率供應惰性氣體、反應物氣體、或其混合物,及氣體埠1、2、及3分別連接至作為多個反應器的兩個反應器及一個通風口。在一些具體實施例中,n為三,主要氣體管線1以相同流率供應惰性氣體、反應物氣體、或其混合物,主要氣體管線2及3各供應惰性氣體,及氣體埠1、2、及3分別連接至作為多個反應器的三個反應器。在一些具體實施例中,n個氣體為連續反應物氣體。圖14A至14D是根據一些具體實施例圖解平行轉換操作之修改的示意圖。
在圖14A中,有兩個反應室RC1、RC2,及兩個前驅物/惰性氣體管線P1、P2。以下表3所示反應室RC1及RC2的製程順序可用作實例(P1:前驅物1;P2:前驅物2;RF充電:施加RF功率;IA:惰性氣體;管線1:前驅物/惰性氣體切換類型的主要氣體管線1;管線2:前驅物/惰性氣體切換類型的主要氣體管線2)。
取決於製程,可將P1供應、P2供應、及RF充電的次序改變為P1供應、RF充電、P2供應、及RF充電。此外,P1週期與P2週期的比率不必為1:1。
如果僅使用一個前驅物代替上文中的兩個前驅物,則表4及5中所示反應室RC1及RC2的製程順序可用作實例:
在上文中,只要RF充電不在反應室RC1及RC2之間重疊,可修改步驟持續期間、時間延遲、週期數等。
在圖14B中,有三個反應室RC1、RC2、RC3,及三個前驅物/惰性氣體管線P1、P2、P3。以下表6所示反應室RC1、RC2及RC3的製程順序可用作實例。
取決於製程,可在各前驅物供應之後改變RF充電的時序,且亦只要RF充電不在反應室RC1、RC2及RC3之間重疊,可修改步驟持續期間、時間延遲、週期數等。
在圖14C中,有兩個反應室RC1、RC2,及如圖14B的三個前驅物/惰性氣體管線P1、P2、P3。然而,代替反應室RC3,使用通風口。例如,通風口不連接至反應器並設在排氣管下游,致使通風口的流動不影響反應器中的壓力並可排放。反應室RC1及RC2的製程順序可類似於圖14B中的製程順序。
圖30根據一具體實施例是圖解平行轉換操作系統的示意圖,其中除了反應室RC1及RC2還提供有通風口。通風口設在排氣管7下游且不連接至反應器。有三個切換流動控制類型的主要氣體管線M1、M2、及M3,及一個共同管線C1。來自主要氣體管線M1的氣體藉 由分別控制閥V1-1、V1-2、及V1-V,流動至反應室RC1及RC2及通風口之一者。來自主要氣體管線M2的氣體藉由分別控制閥V2-1、V2-2、及V2-V,流動至反應器RC1及RC2及通風口之一者。來自主要氣體管線M3的氣體藉由分別控制閥V3-1、V3-2、及V3-V,流動至反應室RC1及RC2及通風口之一者。同時控制閥及使其同步化,致使反應室RC1及RC2及通風口永遠不中斷地接收依序改變的不同氣體。由於提供通風口,可對各反應器設定不同的週期數。在通過反應室RC1及RC2之氣體流的傳導性及通過通風口之氣體的傳導性之間存在差異的情況中,如果大量流動控制(MFC)無法補償差異,則流率可波動。在一些具體實施例中,為了抑制波動,可使用針閥或類似物調整通過通風口之氣體的傳導性,以對應於通過反應室RC1及RC2之氣體的傳導性。
在圖14D中,有三個反應室RC1、RC2、RC3、一個前驅物/惰性氣體管線P1、及兩個惰性氣體管線IA1、IA2。以下表7所示反應室RC1、RC2及RC3的製程順序可用作實例。
取決於製程,只要RF充電不在反應室RC1、RC2及RC3之間重疊,可修改步驟持續期間、時間延遲、週期數等。
在上文中,使用PEALD製程作為實例。然而,可以類似於PEALD的方式執行諸如熱或自由基增強ALD的其他循環沈積,如圖15所示,圖中根據一具體實施例顯示反應物氣體1及反應物氣體2的製程順序。省略前驅物的製程順序,但其類似於圖21所示的製程順序。一些具體實施的特徵為至少兩個特徵:可共用氣體供應管線及排氣管線,及可彼此獨立地設定各反應器的沈積週期。例如,圖29根據一具體實施例圖解使用具有不同週期之兩個反應器之平行轉換操作的製程順序。在圖29的(a)中,兩個反應室RC1及RC2運行四個週期,及在圖29的(b)中,反應器RC1運行四個週期,而反應室RC2運行兩個週期。在圖中,一個單位代表0.1秒。在反應室RC1及RC2中不執行週期時,僅惰性氣體以與清除步驟相同的方式從中流動。如上文說明,在PEALD製程中,RF產生器可在一些具體實施例中共用。然而,當RF充電的持續期間很長,及/或當氣體管線數量及反應器數量很高時,較佳對各反應器安裝RF產生器以免降低的製程產量。
在一些具體實施例中,氣體1至n在處於非激發態時彼此不具反應性。在一些具體實施例中,在使用切換流動控制系統時,可在圖13A所示主要氣體管線M1、主要氣體管線M2、及主要氣體管線C1中供應的氣體類型例如顯示於以下表8中:
如上文說明,在一些具體實施例中,提供另一主要氣體管線,其分支成分別連接至多個反應器的分支氣體管線,各分支氣體管線設有用於關閉及開啟分支氣體管線的閥,其中另一反應物氣體藉由以下方式依序供應至多個反應器:(a)連續供應另一反應物氣體至其他主要氣體管線;(b)在步驟(a)期間,經由連接至多個反應器之一者的分支氣體管線供應另一反應物氣體至多個反應器之一者,其中分支氣體管線的閥處於開啟狀態,及其他分支氣體管線的閥處於關閉狀態;(c)在步驟(a)期間及在步驟(b)之後,同時關閉開啟狀態之分支氣體管線的閥及開啟關閉狀態之分支氣 體管線之另一者的閥,藉此供應另一反應物氣體至連接至另一分支氣體管線之多個反應器的另一者;及(d)依序重複步驟(c)。
在一些具體實施例中,另一主要氣體管線供應惰性氣體、另一反應物氣體、或其混合物,及另一主要氣體管線能夠以相同總流率不中斷地切換惰性氣體及另一反應物氣體或切換惰性氣體及混合物氣體。
圖24根據一具體實施例圖解使用兩個反應器之PEALD之平行轉換操作的製程順序。如果透過切換流動控制類型的主要氣體管線供應前驅物,則各反應器的流出率實質上恆定及相同,及可使用共同壓力控制閥及共同排氣管。如果透過開-閉流動控制類型的主要氣體管線供應前驅物,則各反應器的流出率波動及波動的時序在反應器之間不同。然而,可有效獲得可顯著減少或消除反應物氣體之過渡時間的優點。此具體實施例可適用於使用NH3之SiO膜的PEALD。例如,已知NH3氣體不僅促進SiO ALD前驅物吸附,且亦抑制在電漿點火期間的氧化。為了最大化生長率增益,需要在NH3大氣中進行前驅物供應,及需要在無NH3大氣中進行電漿點火。在以上具體實施例中,可減少製程時間損失,及可增加製程增益。
所揭示具體實施例包括但不限於一種至少兩個反應器平行轉換操作的方法,其中提供編號1至n的n個主要氣體管線及編號1至n的n個氣體埠,其中n是大於一的整數,各主要氣體管線分支成分別連接至該n個氣體埠之編號1至n的n個分支氣體管線,各分支氣體管線設有用於關閉及開啟該分支氣體管線的一閥,各反應器連接至一不同的氣體埠,該方法包含:(i)同時將編號1至n的n個氣體分別連續供應至該n個主要氣體管線; (ii)在步驟(i)期間,經由分別對應於主要氣體管線1至n的分支氣體管線1至n分別將氣體1至n供應至氣體埠1至n,其中分別對應於主要氣體管線1至n之分支氣體管線1至n的該等閥處於一開啟狀態,及其他分支氣體管線的該等閥處於一關閉狀態;(iii)在步驟(i)期間及在步驟(ii)之後,同時關閉在該開啟狀態之分支氣體管線1至n的該閥及開啟在該關閉狀態中分別對應於下一個編號之主要氣體管線之分支氣體管線1至n的該等閥,藉此分別將下一個編號的氣體供應至氣體埠1至n,其中在數字n之後的下一個數字回到數字1;及(iv)重複步驟(iii),藉此藉由按轉換順序將氣體供應至該等相應反應器,平行地操作該等反應器。
在上述具體實施例中,「轉換順序」包括具有反應器之間之不同週期的順序。此外,在上述具體實施例中,可應用本文所明確、含蓄、必定、或固有揭示的任何修改。
在另一方面,一些具體實施例提供一種半導體處理設備包含:(a)多個反應器;(b)編號1至n的n個主要氣體管線及編號1至n的n個氣體埠,其中n是大於一的整數,各主要氣體管線分支成分別連接至該n個氣體埠之編號1至n的n個分支氣體管線,各分支氣體管線設有用於關閉及開啟該分支氣體管線的一閥,及各反應器連接至一不同的氣體埠,其中各主要氣體管線之分支氣體管線1至n之一者在該主要氣體管線的所有其他分支氣體管線處於一關閉狀態時處於一開啟狀態;及(c)一共同排氣管,其由該等反應器共用,其中該等分支氣體管線的該等閥經程式化以:(i)以一恆定流率分別經由該n個主要氣體管線同時將編號1至n的n個氣體連續供應至該n個氣體埠,其中各主要氣體管線之該等分支氣體管線之一者處於一開啟狀態,致使該等相應反應器的流入率相等,及該等相應反應器的流出率相等;及(ii)在維持該等相應反應器的流入率及流出率時,同時關閉各主要氣體管線之該等分支氣體管 線在該開啟狀態中之一者及開啟各主要氣體管線之該等分支氣體管線之另一者,致使平行地將不同編號的氣體連續供應至該等相應反應器而不改變該等反應器的流入率及流出率。
熟習本技術者可隨時修改上述設備以執行在本揭示內容中基於例行工作所揭示的任何方法。
熟習本技術者應瞭解,在不脫離本發明之精神下,可進行許多及各種修改。因此,應清楚瞭解,本發明的形式僅為說明性且無意用來限制本發明的範疇。
5‧‧‧RF產生器
6‧‧‧壓力控制閥
7‧‧‧排氣管線
B1-1、B1-2、B2-1、B2-2‧‧‧分支氣體管線
C1‧‧‧共同氣體管線
M1、M2‧‧‧主要氣體管線
P1、P2‧‧‧氣體埠
RC1、RC2‧‧‧反應室
V1-1、V1-2、V2-1、V2-2‧‧‧閥

Claims (19)

  1. 一種其中放置處理用基板之多個反應器平行轉換操作的方法,其中提供編號1至n的n個主要氣體管線及每個主要氣體管線之編號1至n的n個氣體埠,其中n是大於一的整數,各主要氣體管線分支成分別連接至該n個氣體埠之編號1至n的n個分支氣體管線,各分支氣體管線設有用於關閉及開啟該分支氣體管線的一閥,及各反應器連接至一不同的氣體埠,其中各主要氣體管線之分支氣體管線1至n之一者在該主要氣體管線的所有其他分支氣體管線處於一關閉狀態時處於一開啟狀態,該方法包含:(i)以一恆定流率分別經由該n個主要氣體管線同時將編號1至n的n個氣體連續供應至該n個氣體埠,其中各主要氣體管線之該等分支氣體管線之一者處於一開啟狀態,該等分支氣體管線之該一者係致使該等相應反應器的流入率相等,及該等相應反應器的流出率相等;及(ii)在維持該等相應反應器的流入率及流出率時,同時關閉各主要氣體管線之該等分支氣體管線在該開啟狀態中之一者及開啟各主要氣體管線之該等分支氣體管線之另一者,致使依序地將每個編號的氣體連續供應至不同反應器而不改變該等反應器的流入率及流出率,其中透過處理該等基板該閥將該所有編號的氣體從一個反應器同時切換到另一個; 更包含在該步驟(i)期間以相同總流率不中斷地切換構成流經該分支氣體管線的該主要氣體管線上游的該編號的氣體的至少一氣體,其中該氣體的切換係同步於該編號的氣體的切換。
  2. 如申請專利範圍第1項所述之方法,另外包含依序重複步驟(ii)。
  3. 如申請專利範圍第1項所述之方法,其中在步驟(i)中,在開啟狀態中的該等分支氣體管線是分別對應於主要氣體管線1至n的分支氣體管線1至n,及在步驟(ii)中,要開啟的該等分支氣體管線是分別對應於主要氣體管線1至n之下一個編號的分支氣體管線,其中數字n之後的下一個數字回到數字1。
  4. 如申請專利範圍第1項所述之方法,其中該n個氣體之至少一者係流經該主要氣體管線的一惰性氣體、一反應物氣體、或其一混合物,其中在該步驟(i)期間該流經該主要氣體管線的該n個氣體之至少一者以相同總流率不中斷地切換於該惰性氣體及該反應物氣體之間或該惰性氣體及該混合物氣體之間。
  5. 如申請專利範圍第4項所述之方法,其中n係二,主要氣體管線1及2各以相同流率供應一惰性氣體、一反應物氣體或其一混合物,及氣體埠1及2分別連接至作為該多個反應器的兩個反應器。
  6. 如申請專利範圍第4項所述之方法,其中n係三,主要氣體管線1、2、及3各以相同流率供應一惰性氣體、一反應物氣體、或其一混合物,及氣體埠1、2、及3分別連接至作為該多個反應器的三個反應器。
  7. 如申請專利範圍第4項所述之方法,其中n係三,主要氣體管線1、2、及3各以相同流率供應一惰性氣體、一反應物氣體、或其一混合物,及氣體埠1、2、及3分別連接至作為該多個反應器的兩個反應器及一個通風口。
  8. 如申請專利範圍第4項所述之方法,其中n係三,主要氣體管線1以相同流率供應一惰性氣體、一反應物氣體、或其一混合物,主要氣體管線2及3各供應一惰性氣體,及氣體埠1、2、及3分別連接至作為該多個反應器的三個反應器。
  9. 如申請專利範圍第4項所述之方法,其中至少一個主要氣體管線供應一惰性氣體或一反應物氣體與一惰性氣體,該反應物氣體係一液體材料的一蒸發氣體。
  10. 如申請專利範圍第4項所述之方法,其中該至少一個主要氣體管線供應一惰性氣體或一反應物氣體。
  11. 如申請專利範圍第1項所述之方法,其中提供一共同氣體管線,其分支成分別連接至該多個反應器的氣體管線,其中透過該共同氣體管線同時將一共同氣體連續供應至該多個反應器。
  12. 如申請專利範圍第11項所述之方法,其中氣體1至n處於一非激發態時,該共同氣體對其不具反應性。
  13. 如申請專利範圍第1項所述之方法,其中氣體1至n在處於一非激發態時彼此不具反應性。
  14. 如申請專利範圍第1項所述之方法,其中提供來自該多個反應器的排氣管合併於其中的一共同排氣管。
  15. 如申請專利範圍第1項所述之方法,其中該多個反應器的操作係循環CVD或ALD。
  16. 如申請專利範圍第1項所述之方法,其中該n個氣體為連續反應物氣體。
  17. 如申請專利範圍第16項所述之方法,其中提供另一主要氣體管線,其分支成分別連接至該多個反應器的分支氣體管線,各分支氣體管線設有用於關閉及開啟該分支氣體管線的一閥,其中藉由以下方式將另一反應物氣體依序供應至該多個反應器:(a)將另一反應物氣體連續供應至其他主要氣體管線;(b)在步驟(a)期間,經由與該多個反應器之一者連接的該分支氣體管線將另一反應物氣體供應至該多個反應器之一者,其中該等分支氣體管線的該等閥處於一開啟狀態,及其他分支氣體管線的該等閥處於一關閉狀態;(c)在步驟(a)期間及在步驟(b)之後,同時關閉在該開啟狀態之該等分支氣體管線的該等閥及開啟在 該關閉狀態之該等分支氣體管線之另一者的該閥,藉此將另一反應物氣體供應至連接至另一分支氣體管線之該多個反應器之另一者;及(d)依序重複步驟(c)。
  18. 如申請專利範圍第17項所述之方法,其中該另一主要氣體管線所所供應其他氣體為一惰性氣體、另一反應物氣體、或其一混合物,及在該步驟(i)期間流經該另一主要氣體管線的該其他氣體以相同總流率不中斷地切換於該惰性氣體及該反應物氣體之間或切換於該惰性氣體及該混合物氣體之間。
  19. 如申請專利範圍第1項所述之方法,更包含在維持該等相應反應器的流入率及流出率時以一預定的次序施加RF功率到該等相應反應器。
TW102127065A 2012-08-02 2013-07-29 多個反應器平行轉換操作的方法 TWI597382B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US13/565,564 US8911826B2 (en) 2012-08-02 2012-08-02 Method of parallel shift operation of multiple reactors

Publications (2)

Publication Number Publication Date
TW201416484A TW201416484A (zh) 2014-05-01
TWI597382B true TWI597382B (zh) 2017-09-01

Family

ID=50024222

Family Applications (1)

Application Number Title Priority Date Filing Date
TW102127065A TWI597382B (zh) 2012-08-02 2013-07-29 多個反應器平行轉換操作的方法

Country Status (4)

Country Link
US (1) US8911826B2 (zh)
JP (1) JP6209385B2 (zh)
KR (1) KR102072248B1 (zh)
TW (1) TWI597382B (zh)

Families Citing this family (332)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
JP6093552B2 (ja) * 2012-11-08 2017-03-08 日精エー・エス・ビー機械株式会社 樹脂容器用コーティング装置
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9663857B2 (en) * 2014-04-07 2017-05-30 Asm Ip Holding B.V. Method for stabilizing reaction chamber pressure
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
JP6499835B2 (ja) * 2014-07-24 2019-04-10 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
JP6370630B2 (ja) * 2014-07-31 2018-08-08 株式会社ニューフレアテクノロジー 気相成長装置および気相成長方法
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10460949B2 (en) * 2014-10-20 2019-10-29 Tokyo Electron Limited Substrate processing apparatus, substrate processing method and storage medium
JP6545054B2 (ja) * 2014-10-20 2019-07-17 東京エレクトロン株式会社 基板処理装置および基板処理方法
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
JP5947435B1 (ja) * 2015-08-27 2016-07-06 株式会社日立国際電気 基板処理装置、半導体装置の製造方法、プログラムおよび記録媒体
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10927459B2 (en) * 2017-10-16 2021-02-23 Asm Ip Holding B.V. Systems and methods for atomic layer deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
CN109778143B (zh) * 2017-11-14 2021-05-07 北京北方华创微电子装备有限公司 一种沉积系统及其气体传输方法
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH01296613A (ja) * 1988-05-25 1989-11-30 Nec Corp 3−v族化合物半導体の気相成長方法
JPH0429313A (ja) * 1990-05-24 1992-01-31 Fujitsu Ltd 半導体結晶の製造装置
US6342277B1 (en) * 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
JP4174941B2 (ja) * 2000-02-03 2008-11-05 株式会社デンソー 薄膜製造方法及び薄膜製造装置
US6613656B2 (en) * 2001-02-13 2003-09-02 Micron Technology, Inc. Sequential pulse deposition
US6916398B2 (en) * 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
AU2002343583A1 (en) * 2001-10-29 2003-05-12 Genus, Inc. Chemical vapor deposition system
KR100497748B1 (ko) * 2002-09-17 2005-06-29 주식회사 무한 반도체소자 제조용 원자층 증착 장치 및 원자층 증착 방법
US7708859B2 (en) * 2004-04-30 2010-05-04 Lam Research Corporation Gas distribution system having fast gas switching capabilities
US20060137609A1 (en) * 2004-09-13 2006-06-29 Puchacz Jerzy P Multi-single wafer processing apparatus
JP2008172083A (ja) * 2007-01-12 2008-07-24 Sharp Corp 気相成長装置および気相成長方法
JP2011181681A (ja) * 2010-03-01 2011-09-15 Mitsui Eng & Shipbuild Co Ltd 原子層堆積方法及び原子層堆積装置

Also Published As

Publication number Publication date
US8911826B2 (en) 2014-12-16
JP2014033203A (ja) 2014-02-20
KR102072248B1 (ko) 2020-02-03
TW201416484A (zh) 2014-05-01
KR20140018793A (ko) 2014-02-13
US20140033978A1 (en) 2014-02-06
JP6209385B2 (ja) 2017-10-04

Similar Documents

Publication Publication Date Title
TWI597382B (zh) 多個反應器平行轉換操作的方法
US11377732B2 (en) Reactant vaporizer and related systems and methods
TWI670390B (zh) 執行氣體系統共享多反應器之一致性處理的方法
TWI409897B (zh) A substrate processing apparatus, and a method of manufacturing the semiconductor device
TWI827448B (zh) 原子層沉積裝置
KR20190128562A (ko) 박막 형성 방법 및 기판 처리 장치
WO2010123666A2 (en) Method and apparatus for growing a thin film onto a substrate
KR101787825B1 (ko) 성막 장치 및 성막 방법
US9410244B2 (en) Semiconductor processing apparatus including a plurality of reactors, and method for providing the same with process gas
JP6319171B2 (ja) 成膜装置
JP2017085088A (ja) 原子層堆積のための動的前駆体注入
JP4399517B2 (ja) 成膜装置と成膜方法
CN110475906B (zh) 均一沉积
JP4356943B2 (ja) 基板処理装置及び半導体装置の製造方法
CN109778143B (zh) 一种沉积系统及其气体传输方法
KR102180282B1 (ko) 박막 증착용 가스공급장치 및 그 제어방법
JP4816683B2 (ja) 薄膜の形成方法
JP2011060903A (ja) 基板処理装置