JP6324739B2 - 半導体ウェーハの温度制御装置、及び半導体ウェーハの温度制御方法 - Google Patents

半導体ウェーハの温度制御装置、及び半導体ウェーハの温度制御方法 Download PDF

Info

Publication number
JP6324739B2
JP6324739B2 JP2014012293A JP2014012293A JP6324739B2 JP 6324739 B2 JP6324739 B2 JP 6324739B2 JP 2014012293 A JP2014012293 A JP 2014012293A JP 2014012293 A JP2014012293 A JP 2014012293A JP 6324739 B2 JP6324739 B2 JP 6324739B2
Authority
JP
Japan
Prior art keywords
temperature
master
control
target value
slave
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2014012293A
Other languages
English (en)
Other versions
JP2015141443A (ja
Inventor
三村 和弘
和弘 三村
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Kelk Ltd
Original Assignee
Kelk Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Kelk Ltd filed Critical Kelk Ltd
Priority to JP2014012293A priority Critical patent/JP6324739B2/ja
Priority to US14/593,081 priority patent/US9798308B2/en
Publication of JP2015141443A publication Critical patent/JP2015141443A/ja
Application granted granted Critical
Publication of JP6324739B2 publication Critical patent/JP6324739B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B15/00Systems controlled by a computer
    • G05B15/02Systems controlled by a computer electric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05DSYSTEMS FOR CONTROLLING OR REGULATING NON-ELECTRIC VARIABLES
    • G05D23/00Control of temperature
    • G05D23/19Control of temperature characterised by the use of electric means
    • G05D23/1927Control of temperature characterised by the use of electric means using a plurality of sensors
    • G05D23/193Control of temperature characterised by the use of electric means using a plurality of sensors sensing the temperaure in different places in thermal relationship with one or more spaces
    • G05D23/1932Control of temperature characterised by the use of electric means using a plurality of sensors sensing the temperaure in different places in thermal relationship with one or more spaces to control the temperature of a plurality of spaces
    • G05D23/1934Control of temperature characterised by the use of electric means using a plurality of sensors sensing the temperaure in different places in thermal relationship with one or more spaces to control the temperature of a plurality of spaces each space being provided with one sensor acting on one or more control means

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Automation & Control Theory (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Remote Sensing (AREA)
  • General Engineering & Computer Science (AREA)
  • Control Of Temperature (AREA)
  • Feedback Control In General (AREA)

Description

本発明は、複数の温度調整手段により半導体ウェーハの温度を調整するために、前記複数の温度調整手段の温度制御を行う半導体ウェーハの温度制御装置、及び半導体ウェーハの温度制御方法に関する。
シリコンウェーハ等の半導体ウェーハに処理を施す工程には、シリコンウェーハの温度を目標温度に制御するとともに、シリコンウェーハの面内の温度分布を所望の分布に制御しなければならない工程がある。
このため、それぞれ加熱手段に対して独立した制御ループを設け、複数の温度調整手段によって半導体ウェーハを同時に加熱する方法が知られている。
このような半導体ウェーハの温度制御では、それぞれの制御量を基準となる制御量に対して一定の偏差を維持しながら、目標値に到達させるとともに、外乱下においても目標値を維持することが必要であり、従来、マスタースレーブ制御法や傾斜温度制御法が知られている(例えば、特許文献1、特許文献2参照)。
マスタースレーブ制御法は複数の制御ループの内の一つをマスターとして制御し、残りのループはマスターの制御量に追従するようにマスターの制御量を目標値としてスレーブの制御量との偏差を算出して制御する方法である。
また、傾斜温度制御法は、各ループの制御量の平均値をとって代表値とし、ループ間の制御量の差をとって傾斜値としたものを新たな制御量とし、代表値を目標値に追従させながら傾斜値を一定に維持するものである。制御量の変換は変換行列で行い、ループ間の干渉を低減するために非干渉化行列、制御量を元の次元に戻す操作量変換行列を用いている。
特開平7−200076号公報 特開2000−187514号公報
しかしながら、特許文献1および2に記載の技術は、目標値応答において、アクチュエータである温度調整手段の飽和が発生した場合、それ以上の補償動作が不可能となってしまうので、制御性が悪化するという問題がある。
本発明の目的は、ループ間の制御量の追従性、均一性を確保した上で、温度調整手段が出し得る最大に近い速度で目標値応答を実現することのできる半導体ウェーハの温度制御装置、及び半導体ウェーハの温度制御方法を提供することにある。
本発明の第1の態様は、
複数の温度調整手段により半導体ウェーハの温度を調整するために、前記複数の温度調整手段の温度制御を行う半導体ウェーハの温度制御装置であって、
基準となる温度調整手段の温度制御を行うマスターループと、
このマスターループに追従するように他の温度調整手段の温度制御を行う少なくとも1以上のスレーブループと、
前記マスターループの温度調整手段で温度調整された半導体ウェーハの温度を検出するマスター温度検出手段と、
前記スレーブループの温度調整手段で温度調整された半導体ウェーハの温度を検出するスレーブ温度検出手段と、
前記マスター温度検出手段で検出された温度、及び、前記スレーブ温度検出手段で検出された温度に基づいて、前記マスターループの温度調整手段に与える操作量、及び、前記スレーブループの温度調整手段に与える操作量を演算する操作量演算手段とを備え、
前記操作量演算手段は、
前記マスターループの温度調整手段の制御目標値を、前記半導体ウェーハの目標温度として設定された制御目標値、及び前記マスター温度検出手段で検出された温度に等しい制御目標値のいずれかに設定する目標値設定部と、
前記目標値設定部で設定された制御目標値、及び、前記マスター温度検出手段で検出された温度の偏差を算出するマスター偏差算出部と、
前記マスター温度検出手段で検出された温度、及び、前記スレーブ温度検出手段で検出された温度の偏差を算出するスレーブ偏差算出部と、
前記マスター偏差算出部で算出された偏差を入力して、前記マスターループの温度調整手段の操作量を演算するマスター制御演算部と、
前記スレーブ偏差算出部で算出された偏差を入力して、前記スレーブループの温度調整手段の操作量を演算するスレーブ制御演算部と、
前記マスター制御演算部で演算された操作量、及び、前記スレーブ制御演算部で演算された操作量を、マスターループ及びスレーブループ間の干渉が小さくなるように変換し、それぞれの温度調整手段に出力する操作量変換部と、
前記操作量変換部の出力に対して、所定のフィードフォワード量を加算するフィードフォワード量加算部とを備え、
前記目標値設定部は、前記マスターループの温度調整手段の制御目標値を、前記半導体ウェーハの目標温度として設定された制御目標値よりも手前の副目標値に達するまでは、前記マスター温度検出手段で検出された温度を制御目標値として設定し、前記副目標値に達した以後は、前記半導体ウェーハの目標温度として設定された制御目標値に設定することを特徴とする。
本発明の第2の態様は、第1の態様において、前記副目標値が複数設定されていることを特徴とする。
本発明の第3の態様は、第1の態様又は第2の態様において、前記副目標値に達したか否かの判定は、温度及び時間の少なくともいずれかを基準とすることを特徴とする。
本発明の第4の態様は、
複数の温度調整手段により半導体ウェーハの温度を調整するために、前記複数の温度調整手段の温度制御を行う半導体ウェーハの温度制御方法であって、
前記複数の温度調整手段の温度制御系は、基準となる温度調整手段の温度制御を行うマスターループと、このマスターループに追従するように他の温度調整手段の温度制御を行う少なくとも1以上のスレーブループと、前記マスターループの温度調整手段及び前記スレーブループの温度調整手段への操作量を与える操作量演算手段とを備え、
前記操作量演算手段は、
前記マスターループの制御目標値を、前記温度調整手段により温度調整された半導体ウェーハの温度検出値に設定し、予め設定されたフィードフォワード量により、前記温度調整手段の温度制御を行う手順と、
予め設定された最終的な制御目標値よりも手前の副目標値に達したと判定されたら、前記マスターループの制御目標値を、前記最終的な制御目標値に切り換え、予め設定されたフィードフォワード量によるフィードフォワード制御に加えてフィードバック制御を併用して行う手順とを実施することを特徴とする。
本発明の第1の態様によれば、目標値設定部が、マスターループの温度調整手段の制御目標値を、半導体ウェーハの目標温度として設定された制御目標値よりも手前の副目標値に達するまでは、マスター温度検出手段で検出された温度を制御目標値として設定し、副目標値に達した以後は、半導体ウェーハの目標温度として設定された制御目標値に設定する。
これにより、副目標値に達するまでは、温度検出値を制御目標値としているので、温度検出値のフィードバック制御が相殺され、フィードバック制御が行われない。
従って、温度調整制御開始当初は、フィードフォワード量によりマスターループの温度調整手段が出し得る最大に近い速度で温度調整を行うことができる。
そして、副目標値に達した後は、フィードフォワード制御に加えて通常のフィードバック制御を併用する工程に移行し、速やかに制御目標値に達することができる。
本発明の第2の態様によれば、副目標値を複数設定することにより、フィードフォワード量をより細かく設定できるのでより高精度の温度制御を実現することができる。
本発明の第3の態様によれば、副目標値に達したか否かの判定を、温度及び時間の少なくともいずれかを基準とすることにより、高精度の温度調整制御を実現することができる。
本発明の第4の態様によれば、前述した第1の態様と同様の作用及び効果を享受できる。
本発明の第1実施形態に係る温度調整装置を示すブロック図。 前記実施形態における加熱用ヒータ及び温度センサの配置を表す断面図及び平面図。 前記実施形態における温度調整装置の制御を行うコントローラの構造を表すブロック図。 前記実施形態の作用を説明するための制御量PV及び操作量MVの関係を表すグラフ。 前記実施形態の作用を説明するための制御量PV及び操作量MVの関係を表すグラフ。 前記実施形態の作用を説明するためのフローチャート。 本発明の第2実施形態の作用を説明するための制御量PV及び操作量MVの関係を表すグラフ。 第1実施形態のシミュレーションを行った結果を説明するための制御量PV及び操作量MVの関係を表すグラフ(制御対象に干渉がない場合)。 従来法のシミュレーションを行った結果を説明するための制御量PV及び操作量MVの関係を表すグラフ(制御対象に干渉がない場合)。 従来の傾斜温度制御法のシミュレーションを行った結果を説明するための制御量PV及び操作量MVの関係を表すグラフ(制御対象に干渉がない場合)。 温度調整手段間の干渉を考慮した場合のモデルを説明するための模式図。 第1実施形態のシミュレーションを行った結果を説明するための制御量PV及び操作量MVの関係を表すグラフ(制御対象に干渉がある場合)。 従来法のシミュレーションを行った結果を説明するための制御量PV及び操作量MVの関係を表すグラフ(制御対象に干渉がある場合)。 従来の傾斜温度制御法のシミュレーションを行った結果を説明するための制御量PV及び操作量MVの関係を表すグラフ(制御対象に干渉がある場合)。
以下、本発明の実施形態を図面に基づいて説明する。
[1]温度調整装置1の構成
図1には、本発明の第1実施形態に係る温度調整装置1が示されている。温度調整装置1は、ステージ2上に載置されたシリコンウェーハWの温度を目標温度に制御し、シリコンウェーハWの面内の温度分布を制御するための装置である。この温度調整装置1は、たとえばドライプロセスに使用される。
温度調整装置1は、ステージ2及び加熱用ヒータ3を備えている。尚、本実施形態では、ステージ2を加熱用ヒータ3で加熱する構成であるが、チラー装置や熱電素子によって加熱してもよく、チラー装置や熱電素子の場合、冷却制御を行うこともできる。
ステージ2は、真空チャンバ4内に配置され、ステージ2上には、シリコンウェーハWが載置される。シリコンウェーハWは、静電気によってステージ2上に保持される。尚、ステージ2とシリコンウェーハWとの間にヘリウムガスを流し、ステージ2とシリコンウェーハWとの間の熱伝達の効率を高めるようにしてもよい。
ドライプロセス時には、真空チャンバ4内は真空引きされ、所定の低圧状態に維持される。
ステージ2内には、図2(A)、(B)に示されるように、ステージ2上に載置されたシリコンウェーハWの面内温度分布を調整できるように、複数の加熱用ヒータ3が配置されている。
図2(A)は、ステージ2の断面図であり、ステージ2の上に加熱用ヒータ3が配置され、さらにその上にプレート5が載置される。プレート5内には、温度検出手段としての温度センサ6が設けられている。
図2(B)は、ステージ2の平面図であり、ステージ2は、同心円状に3つのゾーン2A、2B、2Cに分割され、各領域に加熱用ヒータ3が配置される。また、プレート5内の温度センサ6は、加熱用ヒータ3に応じた位置に配置される。
加熱用ヒータ3に通電すると、ステージ2の各ゾーン2A、2B、2Cを個別に加熱することができる。よって、各加熱用ヒータ3への通電を調整し、加熱用ヒータ3を制御することにより、ステージ2上のシリコンウェーハWの面内温度分布を調整することができ、ゾーン2A、2B、2C内のそれぞれの加熱用ヒータ3は、コントローラ24によって制御される。
[2]コントローラ24の構成
コントローラ24は、前述したように、温度センサ6で検出された温度に基づいて、加熱用ヒータ3(マスター側3M、スレーブ側3S)を制御し、図3に示されるブロック図のように機能的に構成されている。
コントローラ24は、図2におけるゾーン2Aを加熱する加熱用ヒータ3Mを制御するマスターループMRと、ゾーン2B及びゾーン2Cを加熱する加熱用ヒータ3Sを制御するスレーブループSRと、加熱用ヒータ3Mの温度を検出するマスター側温度センサ6Mと、加熱用ヒータ3Sの温度を検出するスレーブ側温度センサ6Sと、マスターループMR及びスレーブループSRの操作量を演算する操作量演算手段30とを備える。尚、スレーブループSRは、ゾーン2B、2Cに応じて2つあるが、マスターループMRに追従する構成は同様であるため、図示を省略してある。
また、マスタースレーブ制御系においては、マスター側の制御量に対してスレーブ側の制御量を追従させることにより、温度分布制御を行うことが目的となる。従って、通常、最も応答が遅いループによって制御系の最大応答速度の制約を受けるため、最も応答の遅いループをマスターループMRに設定する。
操作量演算手段30は、マスター側制御目標値SVm、スレーブ側制御目標値SVsに基づいて、操作量MVm、MVsを加熱用ヒータ3M、3Sに与えるものである。
この操作量演算手段30は、目標値設定部31、スイッチ32、マスター偏差算出部33M、スレーブ偏差算出部33S、マスター制御演算部34M、操作量変換部35、マスター側フィードフォワード量加算部36M、スレーブ側フィードフォワード量加算部36S、マスター操作量制限部37M、スレーブ操作量制限部37S、オフセット設定部38、目標値フィルタ39を備える。
目標値設定部31は、マスターループMRの温度センサ6Mの温度検出値PVmを監視し、温度検出値が所定の副目標値X(後述)となったら、スイッチ32を切り換える。スイッチ32は、運転初期状態では、温度センサ6Mからの温度検出値PVmをマスター側制御目標値SVmとして設定され、目標値設定部31は、温度センサ6Mからの温度検出値PVmが所定の副目標値Xになったと判定したら、スイッチ32のポジションを、本来設定した制御目標値側に切り換えマスター側目標値SVmとする。なお、制御目標値SVは目標値フィルタ39を通したSVfでも良い。
また、目標値設定部31は、温度検出値PVmを監視しつつ、マスター側フィードフォワード量加算部36Mに与えるフィードフォワード量FFm、スレーブ側フィードフォワード量加算部36Sに与えるフィードフォワード量FFsを変更する。
マスター偏差算出部33Mは、スイッチ32から入力される制御目標値SVmと、温度センサ6Mの温度検出値PVmとの偏差emを算出し、マスター制御演算部34Mに出力する。
スレーブ偏差算出部33Sは、スレーブ側制御目標値SVsとしてマスター側温度センサ6Mの温度検出値PVmを使用し、スレーブ側の温度センサ6Sの検出値PVsとの偏差esを算出し、スレーブ制御演算部34Sに出力する。またマスターとスレーブ間に一定の温度差を持たせる場合は温度差δをオフセット設定部38に設定する。
マスター制御演算部34Mは、たとえばPID制御器であり、演算結果Umを、操作量変換部35に出力する。
スレーブ制御演算部34Sも同様に、演算結果Usを、操作量変換部35に出力する。
操作量変換部35は、入力されたマスター制御演算部34Mでの演算結果Um、及びスレーブ制御演算部34Sでの演算結果Usを、マスターループMR及びスレーブループSR間の相互干渉が小さくなるように、操作量を変換する部分である。2入力Um、Usから2出力Vm、Vsへの変換は、制御対象が伝達関数行列P(s)であらわされるとき、例えば定常ゲイン行列Gp=P(0)およびマスタースレーブの操作量変換行列Gmによって求められる変換行列Hで行われ、マスター操作量Vm及びスレーブ操作量Vsを出力する。操作量の変換行列Hは、伝達関数行列P(s)が2行2列の場合、下記式(1)によって求められる。
Figure 0006324739
マスター側フィードフォワード量加算部36Mは、操作量変換部35のマスター側出力Vmにフィードフォワード量FFmを加算する部分であり、スレーブ側フィードフォワード量加算部36Sスレーブ側スレーブ側出力Vsにフィードフォワード量FFsを加算する部分である。
マスター操作量制限部37Mは、加熱用ヒータ3Mが最小出力及び最大出力を超えないように、操作量を制限させる部分であり、操作量が飽和したと判定されたら、その旨の判定信号awmをマスター制御演算部34Mに出力する。マスター操作量制限部37Mの出力は、加熱用ヒータ3Mに操作量MVmとして出力される。
同様に、スレーブ操作量制限部37Sは、加熱用ヒータ3Sが最小出力及び最大出力を超えないように、操作量を制限させる部分であり、操作量が飽和したと判定されたら、その旨の判定信号awsをスレーブ制御演算部34Sに出力する。スレーブ操作量制限部37Sの出力は、加熱用ヒータ3Sに操作量MVmとして出力される。判定信号awm及びawsはそれぞれの制御演算部でアンチワインドアップ起動信号として用いられる。
[3]実施形態の作用及び効果
図4には、1入力1出力系の目標値応答を示したものであり、図4(A)は制御量PVの時間変化を表し、図4(B)は操作量MVの時間変化を表している。
加熱用ヒータ3Mに飽和のある制御系の目標応答において、制御目標値SVに最も早く到達させる方法は、加熱用ヒータ3Mを、最大出力を与える操作量ffで飽和させ、最大速度で温度を上昇させ、制御目標値SVの手前で操作量0として(熱電素子のように加熱と冷却が可能な場合であれば、マイナスの操作量としてもよい。)、惰性(マイナスの操作量の場合は制動力)で制御目標値SVに到達させ、最後にその制御目標値SVに留まらせることができる操作量ffに瞬時に切り換える方法である。
この操作方法は、偏差をもとに計算されるPID制御をはじめとするフィードバック制御では非常に実現困難であり、フィードフォワード制御を使用することが望ましい。
本実施形態はこの考え方を利用したものであり、図5に示されるように、制御目標値SVの手前の副目標値Xに至るまでの区間Aでは、目標値設定部31は、制御目標値が温度センサ6Mの温度検出値PVmとなるようにスイッチ32に設定し、副目標値Xを超える区間Bでは、スイッチ32を本来の制御目標値または目標値フィルタ39を通したSvfに設定する制御を行う。
これにより、温度検出値PVmが制御目標値SVmとされている場合、マスター偏差算出部33Mでは、制御目標値SVmと温度検出値PVmの偏差は0となり、加熱用ヒータ3Mは、区間Aにおけるフィードフォワード量ffと変換行列Hを介して出力されるスレーブ側からの補正信号(例えば(1)式ではh12×Us)の和を操作量として駆動する。
マスターループMRの加熱用ヒータ3Mを最大出力で駆動したときに、スレーブループSRの加熱用ヒータ3Sのフィードフォワード量ff1sは、前述した式(1)の定常ゲイン行列Gpを用いて求めることができる。
すなわち、同じ大きさの操作量MVを入力した際の出力のゲイン比gは、以下の式(2)で求めることができる
Figure 0006324739
これにより、g>gであれば、
ff1m=100%(マスター側)
ff1s=100×(k11+k12)/(k21+k22)%(スレーブ側)
となる。
一方、g<gであれば、
ff1m=100×(k21+k22)/(k11+k12)%(マスター側)
ff1s=100%(スレーブ側)
となる。この値を初期値として用い、シミュレーションや実機実験等で調整する。
区間Bにおけるマスター側のフィードフォワード量ff2m、スレーブ側のフィードフォワード量ff2sは、次のように求められる。まず、操作量MVを入力したときに、出力が等しく最終目標値SVになったとすれば、定常状態での入出力関係は、定常ゲイン行列Gpを用いて下記式(3)のようになる。
Figure 0006324739
従って、マスター側のフィードフォワード量ff2m、及びスレーブ側のフィードフォワード量ff2sは、定常時の操作量に等しいから下記式(4)によって求めることができる。
Figure 0006324739
副目標値Xの決定については、シミュレーション又は実機実験等による試行錯誤が必要である。区間Bにおける目標値のXからSVへの移行法には、目標値の急激な変化が操作量の急激な変化となり、制御に悪影響を与える恐れがあるため目標値フィルタ39を用いて徐々に最終目標値に到達させることが好ましい。目標値フィルタ39として例えば一次遅れフィルタ等を使うが、この限りではない。フィルタ時定数の決定についてはやはり試行錯誤が必要である。時定数Tf秒の1次遅れフィルタの場合は下記式(5)となる。
Figure 0006324739
尚、本実施形態では区間の切り換えに温度を使用しているが、時間によって切り換えてもよく、温度と時間を併用して切り換えてもよい。
次に、本実施形態の作用を図6に示されるフローチャートに基づいて説明する。
まず、目標値設定部31は、温度センサ6で検出された温度検出値PVmを目標値SVmとして設定し(手順S1)、フィードフォワード量ff1mを主とするフィードフォワード制御によってマスターループMRの加熱用ヒータ3Mの駆動を開始する(手順S2)。同時に、目標値設定部31は、フィードフォワード量ff1sによるフィードフォワード制御及びスレーブ偏差esによるフィードバック制御によってスレーブループSRの駆動を開始する(手順S3)。
目標値設定部31は、温度センサ6で検出された温度検出値PVmを監視し、副目標値Xに達したか否かを判定する(手順S4)。
副目標値Xに達したと判定されたら、目標値設定部31は、スイッチ32を、本来の制御目標値SVまたは目標値フィルタ39を通したSVfをマスタ側制御目標値SVmに切り換えて設定し(手順S5)、フィードフォワード量ff2mによるフィードフォワード制御及びマスター側の偏差emによるフィードバック制御によってマスター側の加熱用ヒータ3Mのフィードバック制御を開始する(手順S6)。また、目標値設定部31は、フィードフォワード量ff2s及びスレーブ側の偏差esによによってスレーブ側の加熱用ヒータ3Sの駆動制御を開始する(手順S7)。
[4]第2実施形態
次に、本発明の第2実施形態について説明する。尚、以下の説明では既に説明した部分等については、同一符号を付してその説明を省略する。
前記第1実施形態では、図5に示したように、副目標値Xに達した際、操作量の切り換えを、フィードフォワード量ffからフィードフォワード量ffに瞬時に行っていた。
これに対して本実施形態では、図7に示されるような切り換えを行っている点が相違する。
すなわち、第2実施形態では、図7Bのように、より細かく制御するために、区間Aをさらに複数の区間に分けてフィードフォワード量を切り換えている。区間Aを、副目標値Yを境に区間A0と区間A1とし、区間A0では、フィードフォワード量ffm10、ffs10を加算し、区間A1ではフィードフォワード量ffm11、ffs11を加算している点が相違する。
このような第2実施形態によっても第1実施形態と同様の作用及び効果を享受することができる。
[5]本発明の効果の確認
本発明の効果を確認するために、3入力3出力系の加熱用ヒータ3M、3Sに対して、第1実施形態に係る方法と、従来のマスタースレーブ制御法、及び特許第3278807号公報に記載された傾斜制御法を適用した場合の目標値応答を比較する。
[5-1]干渉のない制御対象の例
制御対象である加熱用ヒータ3の伝達関数は、下記式(6)で表される。
Figure 0006324739
この制御対象の定常ゲイン行列Gpは、下記式(7)となる。
Figure 0006324739
これによりゲイン比gを求めると、例えば適当な値1に対して下記式(8)のようになる。
Figure 0006324739
この比で式(2)から求められたff1m、ff1sに従ってフィードフォワード量を決めると、区間Aのフィードフォワード量は、ff=[100 75 60](%)となる。
また、区間Bのフィードフォワード量ffは、目標値が例えば30の場合、下記式(9)のようになる。
Figure 0006324739
コントローラはPIDコントローラを使用し、操作量(%)は下記式(10)で算出した量を与えた。尚、Pbは比例帯、Tiは積分時間、Tdは微分時間である。
Figure 0006324739
以上の準備の下、第1実施形態による方法でシミュレーションを行った結果を図8に、従来のマスタースレーブ法でシミュレーションを行った結果を図9に、特許第3278807号に記載された傾斜温度制御法でシミュレーションを行った結果を図10に示す。
尚、シミュレーションに使用したPID定数は、いずれの場合も、
MV1、PV1:Pb=100 Ti=35 Td=20
MV2、PV2:Pb= 40 Ti=35 Td=20
MV3、PV3:Pb= 40 Ti=35 Td=20
である。これらを比較しても第1実施形態による方法は、立ち上がり時間が早くしかも均一性に優れていることがわかる。
[5-2]干渉のある制御対象の場合
次に、干渉のある制御対象の場合について、第1実施形態に係る方法、従来のマスタースレーブ法、特許第3278807号に記載された傾斜温度制御法を比較した。
図11に示されるようなアルミプレートを3点(Z1〜Z3)で発熱体(抵抗)を用いて加熱する場合を考える。動特性の実験結果より、制御対象の伝達関数は、下記式(11)で表される。
Figure 0006324739
この制御対象となる発熱体の定常ゲイン行列Gpは、下記式(12)となる。
Figure 0006324739
これよりゲイン比gを求めると、例えば適当な値1に対して、ゲイン比gは下記式(13)のようになる。
Figure 0006324739
この比でフィードフォワード量を決めると、区間Aのフィードフォワード量は、ff=[100 92 90](%)となる。
また、区間Bのフィードフォワード量ff(%)は、目標値が例えば40の場合、下記式(14)のようになる。
Figure 0006324739
フィードフォワード量ffからフィードフォワード量ffへの切り換えは、y1=37℃で行う。また、目標温度は37℃から40℃へは時定数20秒の一次遅れフィルタを通して変化させる。
コントローラは、PIDコントローラを使用し、操作量は前述の式(10)で算出した量を与えた。
シミュレーションに使用したPID定数はいずいずれの場合も、
MV1、PV1:Pb=3.3 Ti=100 Td=0
MV2、PV2:Pb=3.3 Ti=100 Td=0
MV3、PV3:Pb=3.3 Ti=100 Td=0
である。
非干渉化行列は、一般によく知られた方法によって、下記式(15)とする。
Figure 0006324739
マスタースレーブの操作量変換行列は、下記式(16)とする。
Figure 0006324739
一方、傾斜温度制御法の操作量変換行列は、下記式(17)のようになる。
Figure 0006324739
式(15)で与えられる非干渉化行列と、操作量変換行列を合わせた変換行列H1は、マスタースレーブの場合、下記式(18)となる。
Figure 0006324739
非干渉化行列と傾斜温度制御法の操作量変換行列を合わせた変換行列H2は、下記式(19)となる。
Figure 0006324739
以上の準備の下、第1実施形態による方法でシミュレーションを行った結果を図12に、従来のマスタースレーブ法でシミュレーションを行った結果を図13に、特許第3278807号に記載された傾斜温度制御法でシミュレーションを行った結果を図14に示す。
干渉のある制御対象の場合であっても、第1実施形態による方法は、立ち上がり時間が早くしかも均一性に優れていることがわかる。
1…温度調整装置、2…ステージ、3、3M、3S…加熱用ヒータ、4…チャンバ、5…プレート、6、6M、6S…温度センサ、24…コントローラ、25…低温槽、26…熱交換器、27…ポンプ、30…操作量演算手段、31…目標値設定部、32…スイッチ、33…目標値設定部、35…操作量変換部、6M…マスター側温度センサ、6S…スレーブ側温度センサ、2A…ゾーン、2B…ゾーン、2C…ゾーン、33M…マスター偏差算出部、33S…スレーブ偏差算出部、34M…マスター制御演算部、34S…スレーブ制御演算部、36M…マスター側フィードフォワード量加算部、36S…スレーブ側フィードフォワード量加算部、37M…マスター操作量制限部、37S…スレーブ操作量制限部、38…オフセット設定部、39…目標値フィルタ、9M…加熱用ヒータ、9S…加熱用ヒータ、MR…マスターループ、SR…スレーブループ

Claims (4)

  1. 複数の温度調整手段により半導体ウェーハの温度を調整するために、前記複数の温度調整手段の温度制御を行う半導体ウェーハの温度制御装置であって、
    基準となる温度調整手段の温度制御を行うマスターループと、
    このマスターループに追従するように他の温度調整手段の温度制御を行う少なくとも1以上のスレーブループと、
    前記マスターループの温度調整手段で温度調整された半導体ウェーハの温度を検出するマスター温度検出手段と、
    前記スレーブループの温度調整手段で温度調整された半導体ウェーハの温度を検出するスレーブ温度検出手段と、
    前記マスター温度検出手段で検出された温度、及び、前記スレーブ温度検出手段で検出された温度に基づいて、前記マスターループの温度調整手段に与える操作量、及び、前記スレーブループの温度調整手段に与える操作量を演算する操作量演算手段とを備え、
    前記操作量演算手段は、
    前記マスターループの温度調整手段の制御目標値を、前記半導体ウェーハの目標温度として設定された制御目標値、及び前記マスター温度検出手段で検出された温度に等しい制御目標値のいずれかに設定する目標値設定部と、
    前記目標値設定部で設定された制御目標値、及び、前記マスター温度検出手段で検出された温度の偏差を算出するマスター偏差算出部と、
    前記マスター温度検出手段で検出された温度、及び、前記スレーブ温度検出手段で検出された温度の偏差を算出するスレーブ偏差算出部と、
    前記マスター偏差算出部で算出された偏差を入力して、前記マスターループの温度調整手段の操作量を演算するマスター制御演算部と、
    前記スレーブ偏差算出部で算出された偏差を入力して、前記スレーブループの温度調整手段の操作量を演算するスレーブ制御演算部と、
    前記マスター制御演算部で演算された操作量、及び、前記スレーブ制御演算部で演算された操作量を、マスターループ及びスレーブループ間の干渉が小さくなるように変換し、それぞれの温度調整手段に出力する操作量変換部と、
    前記操作量変換部の出力に対して、所定のフィードフォワード量を加算するフィードフォワード量加算部とを備え、
    前記目標値設定部は、前記マスターループの温度調整手段の制御目標値を、前記半導体ウェーハの目標温度として設定された制御目標値よりも手前の副目標値に達するまでは、前記マスター温度検出手段で検出された温度を制御目標値として設定し、前記副目標値に達した以後は、前記半導体ウェーハの目標温度として設定された制御目標値に設定することを特徴とする半導体ウェーハの温度制御装置。
  2. 請求項1に記載の半導体ウェーハの温度制御装置において、
    前記副目標値が複数設定されていることを特徴とする半導体ウェーハの温度制御装置。
  3. 請求項1又は請求項2に記載の半導体ウェーハの制御装置において、
    前記副目標値に達したか否かの判定は、温度及び時間の少なくともいずれかを基準とすることを特徴とする半導体ウェーハの温度制御装置。
  4. 複数の温度調整手段により半導体ウェーハの温度を調整するために、前記複数の温度調整手段の温度制御を行う半導体ウェーハの温度制御方法であって、
    前記複数の温度調整手段の温度制御系は、基準となる温度調整手段の温度制御を行うマスターループと、このマスターループに追従するように他の温度調整手段の温度制御を行う少なくとも1以上のスレーブループと、前記マスターループの温度調整手段及び前記スレーブループの温度調整手段への操作量を与える操作量演算手段とを備え、
    前記操作量演算手段は、
    前記マスターループの制御目標値を、前記温度調整手段により温度調整された半導体ウェーハの温度検出値に設定し、予め設定されたフィードフォワード量により、前記温度調整手段の温度制御を行う手順と、
    予め設定された最終的な制御目標値よりも手前の副目標値に達したと判定されたら、前記マスターループの制御目標値を、前記最終的な制御目標値に切り換え、予め設定されたフィードフォワード量によるフィードフォワード制御に加えてフィードバック制御を併用して行う手順とを実施することを特徴とする半導体ウェーハの温度制御方法。
JP2014012293A 2014-01-27 2014-01-27 半導体ウェーハの温度制御装置、及び半導体ウェーハの温度制御方法 Active JP6324739B2 (ja)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP2014012293A JP6324739B2 (ja) 2014-01-27 2014-01-27 半導体ウェーハの温度制御装置、及び半導体ウェーハの温度制御方法
US14/593,081 US9798308B2 (en) 2014-01-27 2015-01-09 Temperature controller for semiconductor wafer and temperature control method for semiconductor wafer

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2014012293A JP6324739B2 (ja) 2014-01-27 2014-01-27 半導体ウェーハの温度制御装置、及び半導体ウェーハの温度制御方法

Publications (2)

Publication Number Publication Date
JP2015141443A JP2015141443A (ja) 2015-08-03
JP6324739B2 true JP6324739B2 (ja) 2018-05-16

Family

ID=53678966

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2014012293A Active JP6324739B2 (ja) 2014-01-27 2014-01-27 半導体ウェーハの温度制御装置、及び半導体ウェーハの温度制御方法

Country Status (2)

Country Link
US (1) US9798308B2 (ja)
JP (1) JP6324739B2 (ja)

Families Citing this family (279)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
JP6307347B2 (ja) * 2014-05-19 2018-04-04 株式会社Kelk 半導体ウェーハの温度制御装置
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
CN104238592B (zh) * 2014-09-15 2016-08-24 北京东方计量测试研究所 一种快速恒温箱自适应控制方法和控制系统
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
JP6647931B2 (ja) * 2016-03-16 2020-02-14 株式会社Kelk 半導体ウェーハの温度制御装置、および半導体ウェーハの温度制御方法
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10332764B2 (en) * 2016-08-17 2019-06-25 Kelk Ltd. Temperature controller of semiconductor wafer and temperature control method of semiconductor wafer
US10157761B2 (en) 2016-08-17 2018-12-18 Kelk Ltd. Temperature controller of semiconductor wafer
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR102700194B1 (ko) 2016-12-19 2024-08-28 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
KR102695659B1 (ko) 2018-01-19 2024-08-14 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) * 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI843623B (zh) 2018-05-08 2024-05-21 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TWI840362B (zh) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 水氣降低的晶圓處置腔室
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR102686758B1 (ko) 2018-06-29 2024-07-18 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
TWI728456B (zh) 2018-09-11 2021-05-21 荷蘭商Asm Ip私人控股有限公司 相對於基板的薄膜沉積方法
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TWI838458B (zh) 2019-02-20 2024-04-11 荷蘭商Asm Ip私人控股有限公司 用於3d nand應用中之插塞填充沉積之設備及方法
TWI845607B (zh) 2019-02-20 2024-06-21 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN118422165A (zh) 2019-08-05 2024-08-02 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
JP2021111783A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー チャネル付きリフトピン
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210128343A (ko) 2020-04-15 2021-10-26 에이에스엠 아이피 홀딩 비.브이. 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
JP2021177545A (ja) 2020-05-04 2021-11-11 エーエスエム・アイピー・ホールディング・ベー・フェー 基板を処理するための基板処理システム
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
TW202229601A (zh) 2020-08-27 2022-08-01 荷蘭商Asm Ip私人控股有限公司 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US20230350438A1 (en) * 2022-04-29 2023-11-02 Semes Co., Ltd. Process measurement apparatus and method

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0743084B2 (ja) 1985-12-02 1995-05-15 株式会社東芝 主蒸気圧力制御装置
JPS62129602U (ja) * 1986-02-03 1987-08-17
JPS63245501A (ja) * 1987-03-31 1988-10-12 Toshiba Corp 制御装置
JPH01191901A (ja) * 1988-01-27 1989-08-02 Toshiba Corp プロセス制御装置
JPH07200076A (ja) 1993-12-28 1995-08-04 Komatsu Electron Metals Co Ltd 熱処理装置
US5715361A (en) * 1995-04-13 1998-02-03 Cvc Products, Inc. Rapid thermal processing high-performance multizone illuminator for wafer backside heating
JP3278807B2 (ja) 1998-10-14 2002-04-30 オムロン株式会社 制御装置、温度調節器および熱処理装置
US6114670A (en) * 1999-07-01 2000-09-05 Voyan Technology Nonlinear feedforward control for ramp following and overshoot minimization
JP3911953B2 (ja) * 2000-03-15 2007-05-09 オムロン株式会社 制御装置および温度調節器
JP3776297B2 (ja) * 2000-07-12 2006-05-17 株式会社山武 制御システム
JP3744355B2 (ja) * 2001-01-11 2006-02-08 株式会社デンソー 給湯装置
TW522292B (en) * 2001-02-06 2003-03-01 Asml Us Inc Inertial temperature control system and method
US6940047B2 (en) * 2003-11-14 2005-09-06 Asm International N.V. Heat treatment apparatus with temperature control system
JP4729008B2 (ja) * 2007-07-04 2011-07-20 エスペック株式会社 温度制御装置
JP6088817B2 (ja) * 2012-12-25 2017-03-01 株式会社Kelk 温度制御装置
JP5673766B2 (ja) * 2013-09-18 2015-02-18 オムロン株式会社 フィードフォワード量調整支援装置およびフィードフォワード量調整支援プログラム

Also Published As

Publication number Publication date
JP2015141443A (ja) 2015-08-03
US20150212528A1 (en) 2015-07-30
US9798308B2 (en) 2017-10-24

Similar Documents

Publication Publication Date Title
JP6324739B2 (ja) 半導体ウェーハの温度制御装置、及び半導体ウェーハの温度制御方法
KR102159781B1 (ko) 플라즈마 프로세싱 챔버 내의 웨이퍼 캐리어에 대한 진보된 온도 제어
EP1291741B1 (en) Controller, temperature regulator, and heat treatment apparatus
KR101420920B1 (ko) 제어 장치 및 방법
US10332764B2 (en) Temperature controller of semiconductor wafer and temperature control method of semiconductor wafer
WO2016042589A1 (ja) 制御装置
JP3776297B2 (ja) 制御システム
KR101994570B1 (ko) 열처리 장치 및 열처리 방법
US10157761B2 (en) Temperature controller of semiconductor wafer
JP2002318602A (ja) むだ時間を有するプロセス系に対する離散時間スライディングモード制御装置及び方法
KR20130089586A (ko) 열처리 장치 및 열처리 장치의 제어 방법
JP6405133B2 (ja) 半導体ウェーハの温度制御装置、半導体ウェーハの温度制御方法
JP6647931B2 (ja) 半導体ウェーハの温度制御装置、および半導体ウェーハの温度制御方法
JP3911953B2 (ja) 制御装置および温度調節器
JP2011186589A (ja) 制御システム
JP4192394B2 (ja) 温度調節器
JP3915370B2 (ja) 制御装置、温度調節器および熱処理装置
JP2017174011A (ja) 半導体ウェーハの温度制御装置、および半導体ウェーハの温度制御方法
JP4192393B2 (ja) 温度調節器
JP2001092501A (ja) 自動制御方法
JP6307347B2 (ja) 半導体ウェーハの温度制御装置
JP3664125B2 (ja) 制御装置、温度調節器および熱処理装置
JP2013041317A (ja) 制御装置および方法
JP2009282878A (ja) 制御装置および温度調節器
TW202309687A (zh) 溫度控制裝置以及溫度控制方法

Legal Events

Date Code Title Description
A625 Written request for application examination (by other person)

Free format text: JAPANESE INTERMEDIATE CODE: A625

Effective date: 20161121

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20170814

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20170822

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20171020

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20180327

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20180411

R150 Certificate of patent or registration of utility model

Ref document number: 6324739

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250