KR101412144B1 - 금속 배선의 제조 방법 및 이를 이용한 이미지 센서의 제조방법 - Google Patents

금속 배선의 제조 방법 및 이를 이용한 이미지 센서의 제조방법 Download PDF

Info

Publication number
KR101412144B1
KR101412144B1 KR1020070121000A KR20070121000A KR101412144B1 KR 101412144 B1 KR101412144 B1 KR 101412144B1 KR 1020070121000 A KR1020070121000 A KR 1020070121000A KR 20070121000 A KR20070121000 A KR 20070121000A KR 101412144 B1 KR101412144 B1 KR 101412144B1
Authority
KR
South Korea
Prior art keywords
wiring
substrate
interlayer insulating
insulating film
metal
Prior art date
Application number
KR1020070121000A
Other languages
English (en)
Other versions
KR20090054239A (ko
Inventor
이정호
박영훈
정상일
양준석
신안철
정민영
Original Assignee
삼성전자 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자 주식회사 filed Critical 삼성전자 주식회사
Priority to KR1020070121000A priority Critical patent/KR101412144B1/ko
Priority to US12/274,040 priority patent/US8026171B2/en
Publication of KR20090054239A publication Critical patent/KR20090054239A/ko
Priority to US13/206,703 priority patent/US8338295B2/en
Application granted granted Critical
Publication of KR101412144B1 publication Critical patent/KR101412144B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76825Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by exposing the layer to particle radiation, e.g. ion implantation, irradiation with UV light or electrons etc.
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14601Structural or functional details thereof
    • H01L27/14632Wafer-level processed structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14601Structural or functional details thereof
    • H01L27/14636Interconnect structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14683Processes or apparatus peculiar to the manufacture or treatment of these devices or parts thereof
    • H01L27/14687Wafer level processing

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Electromagnetism (AREA)
  • Manufacturing & Machinery (AREA)
  • Plasma & Fusion (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

금속 배선의 제조 방법 및 이를 이용한 이미지 센서의 제조 방법이 제공된다. 상기 금속 배선의 제조 방법은 기판 상에 층간 절연막을 형성하고, 층간 절연막 내에 배선 형성 영역을 형성하고, 배선 형성 영역을 형성한 후, 기판을 UV 처리하고, 배선 형성 영역 내에 금속 배선을 형성하는 것을 포함한다.
금속 배선, 이미지 센서, 플라즈마 에칭 공정, UV 처리

Description

금속 배선의 제조 방법 및 이를 이용한 이미지 센서의 제조 방법{Fabricating method of metal interconnection and fabricating method of image sensor using the same}
본 발명은 금속 배선의 제조 방법 및 이를 이용한 이미지 센서의 제조 방법에 관한 것이다.
이미지 센서는 광학 영상을 전기 신호로 변환시킨다. 최근 들어 컴퓨터 산업과 통신 산업의 발달에 따라 디지털 카메라, 캠코더, PCS(Personal Communication System), 게임기기, 경비용 카메라, 의료용 마이크로 카메라 등 다양한 분야에서 성능이 향상된 이미지 센서의 수요가 증대하고 있다.
특히, MOS 이미지 센서는 구동 방식이 간편하고 다양한 스캐닝(scanning) 방식으로 구현 가능하다. 또한, 신호 처리 회로를 단일 칩에 집적할 수 있어 제품의 소형화가 가능하며, MOS 공정 기술을 호환하여 사용할 수 있어 제조 단가를 낮출 수 있다. 전력 소모 또한 매우 낮아 배터리 용량이 제한적인 제품에 적용이 용이하다. 따라서, MOS 이미지 센서는 기술 개발과 함께 고해상도가 구현 가능함에 따라 그 사용이 급격히 늘어나고 있다.
MOS 이미지 센서는 입사광을 흡수하여 광량에 대응하는 전하를 축적하는 광전 변환 소자와, 각 광전 변환 소자에 저장된 전하를 출력하기 위한 다층의 금속 배선을 포함한다. 금속 배선으로는 구리 배선 또는 알루미늄 배선이 주로 사용된다. MOS 이미지 센서가 점점 미세해짐에 따라, 알루미늄 배선보다는 낮은 비저항과 미세 패턴 형성이 용이한 구리 배선이 많이 사용되고 있다.
그런데, 구리 배선 또는 알루미늄 배선을 형성할 때에는, 플라즈마 에칭 공정을 사용한다. 이러한 플라즈마 에칭 공정은 광전 변환 소자에 여러가지 결함(damage)을 발생시킬 수 있다. 광전 변환 소자에 발생한 결함은 출력 신호의 다크 레벨(dark level)을 증가시킨다.
본 발명이 해결하고자 하는 과제는, 플라즈마 에칭 공정에 의한 결함을 치유할 수 있는 금속 배선의 제조 방법을 제공하는 것이다.
본 발명이 해결하고자 하는 다른 과제는, 상기 금속 배선의 제조 방법을 이용한 이미지 센서의 제조 방법을 제공하는 것이다.
본 발명이 해결하고자 하는 과제들은 이상에서 언급한 과제로 제한되지 않으며, 언급되지 않은 또 다른 과제들은 아래의 기재로부터 당업자에게 명확하게 이해될 수 있을 것이다.
상기 기술적 과제를 달성하기 위한 본 발명의 금속 배선의 제조 방법의 일 태양은 기판 상에 층간 절연막을 형성하고, 층간 절연막 내에 배선 형성 영역을 형성하고, 배선 형성 영역을 형성한 후, 기판을 UV 처리하고, 배선 형성 영역 내에 금속 배선을 형성하는 것을 포함한다.
상기 기술적 과제를 달성하기 위한 본 발명의 금속 배선의 제조 방법의 다른 태양은 기판 상에 배선용 금속막을 형성하고, 배선용 금속막을 패터닝하여, 층간 절연막 상에 금속 배선을 형성하고, 금속 배선을 형성한 후, 기판을 UV 처리하는 것을 포함한다.
상기 다른 기술적 과제를 달성하기 위한 본 발명의 이미지 센서의 제조 방법의 일 태양은 기판에 광전 변환 소자를 형성하고, 광전 변환 소자가 형성된 기판 상에 층간 절연막을 형성하고, 플라즈마 에칭 공정을 이용하여, 층간 절연막 내에 배선 형성 영역을 형성하고, 배선 형성 영역을 형성한 후, 기판을 UV 처리하고, 배선 형성 영역 내에 금속 배선을 형성하는 것을 포함한다.
상기 다른 기술적 과제를 달성하기 위한 본 발명의 이미지 센서의 제조 방법의 다른 태양은 기판에 광전 변환 소자를 형성하고, 광전 변환 소자가 형성된 기판 상에 배선용 금속막을 형성하고, 플라즈마 에칭 공정을 이용하여 배선용 금속막을 패터닝하여, 층간 절연막 상에 금속 배선을 형성하고, 금속 배선을 형성한 후, 기판을 UV 처리하는 것을 포함한다.
본 발명의 기타 구체적인 사항들은 상세한 설명 및 도면들에 포함되어 있다.
본 발명의 이점 및 특징, 그리고 그것들을 달성하는 방법은 첨부되는 도면과 함께 상세하게 후술되어 있는 실시예들을 참조하면 명확해질 것이다. 그러나 본 발명은 이하에서 개시되는 실시예들에 한정되는 것이 아니라 서로 다른 다양한 형태로 구현될 것이며, 단지 본 실시예들은 본 발명의 개시가 완전하도록 하며, 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자에게 발명의 범주를 완전하게 알려주기 위해 제공되는 것이며, 본 발명은 청구항의 범주에 의해 정의될 뿐이다. 따라서, 몇몇 실시예에서, 잘 알려진 공정 단계들, 잘 알려진 구조 및 잘 알려진 기술들은 본 발명이 모호하게 해석되는 것을 피하기 위하여 구체적으로 설명되지 않는다.
소자(elements) 또는 층이 다른 소자 또는 층의 "위(on)" 또는 "상(on)"으로 지칭되는 것은 다른 소자 또는 층의 바로 위뿐만 아니라 중간에 다른 층 또는 다른 소자를 개재한 경우를 모두 포함한다. 반면, 소자가 "직접 위(directly on)" 또는 "바로 위"로 지칭되는 것은 중간에 다른 소자 또는 층을 개재하지 않은 것을 나타낸다. "및/또는"은 언급된 아이템들의 각각 및 하나 이상의 모든 조합을 포함한다.
공간적으로 상대적인 용어인 "아래(below)", "아래(beneath)", "하부(lower)", "위(above)", "상부(upper)" 등은 도면에 도시되어 있는 바와 같이 하나의 소자 또는 구성 요소들과 다른 소자 또는 구성 요소들과의 상관관계를 용이하게 기술하기 위해 사용될 수 있다. 공간적으로 상대적인 용어는 도면에 도시되어 있는 방향에 더하여 사용시 또는 동작 시 소자의 서로 다른 방향을 포함하는 용어로 이해되어야 한다. 명세서 전체에 걸쳐 동일 참조 부호는 동일 구성 요소를 지칭한다.
본 명세서에서 기술하는 실시예들은 본 발명의 이상적인 개략도인 평면도 및 단면도를 참고하여 설명될 것이다. 따라서, 제조 기술 및/또는 허용 오차 등에 의해 예시도의 형태가 변형될 수 있다. 따라서, 본 발명의 실시예들은 도시된 특정 형태로 제한되는 것이 아니라 제조 공정에 따라 생성되는 형태의 변화도 포함하는 것이다. 따라서, 도면에서 예시된 영역들은 개략적인 속성을 가지며, 도면에서 예시된 영역들의 모양은 소자의 영역의 특정 형태를 예시하기 위한 것이고, 발명의 범주를 제한하기 위한 것은 아니다.
본 명세서에서 사용된 용어는 실시예들을 설명하기 위한 것이며 본 발명을 제한하고자 하는 것은 아니다. 본 명세서에서, 단수형은 문구에서 특별히 언급하지 않는 한 복수형도 포함한다. 명세서에서 사용되는 "포함한다(comprises)" 및/또는 "포함하는(comprising)"은 언급된 구성요소, 단계, 동작 및/또는 소자는 하나 이상의 다른 구성요소, 단계, 동작 및/또는 소자의 존재 또는 추가를 배제하지 않는다. 그리고, "및/또는"은 언급된 아이템들의 각각 및 하나 이상의 모든 조합을 포함한다. 또, 이하 명세서 전체에 걸쳐 동일 참조 부호는 동일 구성 요소를 지칭한다.
도 1은 본 발명의 제1 실시예에 따른 금속 배선의 제조 방법을 설명하기 위한 순서도이다. 도 2a 내지 도 2d는 도 1의 금속 배선의 제조 방법을 설명하기 위한 중간 단계 도면들이다. 도 2a 내지 도 2d에서는 설명의 편의상 듀얼 다마신 배선을 형성하는 것을 예를 들어 도시하였다.
도 1 및 도 2a를 참조하면, 기판 상에 형성된 하부의 금속 배선(100) 상에, 확산 방지막(110), 층간 절연막(120)을 순차적으로 형성한다(S10).
구체적으로, 확산 방지막(110)은 구리의 확산을 방지하는 역할을 한다. 또한, 확산 방지막(110)은 에칭 공정에서의 에칭 스토퍼(etching stopper) 역할을 할 수 있다. 즉, 층간 절연막(120)을 에칭하여 배선 형성 영역(예를 들어, 트렌치 및/또는 비아홀)을 형성할 때, 금속 배선(100)에 손상을 방지하거나 에칭의 정밀도를 높이기 위해 사용된다. 이러한 베리어 절연막(110)은 예를 들어, SiN, SiC, SiON, SiCN을 사용할 수 있고, 주로 CVD 방법(Chemical Vapor Deposition)에 의해 형성될 수 있다.
층간 절연막(120)은 PE-TEOS(Plasma Enhanced - Tetra Ethyl Ortho Silicate), PEOX(Plasma Enhanced Oxide), FSG(Fluoride Silicate Glass), PSG(Phosphor Silicate Glass), BPSG(BoroPhosphoSilica Glass), USG(Undoped Silica Glass) 또는 이들의 적층막으로 형성될 수 있다. 주로 CVD 방법에 의해 형성될 수 있다.
도 1 및 도 2b를 참조하면, 층간 절연막(120) 내에 배선 형성 영역(130)을 형성한다(S20).
구체적으로, 배선 형성 영역(130)은 금속 배선이 형성될 영역을 정의하는 부분이다. 도 2b에서 도시된 배선 형성 영역(130)은 듀얼 다마신 배선을 형성하기 위한 영역으로, 배선 형성 영역(130)은 비아홀(132)과 트렌치(134)를 포함한다. 비아홀(132) 및 트렌치(134)의 형태는 도 2b에 도시된 형태에 한정되지 않고, 모서리가 둥글거나(rounded corner), 수직 또는 수평 방향으로 연장되어 형성될 수 있다.
예를 들어, 층간 절연막(120)의 소정 영역에 먼저 비아홀(132)을 형성하고, 비아홀(132)의 상부를 경유하는 트렌치(134)를 형성할 수 있다. 또는, 트렌치(134)를 먼저 형성하고 비아홀(132)을 나중에 형성할 수 있다.
본원 발명의 제1 실시예에서, 배선 형성 영역(130)(즉, 비아홀(132) 및 트렌치(134))을 형성하는 것은 플라즈마 에칭 공정을 이용하여 통해서 형성될 수 있다.
도 1 및 도 2c를 참조하면, 기판을 UV 처리(140)한다(S30).
구체적으로 설명하면, 배선 형성 영역(130)을 형성할 때 사용한 플라즈마 에칭 공정은 기판에 여러가지 결함(damage)를 발생시킬 수 있다. 예를 들어, 이러한 결함들은 극성을 띄고 있을 수 있고, 극성을 띈 결함들은 트랜지스터를 이용한 신호 전달시 트랩(trap) 역할을 할 수도 있다. 따라서, 반도체 장치의 오동작을 유도할 수도 있다.
본 발명의 제1 실시예에서, 기판을 UV 처리(140)함으로써, 전술한 결함들을 치유할 수 있다. UV 처리(140)는 예를 들어, 50 내지 200℃에서 10초 내지 300초동안 진행될 수 있으나, 이에 한정되는 것은 아니다. 또한, UV 처리(140)는 UV 베이크(UV bake) 장비를 이용하여 수행될 수 있으나, 이에 한정되는 것은 아니다.
도 1 및 도 2d를 참조하면, 배선 형성 영역(130) 내에 금속 배선(160)을 형성한다(S40).
구체적으로 설명하면, 우선, 베리어 금속막(150), 씨드층(미도시)를 층간 절연막(120)의 프로파일을 따라 컨포말하게 형성한다. 베리어 금속막(150)은 금속 배선(160)의 확산을 억제한다. 즉, 금속 배선(160)으로 사용될 구리는 집적 회로 제 조에 사용되는 물질, 예를 들어 Si, SiO2 등에 대한 확산 계수가 크기 때문이다. 구리가 SiO2와 같은 절연막에 확산되면, 절연막이 도전성을 갖게 되어 절연 특성이 나빠지게 된다. 베리어 금속막(150)은 구리 또는 구리 합금과 반응하지 않거나, 고융점 물질(high fusion point metal)을 사용하며, 예를 들어, Ti, Ta, W, Ru, TiN, TaN, WN, TiZrN, TiSiN, TaAlN, TaSiN, TaSi2, TiW 및 이들의 조합, 이들의 적층막 등이 가능하다. 베리어 금속막(150)은 PVD, ALD, CVD 등의 방법을 이용하여 형성될 수 있다. 씨드층(미도시)은 주로 PVD 방법을 이용하여 형성될 수 있다.
이어서, 층간 절연막(120) 상에 배선 형성 영역(130)을 채울 수 있도록 충분히 두껍게 도전층(미도시)을 형성한다. 도전층은 매립 특성이 우수한 전기 도금법, 무전해 도금법, MOCVD(Metal Organic Chemical Vapor Deposition) 방법 등을 사용하여 형성될 수 있다.
이어서, 층간 절연막(120)의 상면이 노출되도록 도전층, 베리어 금속막(150)을 평탄화한다. 이와 같이 평탄화된 도전층이 금속 배선(160)(도 2d에서는 듀얼 다마신 배선)이 된다. 평탄면을 형성하기 위해, 도전층 일부와 베리어 금속막(150)의 일부가 비선택적으로 제거될 수 있다. 예를 들어, 평탄면은 비선택적 슬러리를 이용한 CMP 공정을 통해서 형성할 수 있다. 여기서, 비선택적 슬러리는 서로 다른 종류의 층을 동일한 비율로 제거할 수 있는 실리카 연마제(silica abrasive material)를 사용할 수 있다. 한편, 평탄면은 비선택적 플라즈마 에칭 공정을 통해서 형성할 수도 있다. 또는, 도전층, 베리어 금속막(150) 각각에 선택적인 평탄화 공정을 통해서 평탄면을 형성할 수도 있다.
한편, 도 2a 내지 도 2d에서는 설명의 편의상 듀얼 다마신 배선을 형성하는 것을 예를 들어 설명하였으나, 이에 한정되는 것은 아니다. 즉, 본원 발명은 싱글 다마신 배선을 형성하는 것에도 적용할 수 있고, 컨택이나 비아를 형성하는 것에도 적용할 수 있다. 즉, 싱글 다마신 배선을 형성하기 위한 배선 형성 영역을 형성한 후 UV 처리를 할 수 있다. 또는, 컨택홀이나 비아홀을 형성한 후 UV 처리를 할 수 있다.
도 3은 본 발명의 제2 실시예에 따른 금속 배선의 제조 방법을 형성하기 위한 순서도이다.
도 3을 참조하면, 본 발명의 제2 실시예에 따른 금속 배선의 제조 방법이, 본 발명의 제1 실시예와 다른 점은 배선 형성 영역을 형성한 후(S20) UV 처리를 다수 회 실시한다는 점이다(S30~S39). 예를 들어, UV 처리는 3회, 4회, 5회 등 실시할 수 있다.
이와 같이 다수 회 UV 처리를 실시하는 이유는 다음과 같다. 1회의 UV 처리 동안 치유할 수 있는 결함의 양은 소정 값으로 정해져 있다. 다시 설명하면, 1회의 UV 처리 동안에는 공정 시간을 늘리더라도 치유되는 결함의 양은 늘어나지 않는다. 따라서, 다수 회 UV 처리를 실시함으로써 치유할 수 있는 결함의 양을 증가시킬 수 있다.
한편, 다수 회의 UV 처리 각각은 동일한 공정 온도에서 동일한 공정 시간 동안 진행될 수도 있다. 또는, 다수 회의 UV 처리 각각은 서로 다른 공정 온도에서 동일한 공정 시간 동안 진행될 수도 있다.
도 4는 본 발명의 제3 실시예에 따른 금속 배선의 제조 방법을 설명하기 위한 순서도이다. 도 5a 내지 도 5c는 도 4의 금속 배선의 제조 방법을 설명하기 위한 중간 단계 도면들이다.
도 4 및 도 5a를 참조하면, 기판 상에 형성된 하부의 금속 배선(100) 상에, 층간 절연막(120)을 형성한다. 층간 절연막(120)의 일부 영역에 하부의 금속 배선(100)가 접촉하는 비아(170)를 형성한다. 비아(170)가 형성된 층간 절연막(120) 상에 배선용 금속막(180a)을 형성한다(S50). 여기서, 배선용 금속막(180a)은 알루미늄막일 수 있다.
도 4 및 도 5b를 참조하면, 배선용 금속막(180a)을 패터닝하여, 층간 절연막(120) 상에 금속 배선(180)(즉, 알루미늄 배선)을 형성한다(S60). 여기서, 배선용 금속막(180a)을 패터닝하는 것은, 플라즈마 에칭 공정을 통해서 형성될 수 있다.
도 4 및 도 5c를 참조하면, 기판을 UV 처리(190)한다(S70). 전술한 바와 같이, 배선용 금속막(180a)을 패터닝할 때 사용하는 플라즈마 에칭 공정은 기판에 여러가지 결함을 발생시킬 수 있고, 기판을 UV 처리(190)함으로써 결함들을 치유할 수 있다. UV 처리(190)는 예를 들어, 50 내지 200℃에서 10초 내지 300초동안 진행될 수 있으나, 이에 한정되는 것은 아니다. 또한, UV 처리(190)는 UV 베이크(UV bake) 장비를 이용하여 수행될 수 있으나, 이에 한정되는 것은 아니다.
도 6는 본 발명의 제4 실시예에 따른 금속 배선의 제조 방법을 형성하기 위 한 순서도이다.
도 6을 참조하면, 본 발명의 제4 실시예에 따른 금속 배선의 제조 방법이, 본 발명의 제2 실시예와 다른 점은 금속 배선을 형성한 후(S60) UV 처리를 다수 회 실시한다는 점이다(S70~S79). 예를 들어, UV 처리는 3회, 4회, 5회 등 실시할 수 있다. 이와 같이 다수 회 UV 처리를 실시하는 이유는 1회의 UV 처리 동안 치유할 수 있는 결함의 양은 소정 값으로 정해져 있기 때문이다. 한편, 다수 회의 UV 처리 각각은 동일한 공정 온도에서 동일한 공정 시간 동안 진행될 수도 있다. 또는, 다수 회의 UV 처리 각각은 서로 다른 공정 온도에서 동일한 공정 시간 동안 진행될 수도 있다.
이하에서는 도 7 내지 도 10c를 참조하여, 도 1 내지 도 6을 참조하여 설명하였던 금속 배선의 제조 방법을 이용한 이미지 센서의 제조 방법을 설명한다.
도 7 은 본 발명의 실시예들에 따른 이미지 센서의 블록도이다.
도 7을 참고하면, 본 발명의 실시예들에 따른 이미지 센서는 광전 변환 소자를 포함하는 픽셀들이 이차원적으로 배열되어 이루어진 액티브 픽셀 센서(APS) 어레이(210), 타이밍 발생기(timing generator)(220), 행 디코더(row decoder)(230), 행 드라이버(row driver)(240), 상관 이중 샘플러(Correlated Double Sampler, CDS)(250), 아날로그 디지털 컨버터(Analog to Digital Converter, ADC)(260), 래치부(latch)(270), 열 디코더(column decoder)(280) 등을 포함한다.
APS 어레이(210)는 2차원적으로 배열된 다수의 단위 픽셀들을 포함한다. 다수의 단위 픽셀들은 광학 영상을 전기적인 출력 신호로 변환하는 역할을 한다. APS 어레이(210)는 행 드라이버(240)로부터 행 선택 신호, 리셋 신호, 전하 전송 신호 등 다수의 구동 신호를 수신하여 구동된다. 또한, 변환된 전기적인 출력 신호는 수직 신호 라인를 통해서 상관 이중 샘플러(250)에 제공된다.
타이밍 발생기(220)는 행 디코더(230) 및 열 디코더(280)에 타이밍(timing) 신호 및 제어 신호를 제공한다.
행 드라이버(240)는 행 디코더(230)에서 디코딩된 결과에 따라 다수의 단위 픽셀들을 구동하기 위한 다수의 구동 신호를 액티브 픽셀 센서 어레이(210)에 제공한다. 일반적으로 행렬 형태로 단위 픽셀이 배열된 경우에는 각 행별로 구동 신호를 제공한다.
상관 이중 샘플러(250)는 액티브 픽셀 센서 어레이(210)에 형성된 출력 신호를 수직 신호 라인을 통해 수신하여 유지(hold) 및 샘플링한다. 즉, 특정한 잡음 레벨(noise level)과, 상기 출력 신호에 의한 신호 레벨을 이중으로 샘플링하여, 잡음 레벨과 신호 레벨의 차이에 해당하는 차이 레벨을 출력한다.
아날로그 디지털 컨버터(260)는 차이 레벨에 해당하는 아날로그 신호를 디지털 신호로 변환하여 출력한다.
래치부(270)는 디지털 신호를 래치(latch)하고, 래치된 신호는 컬럼 디코더(280)에서 디코딩 결과에 따라 순차적으로 영상 신호 처리부(도면 미도시)로 출력된다.
도 8는 도 7의 APS 어레이의 등가 회로도이다.
도 7을 참조하면, 픽셀(P)이 행렬 형태로 배열되어 APS 어레이(210)를 구성 한다. 각 픽셀(P)은 광전 변환 소자(211), 플로팅 확산 영역(213), 전하 전송 소자(215), 드라이브 소자(217), 리셋 소자(218), 선택 소자(219)를 포함한다. 이들의 기능에 대해서는 i행 픽셀(P(i, j), P(i, j+1), P(i, j+2), P(i, j+3), … )을 예로 들어 설명한다.
광전 변환 소자(211)는 입사광을 흡수하여 광량에 대응하는 전하를 축적한다. 광전 변환 소자(211)로 포토 다이오드, 포토 트랜지스터, 포토 게이트, 핀드 포토 다이오드 또는 이들의 조합이 적용될 수 있으며, 도면에는 포토 다이오드가 예시되어 있다.
각 광전 변환 소자(211)는 축적된 전하를 플로팅 확산 영역(213)으로 전송하는 각 전하 전송 소자(215)와 커플링된다. 플로팅 확산 영역(Floating Diffusion region)(FD)(213)은 전하를 전압으로 전환하는 영역으로, 기생 커패시턴스를 갖고 있기 때문에, 전하가 누적적으로 저장된다.
소오스 팔로워 증폭기로 예시되어 있는 드라이브 소자(217)는 각 광전 변환 소자(211)에 축적된 전하를 전달받은 플로팅 확산 영역(213)의 전기적 포텐셜의 변화를 증폭하고 이를 출력 라인(Vout)으로 출력한다.
리셋 소자(218)는 플로팅 확산 영역(213)을 주기적으로 리셋시킨다. 리셋 소자(218)는 소정의 바이어스(즉, 리셋 신호)를 인가하는 리셋 라인(RX(i))에 의해 제공되는 바이어스에 의해 구동되는 1개의 MOS 트랜지스터로 이루어질 수 있다. 리셋 라인(RX(i))에 의해 제공되는 바이어스에 의해 리셋 소자(218)가 턴 온되면 리셋 소자(218)의 드레인에 제공되는 소정의 전기적 포텐셜, 예컨대 전원 전압(VDD) 이 플로팅 확산 영역(213)으로 전달된다.
선택 소자(219)는 행 단위로 읽어낼 픽셀(P)을 선택하는 역할을 한다. 선택 소자(219)는 행 선택 라인(SEL(i))에 의해 제공되는 바이어스(즉, 행 선택 신호)에 의해 구동되는 1개의 MOS 트랜지스터로 이루어질 수 있다. 행 선택 라인(SEL(i))에 의해 제공되는 바이어스에 의해 선택 소자(219)가 턴 온되면 선택 소자(219)의 드레인에 제공되는 소정의 전기적 포텐셜, 예컨대 전원 전압(VDD)이 드라이브 소자(217)의 드레인 영역으로 전달된다.
전하 전송 소자(215)에 바이어스를 인가하는 전송 라인(TX(i)), 리셋 소자(218)에 바이어스를 인가하는 리셋 라인(RX(i)), 선택 소자(219)에 바이어스를 인가하는 행 선택 라인(SEL(i))은 행 방향으로 실질적으로 서로 평행하게 연장되어 배열될 수 있다.
도 9a 내지 도 9g는 전술한 본 발명의 제1 및 제2 실시예에 따른 구리 배선의 제조 방법을 이용한 이미지 센서의 제조 방법을 설명하기 위한 중간 단계 도면들이다. 도 9a 내지 도 9g는 설명의 편의를 위해서, 광전 변환 소자와 그 주변에 배치된 소자들을 중심으로 도시하였다.
우선, 도 9a를 참조하면, 기판(310) 내에 다수의 픽셀을 형성한다.
구체적으로, 우선 기판(310)에 STI(Shallow Trench Isolation), DTI(Deep Trench Isolation) 등과 같은 소자 분리 영역(312)을 형성하여, 기판(310)에 액티브 영역을 정의한다. 기판(310)은 제1 도전형(예를 들어, P형)일 수 있고, 도면에 표시하지는 않았으나 기판(310) 상에 제1 도전형의 에피층(epitaxial layer)를 성 장시킬 수도 있다.
이어서, 기판(310) 내에 광전 변환 소자(320), 플로팅 확산 영역(340), 다수의 트랜지스터를 형성한다. 여기서, 다수의 트랜지스터는 전하 전송 소자(330), 드라이버 소자(미도시), 리셋 소자(미도시), 선택 소자(미도시)에 해당할 수 있다. 한편, 도 9a에서는 광전 변환 소자(320)의 예로 핀드 포토 다이오드(pinned photodiode)를 도시하였다. 즉, 광전 변환 소자(320)는 제2 도전형(예를 들어, N형)의 불순물 영역(322)과, 제1 도전형(예를 들어, P형)의 불순물 영역(324)으로 이루어질 수 있다.
도 9b를 참조하면, 다수의 픽셀이 형성된 기판(310) 상에 층간 절연막(351)을 형성한다.
이어서, 플로팅 확산 영역(340)을 노출하는 컨택홀(391)을 형성한다.
이어서, 컨택홀(391)의 측면과 저면, 층간 절연막(351)의 상면에 컨포말하게(conformally) 베리어 금속막(381)을 형성한다, 베리어 금속막(381)은 구리 또는 구리 합금과 반응하지 않거나, 고융점 물질(high fusion point metal)을 사용하며, 예를 들어, Ti, Ta, W, Ru, TiN, TaN, WN, TiZrN, TiSiN, TaAlN, TaSiN, TaSi2, TiW 및 이들의 조합, 이들의 적층막 등이 가능하다.
이어서, 컨택홀(391)을 채우도록 베리어 금속막(381) 상에 구리, 티타늄, 텅스텐 등을 증착하여 도전층을 형성하고, 층간 절연막(351)의 상면이 노출되도록 도전층 및 베리어 금속막(381)을 평탄화하여 컨택(371)을 완성한다. 컨택(371)으로 티타늄, 텅스텐을 사용할 때에는 베리어 금속막(381)을 사용하지 않을 수도 있다.
이어서, 확산 방지막(361), 층간 절연막(352)을 형성한다. 확산 방지막(361)은 구리의 확산을 방지하는 역할을 하고, 에칭 공정에서의 에칭 스토퍼(etching stopper) 역할을 한다.
이어서, 플라즈마 에칭 공정을 이용하여, 층간 절연막(352) 내에 배선 형성 영역(392)를 형성한다. 도 9b에 도시된 배선 형성 영역(392)은 싱글 다마신 배선을 형성하기 위한 영역이다.
이어서, 기판(310)을 UV 처리(410)한다. 전술한 바와 같이, 플라즈마 에칭 공정을 통해서 배선 형성 영역(392)를 형성하면, 여러가지 결함(damage)이 발생할 수 있다. 예를 들어, 광전 변환 소자(320)에 미치는 스트레스를 변화시킬 수도 있고, 광전 변환 소자(320)의 표면, 소자 분리 영역(312)과 기판(310)의 경계, 기판(310)과 전하 전송 소자(330)의 경계 등에서 전하가 생성될 수도 있다.
이러한 결함들은 출력 신호의 다크 레벨(dark level)을 증가시킬 수 있다. 픽셀은 출력 라인(Vout)을 통해서 출력 신호를 제공하는데, 출력 신호는 신호 레벨과 다크 레벨로 구분할 있다. 즉, 신호 레벨은 광전 변환을 통해서 생성한 전하에 대응되는 전압값을 의미하고, 다크 레벨은 광전 변환이 아닌 방식으로 생성된 전하(예를 들어, 열에 의해 생성된 전하 및 기타 오프셋에 의한 전하)에 대응되는 전압값을 의미한다. 따라서, 이러한 결함을 치유하여야 한다.
기판을 UV 처리(410)함으로써 이러한 결함들을 치유할 수 있다. 특히, 광전 변환 소자(320)의 표면, 소자 분리 영역(312)과 기판(310)의 경계, 기판(310)과 전 하 전송 소자(330)의 경계 등에서 전하가 생성된 전하를 제거할 수 있다. 따라서, 픽셀에서 출력되는 출력 신호의 다크 레벨을 줄일 수 있다.
UV 처리(410)는 예를 들어, 50 내지 200℃에서 10초 내지 300초동안 진행될 수 있으나, 이에 한정되는 것은 아니다. 또한, UV 처리(410)는 UV 베이크(UV bake) 장비를 이용하여 수행될 수 있으나, 이에 한정되는 것은 아니다.
또한, UV 처리(410)를 다수회 수행할 수도 있다.
도 9c를 참조하면, 배선 형성 영역(392) 내에 베리어 금속막(382), 금속 배선(372)(즉, 싱글 다마신 배선)을 형성한다. 여기서, 금속 배선(372)은 구리 배선일 수 있다.
도 9d를 참조하면, 금속 배선(372)가 형성된 층간 절연막(352) 상에, 확산 방지막(362), 층간 절연막(353)를 형성한다.
이어서, 층간 절연막(353) 내에 듀얼 다마신 배선을 형성하기 위한 배선 형성 영역(395)을 형성한다. 배선 형성 영역(395)는 비아홀(393)과 트렌치(394)를 포함한다. 예를 들어, 층간 절연막(395)의 소정 영역에 먼저 비아홀(393)을 형성하고, 비아홀(393)의 상부를 경유하는 트렌치(394)를 형성할 수 있다. 또는, 트렌치(394)를 먼저 형성하고 비아홀(393)을 나중에 형성할 수 있다. 배선 형성 영역(395)(즉, 비아홀(393) 및 트렌치(394))을 형성하는 것은 플라즈마 에칭 공정을 이용하여 통해서 형성될 수 있다.
이어서, 기판을 UV 처리(420)한다. 기판을 UV 처리(420)함으로써, 플라즈마 에칭 공정에 의해 생성된 결함을 제거할 수 있다.
도 9e를 참조하면, 배선 형성 영역(395) 내에 베리어 금속막(383), 금속 배선(373)(즉, 듀얼 다마신 배선)을 형성한다. 여기서, 금속 배선(373)은 구리 배선일 수 있다.
도 9f를 참조하면, 도 9d, 도 9f에서 설명한 바와 같이, 금속 배선(373)이 형성된 층간 절연막(353) 상에, 확산 방지막(363), 층간 절연막(354)를 형성한다.
이어서, 층간 절연막(354) 내에 비아홀(396)과 트렌치(397)를 포함하는 배선 형성 영역(398)을 형성한다.
이어서, 기판을 UV 처리한다.
이어서, 배선 형성 영역(398) 내에 베리어 금속막(384), 금속 배선(374)(즉, 듀얼 다마신 배선)을 형성한다.
이어서, 확산 방지막(364)을 형성한다.
도 9g를 참조하면, 광전 변환 소자(320) 상에, 다층의 층간 절연막(351, 352, 353, 354), 다층의 확산 방지막(361, 362, 363, 364) 등을 관통하는 캐버티(cavity)(326)를 형성한다.
구체적으로, 확산 방지막(361, 362, 363, 364)으로 사용하는 실리콘 질화막은 광에 대한 투과율이 낮기 때문에 입사광이 광전 변환 소자(320)에 도달하는 것을 방해할 수 있다. 따라서, 광전 변환 소자(320) 상에 형성된 다층의 층간 절연막(351, 352, 353, 354), 다층의 확산 방지막(361, 362, 363, 364)을 제거하기 위해, 캐버티(326)를 형성한다. 캐버티(326)를 형성함으로써, 광전 변환 소자(320)에 도달하는 입사광의 양을 증가시키고, 광감도를 증가시킬 수 있다.
캐버티(326)는 도 9g에 도시된 것과 같이 층간 절연막(351)의 일부까지 제거함으로써 형성할 수 있으나, 이에 한정되는 것이 아니다. 또한, 캐버티(326)은 도 9g에 도시된 것과 같이 경사진 측벽과, 평탄한 저면을 가질 수 있으나, 이에 한정되는 것은 아니다. 예를 들어, 캐버티(326)의 측벽은 경사지지 않을 수도 있고, 캐버티(326)의 저면은 평탄하지 않을 수도 있다(즉, 오목 또는 볼록한 형태를 가질 수도 있다).
이어서, 캐버티(326)를 광투과 물질(327)로 채운다.
광투과 물질(327)은 예를 들어, 유기 고분자 화합물, 예를 들면 Cytop™ 이라는 환구조를 갖는 불소계 고분자, 또는 PMMA 계열의 고분자를 사용할 수 있으나, 이에 한정되는 것은 아니다. 또한, 스핀 코팅 방식을 이용하여, 캐버티(326)를 광투과 물질(327)로 채울 수 있으나, 이에 한정되는 것은 아니다.
이어서, 광투과 물질(327) 상에 컬러 필터(328)를 형성하고, 이어서 컬러 필러(328) 위의 광전 변환 소자(320)에 대응되는 위치에 마이크로 렌즈(329)를 형성한다.
도 10a 내지 도 10c는 전술한 본 발명의 제3 및 제4 실시예에 따른 구리 배선의 제조 방법을 이용한 이미지 센서의 제조 방법을 설명하기 위한 중간 단계 도면들이다.
도 10a를 참조하면, 컨택(371)이 형성된 층간 절연막(351) 상에, 배선용 금속막(510a)를 형성한다. 배선용 금속막(510a)은 알루미늄막일 수 있다.
도 10b를 참조하면, 배선용 금속막(510a)를 패터닝하여, 층간 절연막(351) 상에 금속 배선(510)(즉, 알루미늄 배선)을 형성한다.
도 10c를 참조하면, 기판을 UV 처리(610)한다. 전술한 바와 같이, 배선용 금속막(510a)을 패터닝할 때 사용하는 플라즈마 에칭 공정은 기판에 여러가지 결함을 발생시킬 수 있고, 기판을 UV 처리(610)함으로써 전술한 결함들을 치유할 수 있다. 특히, UV 처리는 광전 변환 소자(320)의 표면, 소자 분리 영역(312)과 기판(310)의 경계, 기판(310)과 전하 전송 소자(330)의 경계 등에서 전하가 생성된 전하를 제거할 수 있다. 또한, UV 처리(610)는 다수회 실시할 수도 있다.
이상 첨부된 도면을 참조하여 본 발명의 실시예를 설명하였지만, 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자는 본 발명이 그 기술적 사상이나 필수적인 특징을 변경하지 않고서 다른 구체적인 형태로 실시될 수 있다는 것을 이해할 수 있을 것이다. 그러므로 이상에서 기술한 실시예들은 모든 면에서 예시적인 것이며 한정적이 아닌 것으로 이해해야만 한다.
도 1은 본 발명의 제1 실시예에 따른 금속 배선의 제조 방법을 설명하기 위한 순서도이다.
도 2a 내지 도 2d는 도 1의 금속 배선의 제조 방법을 설명하기 위한 중간 단계 도면들이다.
도 3은 본 발명의 제2 실시예에 따른 금속 배선의 제조 방법을 형성하기 위한 순서도이다.
도 4는 본 발명의 제3 실시예에 따른 금속 배선의 제조 방법을 설명하기 위한 순서도이다.
도 5a 내지 도 5c는 도 4의 금속 배선의 제조 방법을 설명하기 위한 중간 단계 도면들이다.
도 6는 본 발명의 제4 실시예에 따른 금속 배선의 제조 방법을 형성하기 위한 순서도이다.
도 7은 본 발명의 실시예들에 따른 이미지 센서의 블록도이다.
도 8는 도 7의 APS 어레이의 등가 회로도이다.
도 9a 내지 도 9g는 전술한 본 발명의 제1 및 제2 실시예에 따른 구리 배선의 제조 방법을 이용한 이미지 센서의 제조 방법을 설명하기 위한 중간 단계 도면들이다.
도 10a 내지 도 10c는 전술한 본 발명의 제3 및 제4 실시예에 따른 구리 배선의 제조 방법을 이용한 이미지 센서의 제조 방법을 설명하기 위한 중간 단계 도 면들이다.
(도면의 주요부분에 대한 부호의 설명)
120 : 층간 절연막 130 : 배선 형성 영역
132 : 비아홀 134 : 트렌치
140 : UV 처리 150 : 베리어 금속막
160 : 금속 배선

Claims (20)

  1. 기판 상에 층간 절연막을 형성하고,
    플라즈마 에칭 공정을 이용하여 상기 층간 절연막 내에 배선 형성 영역을 형성하고,
    상기 배선 형성 영역을 형성한 후, 상기 플라즈마 에칭 공정에 의해 발생된 상기 기판의 결함을 치유하기 위해 상기 기판을 UV 처리하고,
    상기 배선 형성 영역 내에 금속 배선을 형성하는 것을 포함하는 금속 배선의 제조 방법.
  2. 제 1항에 있어서,
    상기 UV 처리는 다수회 수행되는 것을 포함하는 금속 배선의 제조 방법.
  3. 제 1항에 있어서,
    상기 UV 처리는 50 내지 200℃에서 10초 내지 300초동안 진행되는 금속 배선의 제조 방법.
  4. 삭제
  5. 제 1항에 있어서,
    상기 배선 형성 영역은, 싱글 다마신 배선 형성 영역, 듀얼 다마신 배선 영역, 컨택홀, 비아홀 중 적어도 하나를 포함하는 금속 배선의 제조 방법.
  6. 제 1항에 있어서,
    상기 금속 배선은 구리 배선인 금속 배선의 제조 방법.
  7. 기판 상의 층간 절연막 상에 배선용 금속막을 형성하고,
    플라즈마 에칭 공정을 이용해서 상기 배선용 금속막을 패터닝하여, 상기 층간 절연막 상에 금속 배선을 형성하고,
    상기 금속 배선을 형성한 후, 상기 플라즈마 에칭 공정에 의해 발생된 상기 기판의 결함을 치유하기 위해 상기 기판을 UV 처리하는 것을 포함하는 금속 배선의 제조 방법.
  8. 삭제
  9. 삭제
  10. 삭제
  11. 제 7항에 있어서,
    상기 금속 배선은 알루미늄 배선인 금속 배선의 제조 방법.
  12. 기판에 광전 변환 소자를 형성하고,
    상기 광전 변환 소자가 형성된 기판 상에 층간 절연막을 형성하고,
    플라즈마 에칭 공정을 이용하여, 상기 층간 절연막 내에 배선 형성 영역을 형성하고,
    상기 배선 형성 영역을 형성한 후, 상기 플라즈마 에칭 공정에 의해 발생된 상기 기판의 결함을 치유하기 위해 상기 기판을 UV 처리하고,
    상기 배선 형성 영역 내에 금속 배선을 형성하는 것을 포함하는 이미지 센서의 제조 방법.
  13. 삭제
  14. 삭제
  15. 삭제
  16. 삭제
  17. 기판에 광전 변환 소자를 형성하고,
    상기 광전 변환 소자가 형성된 기판 상에 층간 절연막을 형성하고,
    상기 층간 절연막 상에 배선용 금속막을 형성하고,
    플라즈마 에칭 공정을 이용하여 상기 배선용 금속막을 패터닝하여, 상기 층간 절연막 상에 금속 배선을 형성하고,
    상기 금속 배선을 형성한 후, 상기 플라즈마 에칭 공정에 의해 발생된 상기 기판의 결함을 치유하기 위해 상기 기판을 UV 처리하는 것을 포함하는 이미지 센서의 제조 방법.
  18. 삭제
  19. 삭제
  20. 삭제
KR1020070121000A 2007-11-26 2007-11-26 금속 배선의 제조 방법 및 이를 이용한 이미지 센서의 제조방법 KR101412144B1 (ko)

Priority Applications (3)

Application Number Priority Date Filing Date Title
KR1020070121000A KR101412144B1 (ko) 2007-11-26 2007-11-26 금속 배선의 제조 방법 및 이를 이용한 이미지 센서의 제조방법
US12/274,040 US8026171B2 (en) 2007-11-26 2008-11-19 Method of fabricating metal interconnection and method of fabricating image sensor using the same
US13/206,703 US8338295B2 (en) 2007-11-26 2011-08-10 Method of fabricating metal interconnection and method of fabricating image sensor using the same

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020070121000A KR101412144B1 (ko) 2007-11-26 2007-11-26 금속 배선의 제조 방법 및 이를 이용한 이미지 센서의 제조방법

Publications (2)

Publication Number Publication Date
KR20090054239A KR20090054239A (ko) 2009-05-29
KR101412144B1 true KR101412144B1 (ko) 2014-06-26

Family

ID=40670106

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020070121000A KR101412144B1 (ko) 2007-11-26 2007-11-26 금속 배선의 제조 방법 및 이를 이용한 이미지 센서의 제조방법

Country Status (2)

Country Link
US (2) US8026171B2 (ko)
KR (1) KR101412144B1 (ko)

Families Citing this family (305)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101478189B1 (ko) * 2007-11-19 2015-01-02 삼성전자주식회사 이미지 센서의 제조 방법
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5304536B2 (ja) * 2009-08-24 2013-10-02 ソニー株式会社 半導体装置
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9112090B2 (en) * 2012-01-31 2015-08-18 Taiwan Semiconductor Manufacturing Company, Ltd. UV radiation recovery of image sensor
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9368448B2 (en) * 2013-12-20 2016-06-14 Applied Materials, Inc. Metal-containing films as dielectric capping barrier for advanced interconnects
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
KR102212138B1 (ko) 2014-08-19 2021-02-04 삼성전자주식회사 이미지 센서의 단위 픽셀과 이를 포함하는 픽셀 어레이
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US9923003B2 (en) 2015-06-30 2018-03-20 Microsoft Technology Licensing, Llc CMOS image sensor with a reduced likelihood of an induced electric field in the epitaxial layer
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
US11901219B2 (en) * 2021-08-19 2024-02-13 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming semiconductor device structures
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20030093721A (ko) * 2002-06-05 2003-12-11 삼성전자주식회사 금속간 절연막의 패턴을 형성하는 방법
US20060189133A1 (en) * 2005-02-22 2006-08-24 International Business Machines Corporation Reliable BEOL integration process with direct CMP of porous SiCOH dielectric
WO2007032563A1 (ja) 2005-09-16 2007-03-22 Nec Corporation 配線構造並びに半導体装置及びその製造方法
KR20070036532A (ko) * 2005-09-29 2007-04-03 매그나칩 반도체 유한회사 시모스 이미지센서 제조 방법

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20020034309A (ko) 2000-10-31 2002-05-09 박종섭 반도체소자의 플라즈마 식각 방법
US7335600B2 (en) * 2003-05-01 2008-02-26 United Microelectronics Corp. Method for removing photoresist
KR100499174B1 (ko) * 2003-06-17 2005-07-01 삼성전자주식회사 이미지 소자
US7351656B2 (en) * 2005-01-21 2008-04-01 Kabushiki Kaihsa Toshiba Semiconductor device having oxidized metal film and manufacture method of the same
KR100653715B1 (ko) * 2005-06-17 2006-12-05 삼성전자주식회사 적어도 하나의 개구부를 갖는 최상부 금속층을 구비하는반도체 소자들 및 그 제조방법들
US7314828B2 (en) * 2005-07-19 2008-01-01 Taiwan Semiconductor Manufacturing Company, Ltd. Repairing method for low-k dielectric materials
KR20070071045A (ko) 2005-12-29 2007-07-04 매그나칩 반도체 유한회사 반도체 소자의 금속배선 형성방법 및 이를 이용한 반도체소자 제조방법
US20080242118A1 (en) * 2007-03-29 2008-10-02 International Business Machines Corporation Methods for forming dense dielectric layer over porous dielectrics

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20030093721A (ko) * 2002-06-05 2003-12-11 삼성전자주식회사 금속간 절연막의 패턴을 형성하는 방법
US20060189133A1 (en) * 2005-02-22 2006-08-24 International Business Machines Corporation Reliable BEOL integration process with direct CMP of porous SiCOH dielectric
WO2007032563A1 (ja) 2005-09-16 2007-03-22 Nec Corporation 配線構造並びに半導体装置及びその製造方法
KR20070036532A (ko) * 2005-09-29 2007-04-03 매그나칩 반도체 유한회사 시모스 이미지센서 제조 방법

Also Published As

Publication number Publication date
US8338295B2 (en) 2012-12-25
US8026171B2 (en) 2011-09-27
US20110294288A1 (en) 2011-12-01
KR20090054239A (ko) 2009-05-29
US20090137111A1 (en) 2009-05-28

Similar Documents

Publication Publication Date Title
KR101412144B1 (ko) 금속 배선의 제조 방법 및 이를 이용한 이미지 센서의 제조방법
US11222914B2 (en) Semiconductor apparatus, method of manufacturing semiconductor apparatus, method of designing semiconductor apparatus, and electronic apparatus
US10483305B2 (en) Image sensor including planar boundary between optical black and active pixel sensor areas
US8736009B2 (en) Image sensor and method of fabricating the same
KR101463609B1 (ko) 이미지 센서 및 그 제조 방법
JP5284438B2 (ja) 固体撮像装置、及び固体撮像装置の製造方法
KR102551489B1 (ko) 이미지 센서
US7875488B2 (en) Method of fabricating image sensor having inner lens
KR101932662B1 (ko) 이미지 센서
US11257857B2 (en) Image sensors including photoelectric conversion devices, trench, supporter, and isolation layer
KR20210048059A (ko) 이미지 센서
JP5087888B2 (ja) 固体撮像装置及びその製造方法
KR100840658B1 (ko) 씨모스 이미지 센서 및 그 제조 방법
US20240204027A1 (en) Wafer-to-wafer bonding structure and image sensor including the same
KR102498503B1 (ko) 이미지 센서
KR100729743B1 (ko) Cmos 이미지 센서의 제조방법
KR100860467B1 (ko) 씨모스 이미지센서 및 그 제조방법
KR20090056251A (ko) 씨모스 이미지 센서의 금속 배선 제조 방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant