JP5291928B2 - 酸化物半導体装置およびその製造方法 - Google Patents

酸化物半導体装置およびその製造方法 Download PDF

Info

Publication number
JP5291928B2
JP5291928B2 JP2007333865A JP2007333865A JP5291928B2 JP 5291928 B2 JP5291928 B2 JP 5291928B2 JP 2007333865 A JP2007333865 A JP 2007333865A JP 2007333865 A JP2007333865 A JP 2007333865A JP 5291928 B2 JP5291928 B2 JP 5291928B2
Authority
JP
Japan
Prior art keywords
oxide semiconductor
layer
insulating film
gate insulating
selenium
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2007333865A
Other languages
English (en)
Other versions
JP2009158663A5 (ja
JP2009158663A (ja
Inventor
博幸 内山
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hitachi Ltd
Original Assignee
Hitachi Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi Ltd filed Critical Hitachi Ltd
Priority to JP2007333865A priority Critical patent/JP5291928B2/ja
Priority to KR1020080108671A priority patent/KR101035771B1/ko
Priority to US12/329,649 priority patent/US20090166616A1/en
Publication of JP2009158663A publication Critical patent/JP2009158663A/ja
Publication of JP2009158663A5 publication Critical patent/JP2009158663A5/ja
Application granted granted Critical
Publication of JP5291928B2 publication Critical patent/JP5291928B2/ja
Priority to US14/191,598 priority patent/US20140175437A1/en
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/7869Thin film transistors, i.e. transistors with a channel being at least partly a thin film having a semiconductor body comprising an oxide semiconductor material, e.g. zinc oxide, copper aluminium oxide, cadmium stannate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1214Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body comprising a plurality of TFTs formed on a non-semiconducting substrate, e.g. driving circuits for AMLCDs
    • H01L27/1222Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body comprising a plurality of TFTs formed on a non-semiconducting substrate, e.g. driving circuits for AMLCDs with a particular composition, shape or crystalline structure of the active layer
    • H01L27/1225Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body comprising a plurality of TFTs formed on a non-semiconducting substrate, e.g. driving circuits for AMLCDs with a particular composition, shape or crystalline structure of the active layer with semiconductor materials not belonging to the group IV of the periodic table, e.g. InGaZnO
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4908Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET for thin film semiconductor, e.g. gate of TFT
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel

Description

本発明は、酸化物半導体装置とその製造技術に関し、特に、液晶テレビや有機ELテレビのスイッチング素子、ドライバ素子やRFIDタグの基本素子として利用される薄膜トランジスタの高信頼化技術に関するものである。
近年表示デバイスはブラウン管を用いた表示から液晶パネルやプラズマディスプレイといったフラットパネルディスプレイ(FPD)と呼ばれる平面型表示デバイスへと急速な進化を遂げた。液晶パネルでは、液晶による表示切り替えに関わる装置として、a-Siやポリシリコンの薄膜トランジスタをスイッチング素子として利用している。最近では、更なる大面積化やフレキシブル化を目的として有機ELを用いたFPDが期待されている。
しかし、この有機ELディスプレイは有機半導体層を駆動して直接発光を得る自発光デバイスであるため、従来の液晶ディスプレイとは異なり、薄膜トランジスタには電流駆動デバイスとしての特性が要求されている。一方、今後のFPDには更なる大面積化やフレキシブル化といった新機能の付与も求められており、画像表示デバイスとして高性能であることはもちろん、大面積プロセスへの対応やフレキシブル基板への対応も要求されている。この様な背景から、近年表示デバイス向け薄膜トランジスタとして、バンドギャップが3eV前後と大きく、透明な酸化物半導体の適用が検討されており、表示デバイスの他にRFID等への適用も期待されている。
例えば、酸化物半導体として酸化亜鉛を用い、酸化亜鉛の欠点であるしきい電位のシフトやリーク電流、結晶粒界の存在による特性劣化を抑制するため、酸化亜鉛酸化物半導体成膜時、および成膜後に酸素分圧を増加させたり、酸素中アニール、酸素プラズマ処理を行う方法が特開2007−073563号公報、特開2007−073558号広報、特表2006−502597(特許文献1〜3参照)等に開示されている。しかし、酸化亜鉛は化学量論制御が非常に難しい材料であり、これらの方法を用いた直後には良好な特性が得られても、経時的に特性劣化が進行することが多い。
また、酸化亜鉛の欠点であるしきい電位のシフトが抑制できる材料として、a-IGZO(アモルファス−インジウムガリウム亜鉛酸化物)を用いる薄膜トランジスタが特開2006−186319号公報に(特許文献4参照)に記述されている。しかし、貴金属資源である近年価格の高騰が進むインジウムとガリウムを用いていることと、インジウムが間質性肺炎等の健康被害の原因元素であることが将来的な実用化に大きな障害となる可能性がある。
特開2007−073563号公報 特開2007−073558号公報 特表2006−502597号公報 特開2006−186319号公報 ジャパニーズジャーナルオブアプライドフィジックス(1988年、27巻、12冊、L2367ページ−L2369ページ)
これらの有機ELディスプレイの表示制御には、液晶ディスプレイ同様薄膜トランジスタが応用されるが、従来の液晶がスイッチングのみの機能だったのに対し、有機ELではスイッチング動作に加えて電流を駆動するドライバとしての機能が要求される。電流駆動デバイスには大きな負荷がかかるため、しきい電位のシフトや耐久性の面で大きな信頼性が要求される。例えば、従来液晶ディスプレイのスイッチングに主に用いられていたa-Siでは、しきい電位のシフトが補正回路による制御が容易な2V前後を大きく超えるため、有機EL向けの薄膜トランジスタとしては適用困難と言われている。また、中小型ディスプレイへ応用されているポリシリコンは、特性的には有機EL駆動に十分であるが、プロセススループットの問題から将来的な大型FPDへの適用は困難である。
そこで、スパッタ法やCVD法による大面積プロセスが可能、且つ1〜50cm/Vs程度の高移動度が得られ、しきい電位のシフトや環境安定性に有利な酸化物半導体の検討が進められている。特に、酸化亜鉛系酸化物半導体の検討が多いが、酸化亜鉛は成膜時に回転ドメインの存在による粒界や化学量論の制御が困難で酸素欠陥が存在することが知られている。酸素欠陥は電子を補足するサイトとして移動度の低下やしきい電位のシフト、リーク電流等を引き起こし、ワイドギャップ酸化物半導体本来の特性が活かせない問題があった。そこで、しきい電位シフトを小さく抑制できるa-IGZO等アモルファス系酸化物半導体材料も提案されているが、希少金属であり近年価格が高騰しているインジウムやガリウムを用いているため、資源的観点で課題が大きく、更にインジウムに関しては間質性肺炎の原因元素として健康被害の問題も存在することから、今後の適用化には問題が残る。
本発明の目的は、次世代有機ELディスプレイや液晶ディスプレイのスイッチング、駆動用薄膜トランジスタとして有望、且つ資源的環境的にも有望な酸化亜鉛系酸化物半導体において、酸化物半導体とゲート絶縁膜との界面に存在する酸素欠陥により生ずるしきい電位のシフトやリーク電流の発生、水分やガス吸着により生ずるデバイス特性のふらつきを効果的に抑制する表面処理技術とそれを用いたデバイスを提供することにある。
本願において開示される発明のうち、代表的なものの概要を簡単に説明すれば、次のとおりである。
本発明の酸化物半導体装置および酸化物半導体表面処理方法は、酸化物半導体とゲート絶縁膜間の界面を架橋結合性の硫黄、またはセレン等の酸素族元素やそれらを含有する化合物により表面処理を行い、従来酸素欠陥の生じていたサイトのパッシベーションを行う。同様な表面処理はガリウム砒素系化合物半導体表面の安定化のために酸化物を除去して表面パッシベーションを行うものとして応用されていたが(非特許文献1参照)、本発明では硫黄やセレンを酸化物半導体とゲート絶縁膜間に存在する酸素欠陥の置換元素として用いる。硫黄やセレンは酸素族元素のため、これらの導入による物性変化も少なく、良好な終端処理が実現され、酸素欠陥による電子補足のサイトを減少させることができる。特に、硫黄については、図1に掲げる通りZnOとZnSの結晶形態が同じウルツ鉱結晶であり、バンドギャップもそれぞれ3.24eV、3.68eVと近いことから、ZnO系酸化物半導体の特性にほとんど影響を与えず、課題である酸素欠陥を抑制することができる。酸化亜鉛系酸化物半導体の場合、酸素欠陥密度1018〜1021cm−3程度で導電体に近い特性を示すため、半導体としての特性、特にオフ電流抑制のために酸素欠陥を補償する元素の導入密度としては1016〜1020cm−3程度が必要である。
本願において開示される発明のうち、代表的なものによって得られる効果を簡単に説明すれば以下のとおりである。
酸化物半導体とゲート絶縁膜界面に存在する酸素欠陥に起因するしきい電位のシフトやリーク電流の発生、環境による特性劣化等を抑制し、ディスプレイデバイスやRFIDタグ、フレキシブルデバイス、その他酸化物半導体を応用するデバイスの動作における信頼性を向上することができる。
以下、本発明の実施の形態を図面に基づいて詳細に説明する。
(実施の形態1)
本発明の実施の形態1によるディスプレイ用薄膜トランジスタの構造と製造方法を図2〜図5を用いて説明する。図2と図3はボトムゲート型薄膜トランジスタの断面図とその製造工程の一例を示すフロー図、図4と5トップゲート型薄膜トランジスタの断面図とその製造工程の一例を示すフロー図、図6と図8はそれぞれの効果を示すためのしきい電位シフトの経時変化を説明するグラフ図、図7と図9はそれぞれをデバイス適用するための回路の簡単な模式図である。
まず、図2に示すようなボトムゲート型薄膜トランジスタの場合、例えばガラス基板等の支持基板1を用意する。次に、このガラス基板1上に蒸着法やスパッタ法等によりゲート電極2となる金属薄膜、例えばAl(250nm)とMo(50nm)積層膜等を形成する。その後、その上層にスパッタ法やCVD法により、例えば厚さ100nm程度の窒化膜や酸化膜から形成されるゲート絶縁膜3を堆積する。この後、蒸着法やスパッタ法によりゲート電極2が挟まれるような配置で酸化物半導体層とオーミック接触が可能な酸化インジウム錫やGaやAlをドープした酸化亜鉛膜等の透明導電膜(200nm)をソース・ドレイン電極4として形成する。通常はホトレジスト9等をマスクとして有機酸系ウエットエッチングやハロゲン系ガスを用いたドライエッチング技術により透明導電膜4の加工を行うが、この工程に続いて本発明の酸化物半導体表面処理方法5を用いて、ゲート絶縁膜3表面を硫黄、または、セレン等の酸素族元素およびそれら化合物により表面処理を行う。
具体的な処理方法は、以下の通りである。
a)気相法の場合:例えば硫化水素ガスを真空槽中で約50Paの圧力で10分程度保持し、一旦真空排気する。この時、硫化水素ガスの代わりにその他の硫黄を含む材料ガスやセレンを含む材料ガスを用いても構わない。十分な効果を得るために材料ガスによっては80℃から200℃程度の熱処理が必要な場合もある。また、真空保持の代わりに、0.1〜10Pa程度の圧力でプラズマ処理(ラジカルシャワーやECRプラズマ、イオンビーム、硫黄を含有するターゲットを用いたスパッタリング等でも良い)を行うことでも原理的にほぼ同様の効果が期待できる。さらに、スループットは落ちるが、超高真空装置を用いて硫黄やセレンの分子ビームをゲート絶縁膜4表面に照射しても、良質な表面パッシベーションが達成される。
b)液相法の場合:例えば、硫化アンモニウム溶液によりゲート絶縁膜4の表面を浸漬による処理を行った後、流水洗浄、乾燥を行う。硫化アンモニウムの他にもその他の硫黄を含む溶液やセレンを含む溶液を用いることによりほぼ同様な表面パッシベーションを行うことが可能である。処理溶液によっては有効な処理を行うために50℃から90℃程度の高温条件が必要な場合もある。また、ウエット処理を嫌うプロセスの場合には溶媒をアルコールやアセトンに変更し、ミスト処理を用いることにより上記の硫黄及びセレンを含む溶液の霧を処理表面に噴霧、乾燥させることでも同様の効果が得られる。
これらの表面処理によりゲート絶縁膜3の表面は硫黄やセレン等の酸素族元素に処理された状態6となる。ここではソース・ドレイン電極4の加工後の開口部のみを表面処理する方法を記述したが、ソース・ドレイン電極4となる透明導電膜を被着する前に同様の表面処理を行っても特に問題ない。さらにスパッタ法やCVD法、反応性蒸着法等により厚さ50nm程度の酸化亜鉛や酸化亜鉛錫、酸化インジウム亜鉛等の酸化亜鉛系酸化物半導体膜7を形成するが、ゲート絶縁膜3との界面に存在する硫黄やセレン等の酸素族元素により、酸化物半導体層界面近傍に形成される酸素欠陥を抑制することが可能となる。最後に、ホトレジスト10等をマスクとしてウエットエッチングやドライエッチングを用いてチャネルとなる酸化亜鉛系酸化物半導体層7の加工を行い酸化物半導体薄膜トランジスタが完成するが、さらに表面を窒化シリコン膜や窒化アルミニウム膜等のパッシベーション膜8により被覆することで、環境に存在する水分等の影響が抑制され、信頼性の高い薄膜トランジスタデバイスとなる。
次に図4に示すようなトップゲート型薄膜トランジスタの場合、例えばガラス基板11を用意し、その上に蒸着法やスパッタ法等を用いて酸化物半導体とオーミック接触が可能な酸化インジウム錫やGaやAlをドープした酸化亜鉛等の透明導電膜(250nm)にてソース・ドレイン電極12を形成する。その後、ソース・ドレイン電極12の上層にスパッタ法やCVD法、反応性蒸着法等によりチャネルとなる厚さ100nm程度の酸化亜鉛や酸化亜鉛錫、酸化インジウム亜鉛等の酸化亜鉛系酸化物半導体膜13を形成し、更に本発明の表面処理方法を用いて酸化物半導体層表面の処理14を行う。処理の方法としては前記a)、b)と基本的に同じであるが、酸化物半導体材料は両性酸化物であるため処理方法によりエッチングが進行しないよう処理温度、溶液濃度、処理時間等の処理条件の設定には十分な注意が必要である。その後、CVD法やスパッタ法等により厚さ80nm程度の窒化膜や酸化膜のゲート絶縁膜15を形成し、さらにその上層に蒸着法やスパッタ法等によりAl等の金属薄膜(300nm)から成るゲート電極16を形成し、薄膜トランジスタが完成する。トップゲート型薄膜トランジスタの場合、酸化物半導体層13が露出する構造ではないため、環境に対する影響はボトムゲート構造に比較すると小さいが、さらに表面を窒化シリコン膜や窒化アルミニウム等のパッシベーション膜17により被覆することで、より信頼性の高い薄膜トランジスタデバイスとなる。
図6には、ボトムゲート型薄膜トランジスタを本発明の方法を用いて形成した時の電流-電圧特性から測定したしきい電位の動作時間に対するシフト量を示す。デバイスの構造は、ゲート電極2に電子ビーム蒸着により形成したAlとMoの積層膜、ゲート絶縁膜3にはプラズマCVD法により形成した窒化シリコン膜、酸化物半導体チャネル層7としては有機金属CVD法により形成した酸化亜鉛酸化物半導体膜、ソース・ドレイン電極4にはDCスパッタ法置により形成した酸化インジウム錫透明導電膜を、さらにパッシベーション膜8としてプラズマCVD法により成膜した窒化シリコン膜を全体に被覆してある。表面処理方法5としては、硫化アンモニウムの5wt%溶液とセレン酸の2wt%溶液のそれぞれを用いて前記処理方法a)の手順により行い、表面処理条件は50℃で30秒間浸漬処理とした。これらの表面処理を行った薄膜トランジスタと表面処理なしの場合を200時間の連続動作試験から予測した500時間後のVthシフト量として比較した。表面処理なしのVthシフト量が15Vであったのに対し、硫化アンモニウムで表面処理を行ったものは0.2V、セレン酸溶液で表面処理を行ったものは0.5Vといずれも良好な結果を示した。また、電流オンオフ比としては10以上の十分な値が得られており、本発明による酸化亜鉛薄膜トランジスタが液晶ディスプレイのスイッチング用途や有機ELディスプレイの電流駆動デバイスとして有効に動作することが確認できた。図7には液晶ディスプレイ(a)と有機ELディスプレイ(b)に利用される場合の簡単な回路構成を記載した。
図8には、トップゲート型薄膜トランジスタを本発明の方法を用いて形成した時の電流-電圧特性から測定したしきい電位の動作時間に対するシフト量を示す。デバイス構造は、ソース・ドレイン電極12にはDCスパッタ法により形成したAlドープ酸化亜鉛透明導電膜を、酸化物半導体チャネル層13には高周波スパッタ法により形成した酸化亜鉛錫酸化物半導体膜を、ゲート絶縁膜16には常圧CVD法により形成した酸化シリコン膜を、ゲート電極17にはDCスパッタリング法により成長したAl膜とし、全体を窒化アルミニウム膜によりパッシベーション膜18により保護してある。本デバイスについて、電流オンオフ比は109以上の良好な値が得られているが、本発明の表面処理を利用することで、さらに信頼性の向上が可能である。実際に用いた表面処理の方法としては、気相法を用い硫化水素ガスを常温の真空槽内にて3×10Pa程度の圧力で30分保持する方法で行った。また、さらに超高真空槽内で硫黄、セレンの分子ビーム処理についても行った。結果を100時間の連続動作試験から予測される500時間後のVthシフト量として記載すると、表面処理なしが3.2Vであったのに対し、硫化水素気相処理が0.1V、硫黄の分子ビーム処理が0.05V、セレンの分子ビーム処理が0.3Vといずれも良好な値を示した。電流オンオフ比としても10以上の良好な値が得られた他、酸化物半導体結晶の制御が比較的容易なトップゲート構造では移動度としても50−100cm/Vsと良好な性能が得られており、本発明による酸化亜鉛錫薄膜トランジスタの安定動作とも相まって液晶ディスプレイや有機ELディスプレイ向けデバイスのみならず、13.56MHz動作可能なパッシブRFID等への用途が可能であることを示すことができた。
図9にその簡単な構成を示すが、アンテナと電源回路、高周波回路、メモリ等から成り、高移動度の酸化亜鉛系酸化物半導体を用いてアンテナ以外の回路を形成し、さらにアンテナもGaやAlをドープした酸化亜鉛透明導電膜を利用すれば、ほぼ透明かつ13.56MHz動作可能なRFIDタグが実現可能である。
(実施の形態2)
本発明の実施の形態2によるHEMT(High Electron Mobility Transistor)構造と製造方法について図10を用いて説明する。
まず、サファイア基板や酸化亜鉛基板等の半導体基板21の上に、二次元電子ガス層22を形成するようなバンド構造の組み合わせを選択し、例えば、酸化亜鉛マグネシウム/酸化亜鉛/酸化亜鉛マグネシウムから構成される多層膜23をMBE法やMO(Metal Organic)CVD法、PLD(Pulsed Laser Deposition)法等により結晶成長する。基板材料による影響や極性面の制御を行う場合には半導体基板表面上に200℃以下の低温条件にて成長した酸化亜鉛層や酸化亜鉛マグネシウム層等のバッファ層を上記の多層構造23と基板21の中間に設ける場合もある。この多層構造結晶23上にCVD法やスパッタ法、反応性蒸着法等によりゲート絶縁膜24を成膜し、更にゲート電極25を蒸着法やスパッタ法等により形成し、ホトレジスト等をマスク26としてドライエッチング法またはミリング法27によりゲート電極25からゲート絶縁膜24までを加工する。その後、ホトレジストマスク28を形成した後、ソース・ドレイン電極層29を蒸着法やスパッタ法等により成膜し、リフトオフ法30によりソース・ドレイン電極加工を行い(または、ホト工程を後に行い、エッチングによりソース・ドレイン電極加工を行ってもよい)、HEMT素子が完成するが、上記ゲート絶縁膜24を形成する直前に、本発明の酸化物半導体表面処理方法31を適用する。処理の方法は、(実施の形態1)のa)、b)に記載されている処理方法と基本的に同一であるが、MBE法やMOCVD法、PLD法による多層構造結晶22成長後に同一の超高真空槽内または異なる超高真空槽内で連続して本発明の気相処理法、特に分子ビーム法を用いて処理すると処理工程も少なくより効果的である。
実際に酸化亜鉛単結晶基板上に酸化亜鉛マグネシウム障壁層(300nm)、酸化亜鉛チャネル層(20nm)、酸化亜鉛マグネシウムキャップ層(5nm)の順にMBE成長した多層構造結晶を用い、ゲート絶縁膜としてスパッタ法により形成したAl層(50nm)、ゲート電極として電子ビーム蒸着法により形成したAu(250nm)/Ti(10nm)多層膜、ソース・ドレイン電極として電子ビーム蒸着法により形成したAu(250nm)/Mo(10nm)を作製した際、多層構造結晶表面を本発明の硫化水素ガスを用いた気層処理法を用い、50℃、20×10Paにて10分間処理した後、ゲート絶縁膜の酸化アルミニウム層を形成した場合の未処理の場合のVthのヒステリシス特性を比較した結果が図11である。
これによると未処理の場合のVthヒステリシスが約2〜3Vであるのに対し、本発明の表面処理を行ったものでは0〜0.5V以内に抑制されていることが確認できる。このVthヒステリシスはゲート絶縁膜または酸化物半導体中の何らかの可動イオンが酸化物半導体中の酸素欠陥を介して移動すること起因する現象と考えられ、当然ながら素子の特性ばらつき抑制や安定動作のためにはVthヒステリシス特性が小さいことが望ましく、従来は酸化ハフニウム等の界面の制御はしやすいが加工の困難な絶縁膜を利用することもあった。
しかしながら、本発明の表面処理方法によりゲート絶縁膜/酸化物半導体間の酸素欠陥が抑制され、通常の半導体プロセスで用いる酸化アルミニウムや酸化シリコン膜で十分実用化できることが確認された。これにより酸化物半導体のワイドギャップや高励起子結合エネルギー特性を利用したパワーデバイス、センサデバイス等の実用化が期待できる。なお、ゲート長1μmの上記HEMT素子の特性としては、gm(相互コンダクタンス)として80mS/mm、移動度としては135cm/Vsが得られている。なお、本実施例では横型の電界効果型トランジスタについて記述したが、例えば、LEDやLD、バイポーラトランジスタの様な縦型構造のトランジスタで酸化物半導体と絶縁膜の界面が存在するデバイスでも本発明の表面処理により酸素欠陥が低減でき、リーク電流低減等の付随的効果が期待できる。
以上、本発明者によってなされた発明を実施の形態に基づき具体的に説明したが、本発明は前記実施の形態に限定されるものではなく、その要旨を逸脱しない範囲で種々変更可能であることはいうまでもない。
本発明の半導体装置の製造方法は、多結晶シリコン膜を有する半導体製品の品質管理に適用することが可能である。
本発明で用いる酸素族亜鉛化合物の物性値と酸化亜鉛物性値を比較する図。 本発明の実施の形態1によるボトムゲート型酸化物半導体薄膜トランジスタの構造を示す断面図。 (a)−(g)は、本発明の実施の形態1によるボトムゲート型酸化物半導体薄膜トランジスタの製造工程を示す断面図。 本発明の実施の形態1によるトップゲート型酸化物半導体薄膜トランジスタの構造を示す断面図。 (a)−(g)は、本発明の実施の形態1によるトップゲート型酸化物半導体薄膜トランジスタの製造工程を示す断面図。 本発明の実施の形態1によるボトムゲート型酸化物半導体薄膜トランジスタの電流−電圧特性から測定した連続動作時間としきい電位シフトの関係を示すグラフ図。 本発明の実施の形態1を適用する液晶ディスプレイ(a)と有機ELディスプレイ(b)の簡単な回路の模式図。 本発明の実施の形態1によるトップゲート型酸化物半導体薄膜トランジスタの電流−電圧特性から測定した連続動作時間としきい電位シフトの関係を示すグラフ図。 本発明の実施の形態1を適用するRFIDタグの簡単な回路の模式図。 (a)−(f)は、本発明の実施の形態2による酸化物半導体HEMTの製造工程を示す断面図。 本発明の実施の形態2による酸化物半導体HEMTの電流−電圧特性から測定したしきい電位ヒステリシスとゲート長の関係を示すグラフ図。
符号の説明
1…支持基板、
2…ゲート電極、
3…ゲート絶縁膜、
4…ソース・ドレイン電極層、
5…本発明の表面処理、
6…本発明の表面処理層、
7…酸化物半導体層、
8…パッシベーション層、
9…ソース・ドレイン電極レジストパターン、
10…ゲート電極レジストパターン、
11…支持基板、
12…ソース・ドレイン電極層、
13…酸化物半導体層、
14…本発明の表面処理、
15…本発明の表面処理層、
16…ゲート絶縁膜、
17…ゲート電極層、
18…パッシベーション層、
19…ゲート電極レジストパターン、
21…半導体基板、
22…二次元電子ガス層、
23…酸化物半導体活性層、
24…ゲート絶縁膜、
25…ゲート電極層、
26…ゲート電極レジストパターン、
27…ゲート加工処理、
28…リフトオフ用レジストパターン、
29…ソース・ドレイン電極層、
30…リフトオフプロセス、
31…本発明の表面処理、
32…本発明の表面処理層。

Claims (11)

  1. 基板上に設けられ亜鉛を含む酸化物半導体から構成されたチャネル層と、
    前記チャネル層を挟むように該チャネル層の両端部に接して設けられたソース・ドレイン電極層と、
    前記チャネル層の一表面と第1の面で接して設けられたゲート絶縁膜と、
    前記ゲート絶縁膜の前記第1の面と対向する第2の面に設けられ、前記チャネル層に前記ゲート絶縁膜を介して電界を与えるゲート電極と、を有し、
    前記ゲート絶縁膜と前記チャネル層とが接触する界面に、硫黄、またはセレンの少なく
    とも一つを含み、前記界面近傍に形成される酸素欠陥を抑制する表面処理層を有することを特徴とする酸化物半導体装置。
  2. 前記表面処理層に含有する硫黄、またはセレンの原子濃度が、1016cm−3以上で1020cm−3以下の範囲内にあることを特徴とする請求項1に記載の酸化物半導体装置。
  3. 前記チャネル層が、少なくとも亜鉛を含有する酸化物半導体、またはこれらの酸化亜鉛系酸化物半導体の数種類を組み合わせた積層膜であることを特徴とする請求項1に記載の酸化物半導体装置。
  4. 前記ゲート電極が前記基板表面上に設けられ、前記ソース・ドレイン電極層が前記基板に対して前記ゲート電極より遠い側に設けられたボトムゲート型構造であることを特徴とする請求項1に記載の酸化物半導体装置。
  5. 前記ソース・ドレイン電極層が前記基板表面上に設けられ、前記ゲート電極が前記基板に対して前記ソース・ドレイン電極層より遠い側に設けられたトップゲート型構造であることを特徴とする請求項1に記載の酸化物半導体装置。
  6. 基板上に所望の形状を有するゲート電極を形成する工程と、
    前記ゲート電極および前記基板の表面を覆うようにゲート絶縁膜を堆積する工程と、
    前記ゲート絶縁膜上に導電体からなるソース・ドレイン電極層を堆積する工程と、
    前記堆積したソース・ドレイン電極層をパターニングし前記ゲート電極上に開口部を形
    成する工程と、
    前記開口部を通して前記ゲート絶縁膜の表面に、硫黄またはセレンの少なくとも一つを
    導入し表面処理層を形成する工程と、
    前記表面処理層の表面を少なくとも覆うように亜鉛を含む酸化物半導体を堆積しチャネ
    ル層を形成する工程とを有し、
    前記表面処理層は、前記ゲート絶縁膜と前記チャネル層とが接触する界面近傍に形成される酸素欠陥を抑制することを特徴とする酸化物半導体装置の製造方法。
  7. 前記ゲート絶縁膜表面上へ硫黄またはセレンの少なくとも一つを導入する手段が、それらの化合物による分子ビーム照射、プラズマ照射、イオンビーム照射、ラジカル照射、気相処理、ミスト処理、液相処理のいずれかであり、
    前記亜鉛を含む酸化物半導体からなるチャネル層を形成する手段が、スパッタ法、化学気相成長(CVD:Chemical Vapor Deposition)法、分子ビーム成長(MBE:Molecular Beam Epitaxy)法、反応性蒸着法のいずれかであることを特徴とする請求項6に記載の酸化物半導体装置の製造方法。
  8. 前記表面処理層の形成に用いる硫黄、またはセレンの化合物が、硫化水素、硫化アンモニウム、エタンチオール、デカンチオール、ドデカンチオール、エチルメチルスルフィド、ジプロピルスルフィド、プロピレンスルフィド、硫化セレン、セレン酸、亜セレン酸のいずれか一つであることを特徴とする請求項6に記載の酸化物半導体装置の製造方法。
  9. 基板上に所望の形状を有するソース・ドレイン電極層を形成する工程と、
    前記ソース・ドレイン電極層および前記基板の表面を覆うように亜鉛を含む酸化物半導
    体を堆積する工程と、
    前記酸化物半導体の表面に、硫黄またはセレンの少なくとも一つを導入し表面処理層を
    形成する工程と、
    前記表面処理層を有する酸化物半導体上に、ゲート絶縁膜を堆積する工程と、
    前記ゲート絶縁膜上にさらにゲート電極膜を堆積し該ゲート電極膜をパターニングして
    ゲート電極を形成する工程とを有し、
    前記表面処理層は、前記ゲート絶縁膜と前記酸化物半導体とが接触する界面近傍に形成される酸素欠陥を抑制することを特徴とする酸化物半導体装置の製造方法。
  10. 前記ゲート絶縁膜表面上へ硫黄またはセレンの少なくとも一つを導入する手段が、それらの化合物による分子ビーム照射、プラズマ照射、イオンビーム照射、ラジカル照射、気相処理、ミスト処理、液相処理のいずれかであり、
    前記亜鉛を含む酸化物半導体からなるチャネル層を形成する手段が、スパッタ法、化学気相成長(CVD:Chemical Vapor Deposition)法、分子ビーム成長(MBE:Molecular Beam Epitaxy)法、反応性蒸着法のいずれかであることを特徴とする請求項9に記載の酸化物半導体装置の製造方法。
  11. 前記表面処理層の形成に用いる硫黄、またはセレンの化合物が、硫化水素、硫化アンモニウム、エタンチオール、デカンチオール、ドデカンチオール、エチルメチルスルフィド、ジプロピルスルフィド、プロピレンスルフィド、硫化セレン、セレン酸、亜セレン酸のいずれか一つであることを特徴とする請求項9に記載の酸化物半導体装置の製造方法。
JP2007333865A 2007-12-26 2007-12-26 酸化物半導体装置およびその製造方法 Expired - Fee Related JP5291928B2 (ja)

Priority Applications (4)

Application Number Priority Date Filing Date Title
JP2007333865A JP5291928B2 (ja) 2007-12-26 2007-12-26 酸化物半導体装置およびその製造方法
KR1020080108671A KR101035771B1 (ko) 2007-12-26 2008-11-04 산화물 반도체장치 및 그 제조방법
US12/329,649 US20090166616A1 (en) 2007-12-26 2008-12-08 Oxide semiconductor device and surface treatment method of oxide semiconductor
US14/191,598 US20140175437A1 (en) 2007-12-26 2014-02-27 Oxide Semiconductor Device and Surface Treatment Method of Oxide Semiconductor

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2007333865A JP5291928B2 (ja) 2007-12-26 2007-12-26 酸化物半導体装置およびその製造方法

Publications (3)

Publication Number Publication Date
JP2009158663A JP2009158663A (ja) 2009-07-16
JP2009158663A5 JP2009158663A5 (ja) 2011-01-13
JP5291928B2 true JP5291928B2 (ja) 2013-09-18

Family

ID=40796995

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2007333865A Expired - Fee Related JP5291928B2 (ja) 2007-12-26 2007-12-26 酸化物半導体装置およびその製造方法

Country Status (3)

Country Link
US (2) US20090166616A1 (ja)
JP (1) JP5291928B2 (ja)
KR (1) KR101035771B1 (ja)

Families Citing this family (310)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2007020729A1 (ja) * 2005-08-18 2007-02-22 Yamanashi University 酸化亜鉛薄膜の製造方法及び製造装置
US8058096B2 (en) * 2007-07-31 2011-11-15 Hewlett Packard Development Company, L.P. Microelectronic device
KR101651224B1 (ko) 2008-06-04 2016-09-06 삼성디스플레이 주식회사 유기 발광 표시 장치 및 그 제조 방법
KR101064470B1 (ko) * 2009-01-12 2011-09-15 삼성모바일디스플레이주식회사 박막트랜지스터 및 그 제조방법
KR101048965B1 (ko) * 2009-01-22 2011-07-12 삼성모바일디스플레이주식회사 유기 전계발광 표시장치
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
WO2011010541A1 (en) * 2009-07-18 2011-01-27 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for manufacturing the same
KR101414926B1 (ko) 2009-07-18 2014-07-04 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체 장치 및 반도체 장치 제조 방법
WO2011010545A1 (en) * 2009-07-18 2011-01-27 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for manufacturing the same
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
KR101506124B1 (ko) * 2009-09-04 2015-03-25 가부시끼가이샤 도시바 박막 트랜지스터 및 그 제조 방법
WO2011027656A1 (en) 2009-09-04 2011-03-10 Semiconductor Energy Laboratory Co., Ltd. Transistor and display device
WO2011037010A1 (en) 2009-09-24 2011-03-31 Semiconductor Energy Laboratory Co., Ltd. Semiconductor element and method for manufacturing the same
WO2011043163A1 (en) 2009-10-05 2011-04-14 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method thereof
JP2011082332A (ja) * 2009-10-07 2011-04-21 National Chiao Tung Univ 高電子移動度トランジスタの構造、その構造を含んだデバイス及びその製造方法
WO2011052384A1 (en) 2009-10-30 2011-05-05 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for manufacturing the same
CN102576708B (zh) 2009-10-30 2015-09-23 株式会社半导体能源研究所 半导体装置
KR101803254B1 (ko) * 2009-11-27 2017-11-30 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체 장치
KR20110066370A (ko) * 2009-12-11 2011-06-17 한국전자통신연구원 박막트랜지스터 및 그의 제조방법
US8252618B2 (en) * 2009-12-15 2012-08-28 Primestar Solar, Inc. Methods of manufacturing cadmium telluride thin film photovoltaic devices
KR101830195B1 (ko) * 2009-12-18 2018-02-20 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체 장치와 그것의 제작 방법
KR101871654B1 (ko) 2009-12-18 2018-06-26 가부시키가이샤 한도오따이 에네루기 켄큐쇼 표시 장치의 구동 방법 및 표시 장치
WO2011077946A1 (en) * 2009-12-25 2011-06-30 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device
CN109390215B (zh) 2009-12-28 2023-08-15 株式会社半导体能源研究所 制造半导体装置的方法
KR101842413B1 (ko) 2009-12-28 2018-03-26 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체 장치
KR101760537B1 (ko) * 2009-12-28 2017-07-21 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체 장치
KR101675115B1 (ko) * 2010-01-12 2016-11-22 삼성전자주식회사 산화물 박막 트랜지스터 및 그 제조 방법
KR101798367B1 (ko) * 2010-01-15 2017-11-16 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체 장치
KR20180001594A (ko) * 2010-02-12 2018-01-04 가부시키가이샤 한도오따이 에네루기 켄큐쇼 표시 장치 및 구동 방법
KR20240035927A (ko) 2010-02-23 2024-03-18 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체 장치 및 그 제조 방법
KR101929190B1 (ko) * 2010-03-05 2018-12-17 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체 장치
US8043955B1 (en) 2010-03-30 2011-10-25 Primestar Solar, Inc. Methods of forming a conductive transparent oxide film layer for use in a cadmium telluride based thin film photovoltaic device
US8043954B1 (en) 2010-03-30 2011-10-25 Primestar Solar, Inc. Methods of forming a conductive transparent oxide film layer for use in a cadmium telluride based thin film photovoltaic device
KR102141064B1 (ko) 2010-04-02 2020-08-04 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체 장치
US8912537B2 (en) 2010-04-23 2014-12-16 Hitachi, Ltd. Semiconductor device, RFID tag using the same and display device
KR101718016B1 (ko) * 2010-06-04 2017-03-21 엘지전자 주식회사 이동 단말기 및 이동단말기 안테나의 제조방법
JP5917035B2 (ja) * 2010-07-26 2016-05-11 株式会社半導体エネルギー研究所 半導体装置
TWI608486B (zh) 2010-09-13 2017-12-11 半導體能源研究所股份有限公司 半導體裝置
KR101952456B1 (ko) * 2010-10-29 2019-02-26 가부시키가이샤 한도오따이 에네루기 켄큐쇼 기억 장치
TWI545652B (zh) 2011-03-25 2016-08-11 半導體能源研究所股份有限公司 半導體裝置及其製造方法
US9219159B2 (en) 2011-03-25 2015-12-22 Semiconductor Energy Laboratory Co., Ltd. Method for forming oxide semiconductor film and method for manufacturing semiconductor device
US9012904B2 (en) * 2011-03-25 2015-04-21 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for manufacturing the same
US9093538B2 (en) * 2011-04-08 2015-07-28 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for manufacturing the same
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
WO2012172746A1 (en) * 2011-06-17 2012-12-20 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for manufacturing the same
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
JP5679933B2 (ja) * 2011-08-12 2015-03-04 富士フイルム株式会社 薄膜トランジスタ及びその製造方法、表示装置、イメージセンサー、x線センサー並びにx線デジタル撮影装置
JP2013097469A (ja) * 2011-10-28 2013-05-20 Sharp Corp タッチパネル駆動装置、表示装置、タッチパネルの駆動方法、プログラムおよび記録媒体
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
JP5917212B2 (ja) * 2012-03-16 2016-05-11 株式会社半導体エネルギー研究所 半導体装置および半導体装置の作製方法
CN104428453B (zh) * 2012-07-05 2017-04-05 株式会社尼康 氧化锌薄膜的制造方法、薄膜晶体管的制造方法、氧化锌薄膜、薄膜晶体管和透明氧化物配线
US9558931B2 (en) * 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US8717084B1 (en) * 2012-12-06 2014-05-06 Arm Limited Post fabrication tuning of an integrated circuit
US10657334B2 (en) * 2012-12-14 2020-05-19 Avery Dennison Corporation RFID devices configured for direct interaction
KR20150012874A (ko) 2013-07-26 2015-02-04 삼성디스플레이 주식회사 박막 트랜지스터 및 이의 제조 방법 및 평판 표시 장치용 백플레인의 제조 방법.
US9552767B2 (en) * 2013-08-30 2017-01-24 Semiconductor Energy Laboratory Co., Ltd. Light-emitting device
CN103500711B (zh) * 2013-10-15 2017-06-06 深圳市华星光电技术有限公司 薄膜晶体管的制造方法
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
NL1040773B1 (en) * 2014-04-18 2016-06-27 Stichting Dutch Polymer Inst Semiconductor device and process of producing a semiconductor device.
JP6287635B2 (ja) * 2014-06-30 2018-03-07 日立金属株式会社 半導体装置の製造方法および半導体装置
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9768254B2 (en) 2015-07-30 2017-09-19 International Business Machines Corporation Leakage-free implantation-free ETSOI transistors
KR101814254B1 (ko) 2015-10-08 2018-01-31 한양대학교 산학협력단 투명 활성층, 이를 포함하는 박막 트랜지스터, 및 그 제조 방법
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN107634034A (zh) * 2017-09-15 2018-01-26 惠科股份有限公司 主动阵列开关的制造方法
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
KR102010157B1 (ko) * 2017-12-26 2019-08-12 한양대학교 산학협력단 투명 활성층, 이를 포함하는 박막 트랜지스터, 및 그 제조 방법
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN116732497A (zh) 2018-02-14 2023-09-12 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
US11307752B2 (en) 2019-05-06 2022-04-19 Apple Inc. User configurable task triggers
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
CN112242441A (zh) * 2019-07-16 2021-01-19 联华电子股份有限公司 高电子迁移率晶体管
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7339187B2 (en) * 2002-05-21 2008-03-04 State Of Oregon Acting By And Through The Oregon State Board Of Higher Education On Behalf Of Oregon State University Transistor structures
JP3851896B2 (ja) * 2002-09-27 2006-11-29 株式会社東芝 半導体装置の製造方法
JP2004327857A (ja) * 2003-04-25 2004-11-18 Pioneer Electronic Corp 有機トランジスタの製造方法および有機トランジスタ
US7145174B2 (en) * 2004-03-12 2006-12-05 Hewlett-Packard Development Company, Lp. Semiconductor device
CN101057333B (zh) * 2004-11-10 2011-11-16 佳能株式会社 发光器件
US7402506B2 (en) * 2005-06-16 2008-07-22 Eastman Kodak Company Methods of making thin film transistors comprising zinc-oxide-based semiconductor materials and transistors made thereby
US7820495B2 (en) * 2005-06-30 2010-10-26 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing semiconductor device
JP4664771B2 (ja) * 2005-08-11 2011-04-06 株式会社東芝 半導体装置およびその製造方法
JP4958253B2 (ja) * 2005-09-02 2012-06-20 財団法人高知県産業振興センター 薄膜トランジスタ
US7906415B2 (en) * 2006-07-28 2011-03-15 Xerox Corporation Device having zinc oxide semiconductor and indium/zinc electrode
KR101345376B1 (ko) * 2007-05-29 2013-12-24 삼성전자주식회사 ZnO 계 박막 트랜지스터 및 그 제조방법

Also Published As

Publication number Publication date
KR20090071358A (ko) 2009-07-01
KR101035771B1 (ko) 2011-05-20
US20140175437A1 (en) 2014-06-26
JP2009158663A (ja) 2009-07-16
US20090166616A1 (en) 2009-07-02

Similar Documents

Publication Publication Date Title
JP5291928B2 (ja) 酸化物半導体装置およびその製造方法
JP5584960B2 (ja) 薄膜トランジスタおよび表示装置
US8466462B2 (en) Thin film transistor and method of fabricating the same
JP5474372B2 (ja) 薄膜トランジスタ、その製造方法及び薄膜トランジスタを備える平板表示装置
JP5474374B2 (ja) 薄膜トランジスタ、その製造方法及び薄膜トランジスタを備える平板表示装置
KR101980196B1 (ko) 트랜지스터와 그 제조방법 및 트랜지스터를 포함하는 전자소자
KR100889688B1 (ko) 반도체 활성층 제조 방법, 그를 이용한 박막 트랜지스터의제조 방법 및 반도체 활성층을 구비하는 박막 트랜지스터
EP2634812B1 (en) Transistor, Method Of Manufacturing The Same And Electronic Device Including Transistor
US20140239291A1 (en) Metal-oxide semiconductor thin film transistors and methods of manufacturing the same
US20100001346A1 (en) Treatment of Gate Dielectric for Making High Performance Metal Oxide and Metal Oxynitride Thin Film Transistors
WO2013168748A1 (ja) 薄膜トランジスタおよび表示装置
KR20110010323A (ko) 박막 트랜지스터 및 그 제조방법
KR101372734B1 (ko) 액상공정을 이용한 박막 트랜지스터 및 그 제조방법
KR100991559B1 (ko) 박막트랜지스터 제조방법 및 이에 의해 제조된박막트랜지스터
JP2012028481A (ja) 電界効果型トランジスタ及びその製造方法
TW201442249A (zh) 薄膜電晶體
US9070779B2 (en) Metal oxide TFT with improved temperature stability
KR20120100241A (ko) 박막 트랜지스터 및 그 제조 방법, 박막 트랜지스터를 구비한 평판 표시 장치
JP2011258804A (ja) 電界効果型トランジスタ及びその製造方法
CN108417620B (zh) 一种氧化物绝缘体薄膜及薄膜晶体管
KR102214812B1 (ko) 비정질 박막 트랜지스터 및 이의 제조 방법
KR102406298B1 (ko) 산화물 반도체층을 포함하는 박막 트랜지스터
KR100982314B1 (ko) 박막트랜지스터, 그의 제조방법 및 그를 포함하는유기전계발광표시장치
KR102571072B1 (ko) 박막 트랜지스터 및 그의 제조방법
KR20190015985A (ko) 반도체 장치의 제조 방법

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20101118

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20101118

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20130131

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130205

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20130514

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20130610

LAPS Cancellation because of no payment of annual fees