JP2012069957A - 基板を処理するクラスタツールアーキテクチャ - Google Patents
基板を処理するクラスタツールアーキテクチャ Download PDFInfo
- Publication number
- JP2012069957A JP2012069957A JP2011224043A JP2011224043A JP2012069957A JP 2012069957 A JP2012069957 A JP 2012069957A JP 2011224043 A JP2011224043 A JP 2011224043A JP 2011224043 A JP2011224043 A JP 2011224043A JP 2012069957 A JP2012069957 A JP 2012069957A
- Authority
- JP
- Japan
- Prior art keywords
- processing
- robot
- substrate
- processing chamber
- chamber
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Pending
Links
- 238000012545 processing Methods 0.000 title claims abstract description 1282
- 239000000758 substrate Substances 0.000 title claims abstract description 1020
- 238000000034 method Methods 0.000 claims abstract description 231
- 238000012546 transfer Methods 0.000 claims abstract description 225
- 230000008569 process Effects 0.000 claims abstract description 161
- 239000012530 fluid Substances 0.000 claims description 225
- 238000005057 refrigeration Methods 0.000 claims description 176
- 229920002120 photoresistant polymer Polymers 0.000 claims description 169
- FFUAGWLWBBFQJT-UHFFFAOYSA-N hexamethyldisilazane Chemical compound C[Si](C)(C)N[Si](C)(C)C FFUAGWLWBBFQJT-UHFFFAOYSA-N 0.000 claims description 76
- 238000009826 distribution Methods 0.000 claims description 49
- 238000000576 coating method Methods 0.000 claims description 48
- 239000011248 coating agent Substances 0.000 claims description 43
- 238000000429 assembly Methods 0.000 claims description 42
- 230000000712 assembly Effects 0.000 claims description 42
- 238000011161 development Methods 0.000 claims description 39
- 238000001816 cooling Methods 0.000 claims description 36
- 238000004891 communication Methods 0.000 claims description 30
- 230000008859 change Effects 0.000 claims description 19
- 230000015654 memory Effects 0.000 claims description 18
- 238000010438 heat treatment Methods 0.000 claims description 13
- 238000000151 deposition Methods 0.000 claims description 8
- 230000002829 reductive effect Effects 0.000 abstract description 17
- 239000000463 material Substances 0.000 description 62
- 239000007789 gas Substances 0.000 description 60
- 230000033001 locomotion Effects 0.000 description 41
- 235000012431 wafers Nutrition 0.000 description 24
- 238000001514 detection method Methods 0.000 description 23
- 239000002245 particle Substances 0.000 description 19
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 18
- 230000007246 mechanism Effects 0.000 description 18
- 230000005855 radiation Effects 0.000 description 18
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 description 16
- 238000013461 design Methods 0.000 description 14
- 238000004519 manufacturing process Methods 0.000 description 14
- 230000008016 vaporization Effects 0.000 description 14
- 230000001276 controlling effect Effects 0.000 description 13
- 239000010408 film Substances 0.000 description 13
- 229910052782 aluminium Inorganic materials 0.000 description 12
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 12
- 230000008901 benefit Effects 0.000 description 12
- 238000009834 vaporization Methods 0.000 description 11
- 102100023698 C-C motif chemokine 17 Human genes 0.000 description 10
- CURLTUGMZLYLDI-UHFFFAOYSA-N Carbon dioxide Chemical compound O=C=O CURLTUGMZLYLDI-UHFFFAOYSA-N 0.000 description 10
- 101000978362 Homo sapiens C-C motif chemokine 17 Proteins 0.000 description 10
- 238000001459 lithography Methods 0.000 description 10
- 238000011109 contamination Methods 0.000 description 9
- 239000013078 crystal Substances 0.000 description 9
- 229910052757 nitrogen Inorganic materials 0.000 description 9
- 239000002699 waste material Substances 0.000 description 9
- 230000003667 anti-reflective effect Effects 0.000 description 8
- 229910052786 argon Inorganic materials 0.000 description 8
- 238000009434 installation Methods 0.000 description 8
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 7
- 239000006227 byproduct Substances 0.000 description 7
- PMHQVHHXPFUNSP-UHFFFAOYSA-M copper(1+);methylsulfanylmethane;bromide Chemical compound Br[Cu].CSC PMHQVHHXPFUNSP-UHFFFAOYSA-M 0.000 description 7
- 229910002804 graphite Inorganic materials 0.000 description 7
- 239000010439 graphite Substances 0.000 description 7
- 239000007788 liquid Substances 0.000 description 7
- 230000003287 optical effect Effects 0.000 description 7
- 238000004140 cleaning Methods 0.000 description 6
- 239000004020 conductor Substances 0.000 description 6
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 5
- 239000011324 bead Substances 0.000 description 5
- 239000001569 carbon dioxide Substances 0.000 description 5
- 229910002092 carbon dioxide Inorganic materials 0.000 description 5
- 238000010586 diagram Methods 0.000 description 5
- 230000000694 effects Effects 0.000 description 5
- 239000000835 fiber Substances 0.000 description 5
- 238000003754 machining Methods 0.000 description 5
- 238000005259 measurement Methods 0.000 description 5
- 239000010702 perfluoropolyether Substances 0.000 description 5
- -1 polypropylene Polymers 0.000 description 5
- 229910052582 BN Inorganic materials 0.000 description 4
- PZNSFCLAULLKQX-UHFFFAOYSA-N Boron nitride Chemical compound N#B PZNSFCLAULLKQX-UHFFFAOYSA-N 0.000 description 4
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 4
- MCMNRKCIXSYSNV-UHFFFAOYSA-N Zirconium dioxide Chemical compound O=[Zr]=O MCMNRKCIXSYSNV-UHFFFAOYSA-N 0.000 description 4
- 230000015572 biosynthetic process Effects 0.000 description 4
- 229910010293 ceramic material Inorganic materials 0.000 description 4
- 229910052802 copper Inorganic materials 0.000 description 4
- 239000010949 copper Substances 0.000 description 4
- 238000012937 correction Methods 0.000 description 4
- 230000007547 defect Effects 0.000 description 4
- 238000009792 diffusion process Methods 0.000 description 4
- 239000012636 effector Substances 0.000 description 4
- 238000001704 evaporation Methods 0.000 description 4
- 238000003780 insertion Methods 0.000 description 4
- 230000037431 insertion Effects 0.000 description 4
- 230000014759 maintenance of location Effects 0.000 description 4
- 229920006395 saturated elastomer Polymers 0.000 description 4
- 239000004065 semiconductor Substances 0.000 description 4
- YVGGHNCTFXOJCH-UHFFFAOYSA-N DDT Chemical compound C1=CC(Cl)=CC=C1C(C(Cl)(Cl)Cl)C1=CC=C(Cl)C=C1 YVGGHNCTFXOJCH-UHFFFAOYSA-N 0.000 description 3
- 101710189714 Major cell-binding factor Proteins 0.000 description 3
- 239000004809 Teflon Substances 0.000 description 3
- 229920006362 Teflon® Polymers 0.000 description 3
- 230000009471 action Effects 0.000 description 3
- 230000003139 buffering effect Effects 0.000 description 3
- 238000006243 chemical reaction Methods 0.000 description 3
- 230000008021 deposition Effects 0.000 description 3
- 238000005137 deposition process Methods 0.000 description 3
- 229910003460 diamond Inorganic materials 0.000 description 3
- 239000010432 diamond Substances 0.000 description 3
- 238000001035 drying Methods 0.000 description 3
- 230000005670 electromagnetic radiation Effects 0.000 description 3
- 239000001307 helium Substances 0.000 description 3
- 229910052734 helium Inorganic materials 0.000 description 3
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 description 3
- 238000012423 maintenance Methods 0.000 description 3
- 239000000047 product Substances 0.000 description 3
- 230000000284 resting effect Effects 0.000 description 3
- 238000007789 sealing Methods 0.000 description 3
- 230000035939 shock Effects 0.000 description 3
- HBMJWWWQQXIZIP-UHFFFAOYSA-N silicon carbide Chemical compound [Si+]#[C-] HBMJWWWQQXIZIP-UHFFFAOYSA-N 0.000 description 3
- 229910010271 silicon carbide Inorganic materials 0.000 description 3
- 239000000126 substance Substances 0.000 description 3
- PXHVJJICTQNCMI-UHFFFAOYSA-N Nickel Chemical compound [Ni] PXHVJJICTQNCMI-UHFFFAOYSA-N 0.000 description 2
- 239000002033 PVDF binder Substances 0.000 description 2
- PNEYBMLMFCGWSK-UHFFFAOYSA-N aluminium oxide Inorganic materials [O-2].[O-2].[O-2].[Al+3].[Al+3] PNEYBMLMFCGWSK-UHFFFAOYSA-N 0.000 description 2
- 238000013459 approach Methods 0.000 description 2
- 230000002860 competitive effect Effects 0.000 description 2
- 230000008878 coupling Effects 0.000 description 2
- 238000010168 coupling process Methods 0.000 description 2
- 238000005859 coupling reaction Methods 0.000 description 2
- 238000005516 engineering process Methods 0.000 description 2
- 230000007613 environmental effect Effects 0.000 description 2
- 230000008020 evaporation Effects 0.000 description 2
- 230000006870 function Effects 0.000 description 2
- 239000011261 inert gas Substances 0.000 description 2
- 239000011810 insulating material Substances 0.000 description 2
- 230000003993 interaction Effects 0.000 description 2
- 238000002955 isolation Methods 0.000 description 2
- QSHDDOUJBYECFT-UHFFFAOYSA-N mercury Chemical compound [Hg] QSHDDOUJBYECFT-UHFFFAOYSA-N 0.000 description 2
- 229910052751 metal Inorganic materials 0.000 description 2
- 239000002184 metal Substances 0.000 description 2
- 239000011368 organic material Substances 0.000 description 2
- 239000004033 plastic Substances 0.000 description 2
- 229920003023 plastic Polymers 0.000 description 2
- 229920002981 polyvinylidene fluoride Polymers 0.000 description 2
- 238000003672 processing method Methods 0.000 description 2
- 230000001737 promoting effect Effects 0.000 description 2
- 238000012552 review Methods 0.000 description 2
- 229910052594 sapphire Inorganic materials 0.000 description 2
- 239000010980 sapphire Substances 0.000 description 2
- 238000012163 sequencing technique Methods 0.000 description 2
- 235000012239 silicon dioxide Nutrition 0.000 description 2
- 239000000377 silicon dioxide Substances 0.000 description 2
- 238000012876 topography Methods 0.000 description 2
- 230000007704 transition Effects 0.000 description 2
- 238000013519 translation Methods 0.000 description 2
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Chemical compound O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 description 2
- 229910052580 B4C Inorganic materials 0.000 description 1
- 229920001780 ECTFE Polymers 0.000 description 1
- 229910000807 Ga alloy Inorganic materials 0.000 description 1
- ZOKXTWBITQBERF-UHFFFAOYSA-N Molybdenum Chemical compound [Mo] ZOKXTWBITQBERF-UHFFFAOYSA-N 0.000 description 1
- 239000004813 Perfluoroalkoxy alkane Substances 0.000 description 1
- 239000002202 Polyethylene glycol Substances 0.000 description 1
- 239000004642 Polyimide Substances 0.000 description 1
- 239000004743 Polypropylene Substances 0.000 description 1
- 229910004298 SiO 2 Inorganic materials 0.000 description 1
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 1
- 238000003070 Statistical process control Methods 0.000 description 1
- ATJFFYVFTNAWJD-UHFFFAOYSA-N Tin Chemical compound [Sn] ATJFFYVFTNAWJD-UHFFFAOYSA-N 0.000 description 1
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 description 1
- NRTOMJZYCJJWKI-UHFFFAOYSA-N Titanium nitride Chemical compound [Ti]#N NRTOMJZYCJJWKI-UHFFFAOYSA-N 0.000 description 1
- 230000002411 adverse Effects 0.000 description 1
- 238000003915 air pollution Methods 0.000 description 1
- 239000006117 anti-reflective coating Substances 0.000 description 1
- 238000005422 blasting Methods 0.000 description 1
- INAHAJYZKVIDIZ-UHFFFAOYSA-N boron carbide Chemical compound B12B3B4C32B41 INAHAJYZKVIDIZ-UHFFFAOYSA-N 0.000 description 1
- 238000005219 brazing Methods 0.000 description 1
- 239000012159 carrier gas Substances 0.000 description 1
- 230000015556 catabolic process Effects 0.000 description 1
- 230000003197 catalytic effect Effects 0.000 description 1
- 230000003749 cleanliness Effects 0.000 description 1
- 239000002131 composite material Substances 0.000 description 1
- 150000001875 compounds Chemical class 0.000 description 1
- 238000009833 condensation Methods 0.000 description 1
- 230000005494 condensation Effects 0.000 description 1
- 238000012790 confirmation Methods 0.000 description 1
- 238000010276 construction Methods 0.000 description 1
- 230000008602 contraction Effects 0.000 description 1
- 238000007796 conventional method Methods 0.000 description 1
- 238000012864 cross contamination Methods 0.000 description 1
- 230000007423 decrease Effects 0.000 description 1
- 239000007857 degradation product Substances 0.000 description 1
- 238000006731 degradation reaction Methods 0.000 description 1
- 239000008367 deionised water Substances 0.000 description 1
- 229910021641 deionized water Inorganic materials 0.000 description 1
- 239000006185 dispersion Substances 0.000 description 1
- 238000005441 electronic device fabrication Methods 0.000 description 1
- 230000007717 exclusion Effects 0.000 description 1
- 238000001125 extrusion Methods 0.000 description 1
- 238000011049 filling Methods 0.000 description 1
- 238000011010 flushing procedure Methods 0.000 description 1
- 229910001084 galinstan Inorganic materials 0.000 description 1
- 230000005484 gravity Effects 0.000 description 1
- 229910052736 halogen Inorganic materials 0.000 description 1
- 150000002367 halogens Chemical class 0.000 description 1
- 229910021385 hard carbon Inorganic materials 0.000 description 1
- 230000020169 heat generation Effects 0.000 description 1
- 229930195733 hydrocarbon Natural products 0.000 description 1
- 150000002430 hydrocarbons Chemical class 0.000 description 1
- 238000007654 immersion Methods 0.000 description 1
- 230000006872 improvement Effects 0.000 description 1
- 229910052738 indium Inorganic materials 0.000 description 1
- APFVFJFRJDLVQX-UHFFFAOYSA-N indium atom Chemical compound [In] APFVFJFRJDLVQX-UHFFFAOYSA-N 0.000 description 1
- 238000007689 inspection Methods 0.000 description 1
- 229910052743 krypton Inorganic materials 0.000 description 1
- DNNSSWSSYDEUBZ-UHFFFAOYSA-N krypton atom Chemical compound [Kr] DNNSSWSSYDEUBZ-UHFFFAOYSA-N 0.000 description 1
- 229910001338 liquidmetal Inorganic materials 0.000 description 1
- 229910052753 mercury Inorganic materials 0.000 description 1
- 238000012986 modification Methods 0.000 description 1
- 230000004048 modification Effects 0.000 description 1
- 229910052750 molybdenum Inorganic materials 0.000 description 1
- 239000011733 molybdenum Substances 0.000 description 1
- 229910052759 nickel Inorganic materials 0.000 description 1
- 238000005457 optimization Methods 0.000 description 1
- 150000007524 organic acids Chemical class 0.000 description 1
- 235000005985 organic acids Nutrition 0.000 description 1
- 230000001151 other effect Effects 0.000 description 1
- 230000036961 partial effect Effects 0.000 description 1
- 229920011301 perfluoro alkoxyl alkane Polymers 0.000 description 1
- 229920001223 polyethylene glycol Polymers 0.000 description 1
- 229920001721 polyimide Polymers 0.000 description 1
- 229920001155 polypropylene Polymers 0.000 description 1
- 229920001343 polytetrafluoroethylene Polymers 0.000 description 1
- 239000004810 polytetrafluoroethylene Substances 0.000 description 1
- 238000002360 preparation method Methods 0.000 description 1
- 238000005086 pumping Methods 0.000 description 1
- 230000009467 reduction Effects 0.000 description 1
- 230000001105 regulatory effect Effects 0.000 description 1
- 230000004044 response Effects 0.000 description 1
- 230000000717 retained effect Effects 0.000 description 1
- 230000002441 reversible effect Effects 0.000 description 1
- 238000007788 roughening Methods 0.000 description 1
- 229910052710 silicon Inorganic materials 0.000 description 1
- 239000010703 silicon Substances 0.000 description 1
- 229910052814 silicon oxide Inorganic materials 0.000 description 1
- 238000005549 size reduction Methods 0.000 description 1
- 239000002195 soluble material Substances 0.000 description 1
- 238000004611 spectroscopical analysis Methods 0.000 description 1
- 238000009987 spinning Methods 0.000 description 1
- 239000007921 spray Substances 0.000 description 1
- 238000003860 storage Methods 0.000 description 1
- 239000004094 surface-active agent Substances 0.000 description 1
- 230000001360 synchronised effect Effects 0.000 description 1
- 230000026676 system process Effects 0.000 description 1
- 239000010409 thin film Substances 0.000 description 1
- 229910052718 tin Inorganic materials 0.000 description 1
- 239000010936 titanium Substances 0.000 description 1
- 229910052719 titanium Inorganic materials 0.000 description 1
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 description 1
- 229910052721 tungsten Inorganic materials 0.000 description 1
- 239000010937 tungsten Substances 0.000 description 1
- 230000003442 weekly effect Effects 0.000 description 1
- 238000003466 welding Methods 0.000 description 1
- 210000000707 wrist Anatomy 0.000 description 1
- 229910052724 xenon Inorganic materials 0.000 description 1
- FHNFHKCVQCLJFQ-UHFFFAOYSA-N xenon atom Chemical compound [Xe] FHNFHKCVQCLJFQ-UHFFFAOYSA-N 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67155—Apparatus for manufacturing or treating in a plurality of work-stations
- H01L21/67207—Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
- H01L21/67225—Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process comprising at least one lithography chamber
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03B—APPARATUS OR ARRANGEMENTS FOR TAKING PHOTOGRAPHS OR FOR PROJECTING OR VIEWING THEM; APPARATUS OR ARRANGEMENTS EMPLOYING ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ACCESSORIES THEREFOR
- G03B27/00—Photographic printing apparatus
- G03B27/32—Projection printing apparatus, e.g. enlarger, copying camera
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03D—APPARATUS FOR PROCESSING EXPOSED PHOTOGRAPHIC MATERIALS; ACCESSORIES THEREFOR
- G03D13/00—Processing apparatus or accessories therefor, not covered by groups G11B3/00 - G11B11/00
- G03D13/006—Temperature control of the developer
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/26—Processing photosensitive materials; Apparatus therefor
- G03F7/40—Treatment after imagewise removal, e.g. baking
-
- G—PHYSICS
- G05—CONTROLLING; REGULATING
- G05B—CONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
- G05B19/00—Programme-control systems
- G05B19/02—Programme-control systems electric
- G05B19/418—Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM]
- G05B19/41815—Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM] characterised by the cooperation between machine tools, manipulators and conveyor or other workpiece supply system, workcell
- G05B19/41825—Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM] characterised by the cooperation between machine tools, manipulators and conveyor or other workpiece supply system, workcell machine tools and manipulators only, machining centre
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67098—Apparatus for thermal treatment
- H01L21/67109—Apparatus for thermal treatment mainly by convection
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/6715—Apparatus for applying a liquid, a resin, an ink or the like
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67155—Apparatus for manufacturing or treating in a plurality of work-stations
- H01L21/67161—Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67155—Apparatus for manufacturing or treating in a plurality of work-stations
- H01L21/67161—Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
- H01L21/67173—Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers in-line arrangement
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67155—Apparatus for manufacturing or treating in a plurality of work-stations
- H01L21/67161—Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
- H01L21/67178—Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers vertical arrangement
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67155—Apparatus for manufacturing or treating in a plurality of work-stations
- H01L21/67184—Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67155—Apparatus for manufacturing or treating in a plurality of work-stations
- H01L21/6719—Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67155—Apparatus for manufacturing or treating in a plurality of work-stations
- H01L21/67196—Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/677—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
- H01L21/67739—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
- H01L21/67742—Mechanical parts of transfer devices
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/677—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
- H01L21/67739—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
- H01L21/67745—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber characterized by movements or sequence of movements of transfer devices
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/677—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
- H01L21/67739—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
- H01L21/67748—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a single workpiece
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/677—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
- H01L21/67739—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
- H01L21/67754—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a batch of workpieces
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/683—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
- H01L21/6831—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/683—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
- H01L21/6838—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping with gripping and holding devices using a vacuum; Bernoulli devices
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/683—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
- H01L21/687—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
- H01L21/68707—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L22/00—Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
- H01L22/20—Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
- H01L22/26—Acting in response to an ongoing measurement without interruption of processing, e.g. endpoint detection, in-situ thickness measurement
-
- G—PHYSICS
- G05—CONTROLLING; REGULATING
- G05B—CONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
- G05B2219/00—Program-control systems
- G05B2219/30—Nc systems
- G05B2219/40—Robotics, robotics mapping to robotics vision
- G05B2219/40476—Collision, planning for collision free path
-
- G—PHYSICS
- G05—CONTROLLING; REGULATING
- G05B—CONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
- G05B2219/00—Program-control systems
- G05B2219/30—Nc systems
- G05B2219/45—Nc applications
- G05B2219/45031—Manufacturing semiconductor wafers
-
- G—PHYSICS
- G05—CONTROLLING; REGULATING
- G05B—CONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
- G05B2219/00—Program-control systems
- G05B2219/30—Nc systems
- G05B2219/49—Nc machine tool, till multiple
- G05B2219/49137—Store working envelop, limit, allowed zone
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y02—TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
- Y02P—CLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
- Y02P90/00—Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
- Y02P90/02—Total factory control, e.g. smart factories, flexible manufacturing systems [FMS] or integrated manufacturing systems [IMS]
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10—TECHNICAL SUBJECTS COVERED BY FORMER USPC
- Y10S—TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10S414/00—Material or article handling
- Y10S414/135—Associated with semiconductor wafer handling
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10—TECHNICAL SUBJECTS COVERED BY FORMER USPC
- Y10S—TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10S414/00—Material or article handling
- Y10S414/135—Associated with semiconductor wafer handling
- Y10S414/136—Associated with semiconductor wafer handling including wafer orienting means
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10—TECHNICAL SUBJECTS COVERED BY FORMER USPC
- Y10T—TECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
- Y10T29/00—Metal working
- Y10T29/53—Means to assemble or disassemble
- Y10T29/5313—Means to assemble electrical device
- Y10T29/53187—Multiple station assembly apparatus
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10—TECHNICAL SUBJECTS COVERED BY FORMER USPC
- Y10T—TECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
- Y10T29/00—Metal working
- Y10T29/53—Means to assemble or disassemble
- Y10T29/5313—Means to assemble electrical device
- Y10T29/532—Conductor
- Y10T29/53209—Terminal or connector
- Y10T29/53213—Assembled to wire-type conductor
- Y10T29/53222—Means comprising hand-manipulatable implement
- Y10T29/5323—Fastening by elastic joining
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Robotics (AREA)
- General Engineering & Computer Science (AREA)
- Quality & Reliability (AREA)
- Automation & Control Theory (AREA)
- Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
- Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
- Coating Apparatus (AREA)
- Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
- Manipulator (AREA)
- Photosensitive Polymer And Photoresist Processing (AREA)
- Materials For Photolithography (AREA)
Abstract
【解決手段】実施形態は、一般的に、スループットを増加させ、信頼性を増加させたマルチチャンバ処理システム(例えばクラスタツール)を使用して基板を処理する機器および方法を提供する。クラスタツール内で処理される基板は繰り返し可能性が高く、システムフットプリントが小さい。クラスタツールの一実施形態では、基板をまとめてグループ化して移送することで、基板を2枚以上のグループ毎に処理してシステムスループットを増加することにより、また、処理チャンバの間で基板のバッチを移送する際の動作数を低減することで、ロボットの疲労を低減し、システムの信頼性を増加させることにより所有権のコストが低減される。実施形態はまた、システムの停止時間を低減し、基板移送処理の信頼性を増加させるために使用される方法および機器を提供する。
【選択図】図1A
Description
第2処理チャンバに近接して位置決めされた第1処理チャンバ内の基板交換装置上に基板を位置決めするステップと、前記基板を、前記第1処理チャンバ内の前記基板交換装置から冷蔵されたロボットブレードの基板受容面へ移送するステップであって、前記基板受容表面が、内部に保持されている前記基板の温度を制御するように適合されているステップと、前記冷蔵したロボットブレードを使用して、前記基板を前記第2処理チャンバへ移送するステップと、前記冷蔵したロボットプレートを使用して、前記基板を第3処理チャンバへ移送するステップであって、前記第3処理チャンバが前記第2処理チャンバに近接しているステップと、を備える方法をさらに提供する。
[00128]図3Aは、基板の表面上に形成されたフォトレジスト材料層を堆積、露光、現像するために使用できる一連の方法ステップ501の一実施形態を図示している。リソグラフィック処理は一般的に以下を包含する。ポッドから基板を除去するステップ508A、BARCコートステップ510、BARC後ベークステップ512、BARC後冷蔵ステップ514、フォトレジストコートステップ520、フォトレジストコート後ベークステップ522、フォトレジスト冷蔵後のステップ524、光学エッジビード除去(OEBR)ステップ536、露光ステップ538、露光後ベーク(PEB)ステップ540、PEB後冷蔵ステップ542、現像ステップ550、ポッド内への設置ステップ508B。別の実施形態では、本発明の基本範囲を変更しない範囲内で、一連の方法ステップ501を再配列および修正でき、1つ以上のステップを除去し、あるいは2つ以上のステップを組み合わせて1つのステップにすることができる。
[00153]図4A〜図4Jは、前端処理ラック52、第1中央処理ラック152、第2中央処理ラック154、後部処理ラック202、第1後部処理ラック302、第2後部処理ラック304、第1処理ラック308、第2処理ラック309、第1中央処理ラック312、第2中央処理ラック314、第1後部処理ラック318、第2後部処理ラック319の一実施形態の側面図を図示する。これらの処理ラックは、基板処理シーケンスの様々な態様を実行する複数の基板処理チャンバを包含している。一般に、図4A〜図4Jに図示した処理ラックは、1つ以上のコータチャンバ60A、1つ以上のデベロッパチャンバ60B、1つ以上の冷蔵チャンバ80、1つ以上のベークチャンバ90、1つ以上のPEBチャンバ130、1つ以上の支持チャンバ65、1つ以上のOEBRチャンバ62、1つ以上のツインコータ/デベロッパチャンバ350、1つ以上のベーク/冷蔵チャンバ800、および/または1つ以上のHMDSチャンバ70のような1つ以上の処理チャンバを包含しており、これらの処理チャンバについては以降でさらに説明する。図4A〜図4Jに示す処理チャンバの方位付け、タイプ、位置決め、個数は本発明の範囲の制限ではなく、本発明の様々な実施形態を図示することを意図したものである。一実施形態では、図4A〜図4Jに示すように、処理チャンバを垂直に積重するか、あるいは1つのチャンバを別のチャンバのほぼ上に位置決めすることでクラスタツール10のフットプリントを低減している。別の実施形態では、チャンバを鉛直に積み重ねることによって、処理チャンバが1つのチャンバが別のチャンバの上に部分的に乗った形で水平方向互い違いのパターンに位置決めされるため、物理サイズの異なる1つ以上のチャンバを使用する場合に、処理ラックのスペースをより効率的に使用できるようになる。さらに別の実施形態では、処理チャンバは、処理チャンバの基部が同じ平面を共用しない形で、垂直方向で互い違いに、および/または、処理チャンバの1側部が別の処理チャンバと同じ面を共用しない形で、水平方向で互い違いに配置されている。クラスタツールを設置するクリーンルームスペースは多くの場合限られており、ツールの建設とメンテナンスに非常に高額の経費がかかるため、クラスタツールフットプリントの最小化はクラスタツールの開発において重要な要因である。
[00165]コータ/デベロッパチャンバ60は、例えば図3A〜図3Cに示すBARCコートステップ510、フォトレジストコートステップ520、反射防止トップコートステプ530、現像ステップ550、および/またはSAFIER(商標)コートステップ551を実行するように適合できる処理チャンバである。一般的に、コータ/デベロッパチャンバ60は2つの主要タイプのチャンバ、即ち図5Aに示すコータチャンバ60Aと、図5Dに示すデベロッパチャンバ60B(以降で説明する)に構成することができる。
[00170]図5Bは、例えばBARCコートステップ510、フォトレジストコートステップ、反射防止トップコートステップ530を実行するように適合できるコータチャンバ60Aの別の実施形態の側面図である。図5Bに示す実施形態は、基板の表面上に堆積した材料の表面からの溶液の気化を制御して厚さ均等処理の結果を改善するための、堆積ステップの1つ以上の段階中に、基板周囲にエンクロージャを形成するように適合することができる。伝統的に、典型的なスピンオンタイプのコーティング処理における厚さ均等性制御は、最後に堆積させる層の均等性の気化を制御するための、基板回転速度と排出流量の制御に依存する。厚さ均等性の制御は、処理ステップ中に基板の表面を横断する空気流によって異なる。処理中の回転速度は、普通、基板の表面を横切る空力のばらつき(例えば、層流から乱流への遷移)の可能性が増加するに従ってコータチャンバ60A内で処理された基板の直径が増加することで低下される。空力のばらつきは、基板の表面と相互作用した空気に速力が与えられたことで生じた「ポンピング効果」による基板半径の関数としての風速のばらつきが原因で発生すると考えられている。薄いフォトレジスト層に必要量の溶液を拡散してこれを除去する能力毎、即ち基板の回転速度毎に、コートステップの完了までにかかる時間が異なるという1つの問題が発生する。回転速度が速いほど処理時間が短くなる。そのため、一実施形態では、基板の周囲にエンクロージャを配置して、基板の表面の周囲環境を制御することで、より大型の基板の場合の厚さ均等性の制御を改善している。基板周囲に形成されたエンクロージャが、基板の表面を横切るガス流を遮る傾向にあり、これにより、フォトレジストから相当量の溶液が気化する前にフォトレジストを拡散させることができるため、均等性の制御が改善されるのは溶液の気化の制御によるものであると考えられている。
[00179]従来技術設計では、基板の表面上に均等で繰り返し可能なフォトレジスト層を達成する試みにおいて、コータチャンバカップの外形設計、基板のスピン方法、チャンバ処理領域にかけての空気流の変化、フォトレジスト層分配処理を改善するフォトレジスト分配ハードウェアの設計を強調した。これらの設計は、各種レベルの複雑性とコストで或るレベルの均等性を達成する。CoOを低減し、増加し続ける処理均等性を満たす必要性から、さらなる改善が必要である。
[00184]図6A〜図6Bは、封入容器アセンブリ1096をさらに包含する、上述の流体源アセンブリ1023の一実施形態を図示した等角図である。理想的な時間または処理ステップどうしの間に放出ノズル1024が汚染する可能性を低減するため、供給管1026内の処理流体が完全に乾燥してしまうことの防止を試みるため、および/または、流体源アセンブリ1023の様々なコンポーネント(例えば放出ノズル1024、供給管出口1026Aなど)を洗浄するために、容器開口部1095A(図6Aを参照)よりも上に放出ノズル1024が位置決めされ、環境領域1099内に制御された領域を形成している。この構成は、乾燥および薄片化し易く、後続の処理ステップで放出ノズル1024を基板の表面の上へ移動した際に粒子問題を生じるフォトレジストのような処理流体を使用する場合に有利である。一実施形態では、図6A〜図6Bに示した放出ノズル1024は、処理流体を供給管出口1026Aから、清潔でかつ繰り返し分配できるように供給管1026を保持および支持するように構成されたノズル本体1024Aを包含している。
[00187]分配したフォトレジストの温度が特性および処理結果に大きく影響するので、均等で繰り返し可能なコーティング処理を確かに得るために、分配したフォトレジストの温度を厳しく制御する場合が多い。最適な分配温度はフォトレジスト毎に異なる。そのため、コータチャンバ60Aは、異なるフォトレジスト材料を包含する異なる処理レシピを実行するべく複数の流体源アセンブリ1023を包含していてもよいため、望ましい処理結果が一貫して確かに得られるように、流体源アセンブリ1023の温度のそれぞれを制御する必要がある。本発明の実施形態は、コートまたは現像処理中に基板の表面上に分配する前に、フォトレジストの温度を制御するための様々なハードウェアと方法を提供する。
[00191]均等で繰り返し可能な処理結果を確かに得るために、フォトレジスト材料を分配する基板の表面上の位置を厳しく制御することが好ましい。堆積したフォトレジスト層の均等性は、基板の表面上のフォトレジストを堆積させる位置によって影響される。そのため、放出ノズル1024の正確な位置決めが可能な、多くの場合高額な支持アームアクチュエータ1028を使用して、分配アーム1027の位置を精密に制御することが普通である。コータチャンバ60Aが複数の放出ノズル1024を有し、複数の異なるフォトレジスト材料を分配することが普通であるが、これにより、多数の分配アーム1027を精密または正確に制御する必要が生じるため、コータチャンバ60Aのコストと複雑性が大幅に増加してしまう。したがって、本発明の様々な実施形態は、較正および精密な制御を行うアームは1本のみであることから較正が容易な1本の分配アーム1027を利用する機器および方法を提供する。この構成では、様々な流体源アセンブリ1023に見られる複数の放出ノズル1024は、シャトルアセンブリ1180(図7A)を使用することで1本の分配アーム1192と交換することができる。一実施形態では、分配アーム1192は、制御に要する自由度を1度のみにする(例えば1本の直線方向(z方向))ように適合されている。したがって、この構成によってより精密かつ繰り返し可能な放出ノズル1024位置を制御することが可能になり、アームの複雑性、システムコスト、使用可能な基板スクラップ、較正の必要性が低減される。
[00198]図5Dを参照すると、例えば現像ステップ550と、SAFIER(商標)コートステップ551を実行するように適合できるデベロッパチャンバ60Bの一実施形態の側面図である。一実施形態では、デベロッパチャンバ60Bは、一般的にコータチャンバ60A内に包含された全てのコンポーネントを包含しているため、デベロッパチャンバ60B(これは「デベロッパチャンバ60A」では?)を参照して説明したものと同一または類似のデベロッパチャンバ60Bのいくつかのコンポーネントは同一の数字を有する。
[00200]図8Aは、デベロッパエンドポイント検出器アセンブリ1400を包含するデベロッパチャンバ60Bの一実施形態の側面図である。デベロッパエンドポイント検出器アセンブリ1400は、現像ステップ550のエンドポイントを決定するために、レーザと1つ以上の検出器を使用して、光波散乱計測タイプの技術を実行する。一実施形態では、レーザ1401から出射された放射線またはビーム(符号「A」を参照)の単一波長が、露光されたフォトレジスト層が上に堆積した基板の表面上に、基板の表面に対して直角未満の角度で衝突する。ビーム「A」は基板の表面で反射され、反射された放射線「B」の強度を検出1410が検出する。一実施形態では、検出器1410は、基板の表面からの一次反射を受容するように方位付けされているので、したがって入射ビームと整列している(例えば、表面に対して同じ角度および同じ方向に整列している)。露光ステップ538中に衝突ビームとフォトレジスト内に形成されたパターンとの間の干渉のために、現像ステップ550中において、デベロッパにフォトレジストの可溶性部分が溶解する際に、検出された放射線の強度にばらつきが作成され、これにより「格子」タイプパターンが出現し、これが衝突ビームとますます干渉するようになる。そのため、フォトレジストパターンとの干渉によって衝突ビームの散乱が生じて、検出される主要反射が低減する。一実施形態では、エンドポイントの検出は、検出器1410で測定された反射強度が漸近的にゼロに近づく。
[00206]図9A〜図9Bは、2つの別々の処理チャンバ370と中央領域395を包含するツインコータ/デベロッパチャンバ350の一実施形態の平面図である。この構成は、2つのチャンバ内のいくつかの普通のコンポーネントを共有できるようにし、これによりシステムの信頼性を増加させ、システムコストおよびクラスタツールの複雑性とフットプリントを低減することができるため有利である。一実施形態では、処理チャンバ370は一般的にコータチャンバ60Aまたはデベロッパチャンバ60Bに関連して上述した処理コンポーネントを全て包含している。但し、この場合は2つのチャンバは流体分配システム1025を共有するように適合されている。中央領域395は、シャッタ380と複数のノズル391を包含しており、これらはノズルホルダアセンブリ390に包含されている。上述したように、コータまたはデベロッパチャンバ内で使用されている流体分配システム1025は、1つ以上の処理流体をスピンチャック1033に搭載された基板の表面へ送出する1つ以上の流体源アセンブリ1023を包含している。流体源アセンブリ1023内に包含されている各ノズル391は、典型的に供給管1026、ポンプ1022、フィルタ1021、吸引戻し弁1020、流体源1019に接続しており、1タイプの処理流体を放出するように適合されている。これにより、各流体源アセンブリ1023を左または右のいずれの処理チャンバ370にも使用できるため、各処理チャンバに必要な冗長性が低減する。図9A〜図9Bは、ノズルホルダアセンブリ390が5本のノズル391を包含する構成を図示しているが、別の実施形態では、ノズルホルダアセンブリ390が、本発明の基本範囲を変えることなく、5本よりも少ないまたは多い本数のノズルを包含することができる。
[00210]図10Aは、BARC後冷蔵ステップ514、フォトレジスト冷蔵後のステップ524、トップコート後の冷蔵ステップ534、PEB後の冷蔵ステップ542、および/または現像後冷蔵ステップ554を実行するように適合可能な冷蔵チャンバ80の1つの実施形態を図示する垂直断面図である。一般的に、冷蔵チャンバ80はエンクロージャ86、冷蔵板アセンブリ83、支持板84、リフトアセンブリ87を包含している。エンクロージャ86は、冷蔵チャンバ80内で実行された処理を、これを囲む環境から隔離して処理領域86Aを形成するための複数の壁(符号86B〜D、符号85)によって形成されている。本発明の1つの態様では、冷蔵チャンバ80内における大気汚染の可能性を熱的に隔離し、最小化するように適合されている。
[00215]図10Bは、ベークチャンバ90の一実施形態を図示している。このベークチャンバ90は、BARC後ベークステップ512、フォトレジストコート後のベークステップ522、トップコート後のベークステップ532、および/または、現像後ベークステップ552を実行するように適合されていてもよい。一般的に、ベークチャンバ90はエンクロージャ96、ベーク板アセンブリ93、支持板94、リフトアセンブリ97を包含している。エンクロージャ96は、一般的に、処理領域96Aを形成するために、ベークチャンバ90内で実行される処理を包囲する環境から隔離する傾向にある複数の壁(符号96B〜D、および要素95)を包含している。本発明の1つの態様では、エンクロージャは熱的に隔離し、包囲する環境によるベークチャンバ90の汚染を最小化するように適合されている。
[00219]図10Cは、HMDS処理ステップ511を実行するように適合されたHMDS処理チャンバ70の一実施形態を図示する側面図である。図10Cに示す一実施形態では、HMDS処理チャンバ70は、図10Bに示したベークチャンバ90内に包含されているコンポーネントのいくつかを包含しているため、HMDS処理チャンバ70のいくつかのコンポーネントは、上述したベークチャンバ790を参照して説明したコンポーネントと同一または類似している。したがって、適宜同一の番号を使用している。
[00223]ポジティブフォトレジストを使用した露光処理の最中に、非可溶性フォトレジスト材料が可溶性材料内に移送される。露光処理中に、光酸生成器(またはPAG)を包含するフォトレジスト中の成分が、フォトレジストの露光されていない範囲を攻撃し、露光処理中にフォトレジスト層に形成されたパターンのシャープさに影響する有機フォトアシッドを生成する。したがって、未露光フォトレジストの攻撃は、拡散優勢処理である、生成されたフォトアシッドの移動の影響を受ける。形成されたパターンのフォトアシッド攻撃は拡散優勢処理であるため、攻撃速度は関連する2つの変数、時間、温度に依存する。そのため、微小寸法(CD)の均等性を受容可能なものにし、これを全ての基板を通じて一貫させるようにする際に、これらの変数を制御することが重要となる。
[00238]図11Aは、基板を迅速に加熱および冷却するために使用できる板アセンブリの一実施形態を図示する側面図である。これ以降で使用する用語「板アセンブリ」とは、一般的に、この構成から利益を得るように適合することが可能なPEB板アセンブリ133、冷蔵板アセンブリ83、ベーク板アセンブリ93、またはHMDSベーク板アセンブリ73の実施形態を説明することを意図する。図11Aを参照すると、一実施形態において、板アセンブリ250は、処理中に基板「W」と熱的に連通するブロック表面254Aを有する伝導ブロック254、冷却領域253、伝導ブロック254と冷却領域253の間に形成された隙間259、入口領域257、出口領域258、流体送出システム275を包含している。
[00244]ベークチャンバ、PEBチャンバおよび/またはHMDS処理チャンバ内での処理時間を短縮し、処理結果の繰り返し可能性を向上させる試みにおいては、エンドポイント検出器をチャンバ内に統合することで、処理が完了、またはほぼ完了したので次の冷蔵チャンバ80への移送が可能である旨をシステムコントローラ101に通知することができる。この設計により、処理を必要以上に長く実行し続けたり、「オーバーベーク」する必要が最小化する上に、チャンバ処理が完了したことを確認することも可能になる。この処理は、露光中に生成された有機酸がフォトレジストの露光されていない部分を攻撃することを防止する上で、PEBチャンバにおいて特に重要な処理である。
[00250]冷蔵チャンバ、ベークチャンバ、PEBチャンバ、および/またはHMDS処理チャンバの処理時間を短縮することによってシステムスループットを増加するために、様々な方法を採用して基板と熱交換装置の熱電対を増加させる。基板の表面と板アセンブリの表面(例えばPEB板アセンブリ133、冷蔵板アセンブリ83など)との接触を増加することで、熱電対が増加し、基板が所望の処理温度に達するまでの時間が短縮される。しかしその一方で、基板の裏面で生成される粒子の数を増加させることにより、露光処理結果さらに装置の生産性に影響するという理由から、接触の増加は望ましくないことが多い。
[00259]支持チャンバ65(図4C、図4F、図4H)を使用して、コンテナ、ポンプ、弁、フィルタ、さらにこれ以外の、クラスタツール10内で処理シーケンスを完了するために使用される支持コンポーネントを収納することができる。
[00262]電子装置製造業者は、市場において競争力を持つため、またCoOw低減するための努力として、多くの場合、クラスタツールアーキテクチャの制限とチャンバ処理時間を仮定した場合の最大の基板スループットを達成しようと、多大な時間を費やして処理シーケンスおよびチャンバ処理時間の最適化を試みている。トラックリソグラフィタイプのクラスタツールでは、チャンバ処理時間がかなり短くなりがちであり(例えば処理完了までに約1分間)、典型的なトラックシステム処理を完了するために要する処理ステップの数が多いことから、1枚の基板の処理にかかる時間の著しい部分が、クラスタツール内の様々な処理チャンバ間における基板移送処理に費やされてしまう。そこで、クラスタツール10の一実施形態では複数の基板をまとめてグループ化し、2つ以上のグループ毎に移送および処理することでCoOの低減を行っている。この並行処理形式では、システムスループットを増加し、ロボットが処理チャンバ間で基板バッチを移送するためにとる動作数を低減しているので、ロボットの疲労が低減されてシステムの信頼性が増加する。
[00269]本発明の1つの態様では、基板処理シーケンスとクラスタツールは、処理シーケンス中に実行される基板移送ステップが、処理シーケンスの次の処理ステップを実行するチャンバに対して実行され完了する形で設計されている。従来技術のクラスタツール構成では、普通、処理シーケンス中に仮ステーションまたはバッファチャンバを据付けることで、基板を下ろしたロボットが他の移送ステップを完了できる、および/または、他のロボットが待ち状態の基板を取り上げてシステム内の別の所望の位置へ移送できるようになっている。次の処理ステップが実行されないないチャンバ内に基板を設置するステップは時間の無駄であり、これによりロボット(1つ以上)の使用可能性が低下し、クラスタツール内の空間が無駄になり、ロボット(1つ以上)の疲労が増加する。バッファリングステップを追加することで基板ハンドオフの数が増加し、さらに裏面の粒子汚染量が増加するため、装置の生産性に悪影響が及ぶ。また、バッファチャンバ内で費やされる時間を各基板毎に制御しない限り、バッファステップを包含する基板処理シーケンスが異なる基板ウェーハ履歴を固有に有することになる。バッファリング時間を制御すれば、処理変数が追加されることでシステムの複雑性が増加し、これにより達成可能な最大基板スループットが打撃を受け易くなる。ロボットがシステムスループットを制限する場合には、クラスタツールの最大基板スループットが、処理シーケンスを完了するためにロボットが行う動作の総数と、ロボットを動作させるためにかかる時間とによって統制される。通例、ロボットに所望の動作を行わせるのにかかる時間は、ロボットのハードウェア、処理チャンバ間の距離、基板の清潔性の問題、システム制御といった制限によって制限される。典型的に、ロボット動作時間はロボット毎にそれほど異なるわけではなく、業界全体にわたってほとんど一貫している。そのため、処理シーケンスを完了するためのロボット動作数が他よりも固有に少ないクラスタツールのシステムスループットは、処理シーケンスを完了するまでにより多くの動作を要する他のクラスタツール、例えば複数のバッファリングステップを包含するクラスタツールのシステムスループットよりも高い。
A.垂直レールロボット設計
[00280]図15Aは、中央ロボット107の一実施形態を図示したクラスタツール10の等角図である。この中央ロボット107の実施形態は、前端処理ラック52、第1中央処理ラック152、第2中央処理ラック154、および/または後部処理ラック202に包含されている様々な処理チャンバの間で基板を移送するように適合された、フロッグレッグ型ロボット(以降、FLRまたはFL型ロボット)アセンブリ602を包含している。この実施形態に包含されたコンポーネントを強調および明瞭化する目的で、図15Aから第2中央処理ラック154を除去している。図15A〜図15Dを参照すると、一般的に、FLRアセンブリ602は上方フロッグレッグ(FL)型ロボットアセンブリ610、下方フロックレッグ(FL)型ロボットアセンブリ620、リフトレールアセンブリ626を包含している。一般的に、リフトレールアセンブリ626は正面レール614と裏面レール612を包含する。したがって、この構成は、上方FL型ロボットアセンブリ610と下方FL型ロボットアセンブリ620の2つのロボットアセンブリを包含し、これらは垂直面と水平面の両方で相互から独立して動作するように適合されている。この実施形態では、独立上方FL型ロボットアセンブリ610または独立下方FL型ロボットアセンブリ620の各々は、垂直面で(即ち、リフトレールアセンブリ626に沿って)移動でき、また、FL型ロボット625をシステムコントローラ101からの命令に従って移動させることで、基板を水平面の任意の位置へ移送することができる。図15A〜図15Dは、上方FL型ロボットアセンブリ610と下方FL型ロボットアセンブリ620の2つのロボットアセンブリを包含する構成を図示する。クラスタツール10の他の実施形態は3以上のロボットアセンブリを包含していてもよい。クラスタツール10の他の実施形態では、1つのFL型ロボットアセンブリを利用して、クラスタツールを通り基板を移送する。
[00289]図16Aは、関節ロボットアセンブリ702(以降、ARアセンブリ702)を包含する中央ロボット107の一実施形態の等角図である。ARアセンブリ702は、前端処理ラック52、第1中央処理ラック152、第2中央処理ラック154、および/または後部処理ラック202の内部に包含されている様々な処理チャンバ間で基板を移送するように適合されている。この実施形態に包含されたコンポーネントを強調および明瞭化する目的で、図16Aから第2中央処理ラック154を除去している。一般的に、ARアセンブリ702は関節ロボット710と2枚ブレードアセンブリ705を包含している。一般的に、関節ロボット710は6軸関節ロボットであり、Mitsubishi Electric Corporation(日本、東京)、Kawasaki Robotics(USA),Inc.(ミシガン州ウィクソム)、Staubli Corp.(サウスカロライナ州ダンカン)から販売されている。一実施形態では、6軸関節ロボットはStaubli Corp.(サウスカロライナ州ダンカン)から購入できるモデル番号TX90である。関節ロボット710はロボット基部713Aおよび機械インターフェース713Bを有し、これらはロボットをクラスタツールに、また、終端効果器アセンブリ(例えば2枚ブレードアセンブリ705とブレードアセンブリ706など)をロボットに接続する。一般的に、6軸関節ロボットは、その複数の軸および複数の接合設計のために、関節ロボットの到達可能範囲は従来のロボットのものと比べて遥かに卓越しているため有利であり、また、複数関節ロボットの到達可能範囲は、基板(1枚以上)を保持および移送する終端効果器の動作が基板移送中に他のロボットをより効率的に回避できるようにするロボットベース713Aの動作とリンクしていないため、および/または、関節ロボットの信頼性がほとんどの従来型ロボットを超越しているため、より簡単に「重なる」ことが可能である。
[00302]図17A〜図17Cは、近接した様々な処理ラック内のチャンバの間で基板を移送するように適合できるシャトルロボット110の様々な実施形態を図示している。ここでの設計は、後続の処理ステップ、例えばBARC後のベークステップ512とBARC後の冷蔵ステップ514の間、フォトレジストコート後のベークステップ522とフォトレジスト後の冷蔵ステップ524の間において使用されるベーク処理チャンバ(例えばベークチャンバ90、HMDS処理チャンバ70、PEBチャンバ130など)と冷却チャンバ80の間で基板を移送する場合に使用するのに有利である。したがって、シャトルロボット110は、前端ロボット108、中央ロボット107、後部ロボット109を含む様々なシステムロボット上の作業負荷を低減し、これにより、基板上で別の処理ステップが完了するまでの間にシステムロボットに別のタスクを実行させるために使用される。
[00308]図18Aは、クラスタツールの様々な実施形態と共用できる統合型のベーク/冷蔵チャンバ800の一実施形態を例証している。一般的には、統合型のベーク/冷蔵チャンバ800は3つの主要処理領域、即ち入力領域830、冷蔵領域810、ベーク領域を有する。これらの領域は、様々なベーク方法ステップ(例えばBARC後のベークステップ512、PEBステップ540など)および/または冷蔵方法ステップ(例えばBARC後の冷蔵ステップ514、PEB後の冷蔵ステップ542など)が実行される場合において、処理シーケンスを実行するように適合できる。統合型のベーク/冷蔵チャンバ800は、エンクロージャ804内に2つ以上のアクセスポート802(図18Aには2つを示す)を包含していてもよく、これらのアクセスポート802は、外部ロボット(例えば前端ロボット108、中央ロボット107など(図示せず))が、基板を取り上げ、または下ろすために、入力領域830および/または冷蔵領域810にアクセスできるように適合されている。一般的に、エンクロージャ804は入力ステーションステーション804A、冷蔵チャンバエンクロージャ804B、ベークチャンバエンクロージャ804Cを包含している。これらのチャンバは、統合型のベーク/冷蔵チャンバ800の様々な領域を隔離するように適合されている。
[00315]図19Aは、クラスタツールがクラスタツール10Aとステッパ/スキャナ5Aを包含している本発明の一実施形態の平面図である。この構成では、PEBチャンバ5C(即ち、上述した要素130(図10D))はステッパ/スキャナ5A内に統合されており、ステッパ/スキャナはクラスタツール10Aから取り外すことができる。多くの場合、ステッパ/スキャナのスループットはトラックシステムタイプのクラスタツールのスループットの数倍大きく、したがって1つのトラックシステムに専用の1つのステッパ/スキャナを設けることはステッパ/スキャナの過剰スループット容量を無駄にすることとなるため、この構成は従来技術と比べて有利である。この実施形態により、1つのステッパ/スキャナで、複数のトラックシステムに対応できるようになる一方で、さらに、ステッパ/スキャナ内でPEBステップ540の実行とPEB後の冷蔵ステップ542を実行することにより、露光処理実行後にフォトレジストが安定する。
[00319]図20A〜図20Bは、内部において、図4A〜図4Kに示した様々な処理ラック(例えば前端処理ラック52、第1中央処理ラック152など)内に包含された処理チャンバが直線状に方位付けされるのではなく、システム内の共通の中心点周囲に配置されたクラスタツール10の別の実施形態を例証している。チャンバの直線方位付けに伴う1つの欠点は、ロボットが、処理ラックにおける最頂位置と最底位置に達することが難しく、また、全ての使用可能な空間を利用するためにアーム延出が大きいより大型のロボットが必要となることである。この問題は6軸関節ロボットを使用する場合に特に解決が困難であるが、これは、6軸関節ロボットの到達範囲が中心点からの距離によって制限されるためである。チャンバが直線状に配置されたラックの頂部または最後にある場合には、これらのチャンバがロボットの中心から最も離れているためこの問題は強調される。ロボットの到達範囲の外にある全てのチャンバにはアクセスできないため、いくつかの場合では、処理ラックの高さを完全に利用できない可能性がある。そのためこの問題では、これらのチャンバにアクセスするためにさらなるチャンバおよび/またはロボットが必要となり、ツールのコストおよびスループットが増加してしまう。
[00323]図21A〜図21Dは、所望の処理シーケンスを実行できるように様々な処理ラックの周囲に並行処理構成にて構成された複数のロボットを使用するクラスタツール10の別の実施形態を図示している。一実施形態では、この並行処理構成は3つのロボット(図21Bに示す符号420、430、450)を包含し、これらのロボットは、処理ラック内に平行方向に沿って整列して保持された様々な処理チャンバにアクセスするために垂直方向(これ以降、z方向と定義する)および平行方向に移動する。このシステム構成の1つの利点は、中央領域425内のロボットの1つが故障したり、対応目的で分解された場合でも、システムが別の2つのロボットを使用して基板の処理を継続できることである。この構成の別の利点は、柔軟性およびモジュールアーキテクチャによって、ユーザがスループットの必要性を満たすのに要する数の処理チャンバ、処理ラック、処理ロボットを構成できることである。
[00345]電子装置製造業者は、市場において競争力を持つため、またCoOw低減するための努力として、多くの場合、基板スクラップを低減し、総システムスループット(即ち、週毎のウェーハスタート)を増加しようと、多大な時間を費やして、システムの起動時間と信頼性の向上を試みている。システムの起動時間と信頼性に影響を及ぼす1つの要因には、基板の損傷(例えば、欠け、基板破損など)を生じさせる、様々な処理チャンバ内での基板の不整列がある。基板が損傷すると、ユーザは進行中の処理を停止して、部分的に処理が終了している基板を全て廃棄し、影響を受けたチャンバ(1つ以上)を洗浄してから、処理シーケンスを再スタートしなければならないため、これら全てにより被るシステム休止時間とコストは甚大なものとなる。典型的に、処理チャンバまたはその他のチャンバの1つにおける基板の不整列によって起こる、1枚毎の基板処理におけるばらつきと基板への損傷とを防止するために、ロボットは、移送位置からの基板の取り上げと下ろしについて繰り返し較正される。移送位置は、例えば処理チャンバリフトピンどうしの間の中心点、あるいはチャックの中心点であってもよい。
[00348]基板の損傷(例えば、欠け、基板破損)を防止することでシステムの起動時間および信頼性を向上させるために使用できる別の実施形態は、全地球測位システム(GPS)(図示せず)を使用して、ロボットブレードの位置および/または基板の位置におけるエラーを追跡および修正するものである。この構成では、全地球即位検出システムは、ロボットブレード(基板またはロボット終端効果器)の場所を、所定システムの位置標定基準に関連して定義するために使用される。典型的に、各制御軸の駆動モータのシャフト上にエンコーダを組み込むことにより、ロボットブレードの値の位置フィードバックが提供される。このエンコーダは、ロボットブレードの実位置ではなくモータの位置を報告するものである。実位置は、発生する可能性のある様々な駆動コンポーネント間の緩い結合、誤ったロボットパラメータ設定、ロボット位置制御ドリフト、検出されなかった動作失敗、ハードウェアの衝突によって、報告された位置から変更してしまうことがある。そのため、これらの問題を解決する目的で、本発明の一実施形態を、ロボットブレードの実位置、さらに基板の位置を追跡するために使用することができる。一実施形態では、全地球測位装置1300と通信システム(例えばRF送信機1302、ケーブルなど)をロボットブレードまたはロボットに統合して、その位置を測定し、これをシステムコントローラにフィードバックするようにしている。そのため、システムコントローラは、GPSセンサまたは別の装置を使用して先に収集した各移送位置の3次元座標システム測定値を使用して、様々なロボット部品の位置を調整することでブレード位置のエラーを修正することができるようになる。ロボット部品は、エンコーダ、または、ロボットの位置の制御に使用されるその他のフィードバックタイプの装置を包含する従来の制御手段を使用して位置決めされる。
Claims (46)
- 基板を処理するクラスタツールであって、
第1基板処理チャンバと、
第2基板処理チャンバであって、前記第1基板処理チャンバから固定の垂直距離で離間している前記第2基板処理チャンバと、
第3基板処理チャンバと、
第4基板処理チャンバであって、前記第3基板処理チャンバから固定の垂直距離で離間して位置決めされた前記第4基板処理チャンバと、
前記第1基板処理チャンバと前記第2基板処理チャンバにアクセスするように適合された第1ロボットアセンブリと、
前記第1基板処理チャンバから1枚以上の基板を、前記第2基板処理チャンバから1枚以上の基板をほぼ同時に受容し、その後、前記第1基板処理チャンバからの1枚以上の基板を前記第3基板処理チャンバ内に、更に、前記第2基板処理チャンバからの1枚以上の基板を前記第4基板処理チャンバ内に、ほぼ同時に堆積するように適合されている第2ロボットアセンブリと、
を備えるクラスタツール。 - 前記第1基板処理チャンバ、前記第2基板処理チャンバ、前記第3基板処理チャンバ、前記第4基板処理チャンバが、コータチャンバ、デベロッパチャンバ、HMDS処理チャンバ、冷蔵チャンバ、ベークチャンバの中から1つ選択される、請求項1に記載のクラスタツール。
- 前記第2ロボットアセンブリが、
ロボットと、
前記ロボットに接続した第1ロボットブレードと、
前記ロボットに接続し、前記第1ブレードから一定距離で離間した第2ロボットブレードと、を備え、
前記距離が約100〜1200mmである、請求項1に記載のクラスタツール。 - 前記第2ロボットアセンブリが、
6軸関節ロボットと、
前記6軸関節ロボットに接続した第1ロボットブレードと、
前記6軸関節ロボットに接続し、前記第1ブレードから或る距離で離間した第2ロボットブレードと、を備える、請求項1に記載のクラスタツール。 - 前記第2ロボットアセンブリが、
それぞれが少なくとも1枚のロボットブレードを有する、2つ以上のフロッグレッグ型ロボットと、
前記2つ以上のフロッグレッグ型ロボットの動作と一致するように適合されたコントローラと、を備える、請求項1に記載のクラスタツール。 - 前記第2ロボットアセンブリが、
ロボットと、
前記ロボットを、垂直方向に対し、ほぼ直交する方向に位置決めするように適合された水平移送装置と、
前記ロボットを垂直方向に対しほぼ平行な方向へ移送するように適合された垂直移送装置と、を備える、請求項1に記載のクラスタツール。 - 前記第2ロボットアセンブリがさらに、
前記ロボットに接続した支持部と、
前記支持部に接続したアクチュエータと、
前記支持部に接続しており、基板受容面を有する第1ロボットブレードと、
前記アクチュエータに接続しており、基板受容面を有する第2ロボットブレードと、を備え、前記第1ロボットブレードと前記第2ロボットブレードの間に隙間が形成されており、前記アクチュエータが、前記第1ブレードおよび前記第2ブレードの間の隙間を変更するように適合されている、請求項1に記載のクラスタツール。 - 基板を処理するクラスタツールであって、
複数の垂直に積重した基板処理チャンバを有する第1処理ラックと、
複数の垂直に積重した基板処理チャンバを有する第2処理ラックと、
第1ロボットブレードアセンブリであって、
第1ロボットブレード、
第1ロボットブレードアクチュエータ、
を備える前記第1ロボットブレードアセンブリと、
第2ロボットブレードアセンブリであって、
第2ロボットブレード、
第2ロボットブレードアクチュエータ、
を備える前記第2ロボットブレードアセンブリと、
前記第1ロボットブレードアセンブリと第2ロボットブレードアセンブリが、固定距離で離間して垂直に位置決めされており、さらに、前記第1ロボットブレードアクチュエータまたは前記第2ロボットブレードアクチュエータを使用して、水平方向に別々に位置決めすることができ、
前記第1ロボットブレードアセンブリと前記第2ロボットブレードアセンブリに接続した6軸関節ロボットであって、前記第1ロボットブレードアセンブリと前記第2ロボットブレードアセンブリが固定距離で離間しており、前記6軸関節ロボットと協同に動作することで、前記第1処理ラック内の2つの垂直に積重した基板処理チャンバ内に位置決めされた基板に、ほぼ同時にアクセスするように、または、前記第2処理ラック内の前記2つの垂直に積重した基板処理チャンバ内に位置決めされた基板にほぼ同時にアクセスするように適合されている前記6軸関節ロボットと、
を備えるクラスタツール。 - 前記第1ロボットアセンブリがさらに、
第1底部ロボットブレードと、
第1底部ロボットブレードアクチュエータと、を備え、
前記第1ロボット部レートと第1底部ロボットブレードが、固定距離で離間して垂直に位置決めされており、さらに、前記第1アクチュエータまたは前記第1底部アクチュエータを使用して、別々に水平に位置決めすることも可能であり、
前記第2ロボットアセンブリがさらに、
第2底部ロボットブレードと、
第2底部ロボットブレードアクチュエータと、を備え、
前記第2ロボットブレードと第2底部ロボットブレードが、固定距離で離間して垂直に位置決めされており、さらに、前記第2アクチュエータまたは前記第2底部アクチュエータを使用して、別々に水平に位置決めすることもできる、請求項8に記載のクラスタツール。 - 基板を処理するクラスタツールであって、
2枚以上の基板を包含するように適合されたカセットと、
第1モジュールであって、垂直方向に積み重ねた2つ以上の基板処理チャンバを備える第1処理ラックを備える前記第1モジュールと、
第2モジュールであって、垂直方向に積み重ねた2つ以上の基板処理チャンバを備えた第2処理ラックを備える前記第2モジュールと、
前記第1および第2処理ラックのうち少なくとも1つの基板処理チャンバ内と、前記カセット内とに位置決めされた基板にアクセスするように適合された第1ロボットアセンブリと、
第2ロボットアセンブリであって、
ロボット、
前記ロボットに接続した第1ロボットブレード、
前記ロボットに接続し、前記第1ロボットブレードから固定距離で離間して位置決めされた第2ロボットブレード、
を備える前記第2ロボットアセンブリと、
を備え、
前記第2ロボットが、前記第1処理ラックおよび第2処理ラックのそれぞれのうち少なくとも1つの内部の基板処理チャンバ内に位置決めされた基板にアクセスするように適合されており、第1および第2ロボットブレードが、前記第1処理ラックおよび第2処理ラックの各々の内の少なくとも2つの基板処理チャンバ内の基板を、ほぼ同時に移送し、取り上げ、さらに/または下ろすように適合されているクラスタツール。 - 前記第1処理ラックおよび第2処理ラックの各々の内の前記2つ以上の垂直に積重した基板処理チャンバが、コータチャンバ、デベロッパチャンバ、HMDS処理チャンバ、冷蔵チャンバ、露光後ベークチャンバ、ベークチャンバから成るグループより選択される、請求項10に記載のクラスタツール。
- 前記第1ロボットおよび前記第2ロボットが関節ロボットであり、前記関節ロボットが6軸関節ロボットである、請求項10に記載のクラスタツール。
- 前記第1ロボットおよび前記第2ロボットがガントリ(gantry)ロボットであり、各ガントリロボットが、
ロボットと、
前記ロボットを、前記垂直方向に対しほぼ直交する方向に位置決めするように適合されている水平移送装置と、
前記ロボットを、前記垂直方向に対しほぼ平行な方向へ移送するように適合されている垂直移送装置と、を備える、請求項10に記載のクラスタツール。 - 前記水平移送装置と前記垂直移送装置の各々がさらに、
前記ロボットを位置決めするように適合されたモータと、
前記ロボットが前記モータによって位置決めされる際に、前記ロボットを案内するように適合されたスライドと、
前記モータとスライドを包囲するエンクロージャを形成する1つ以上の壁と、
前記1つ以上の壁の1つに搭載されたファンと、を備える、請求項13に記載のクラスタツール。 - 基板を処理するクラスタツールであって、
第1の垂直に積重した基板処理チャンバを包含する第1処理ラックと、
基板を前記第1処理ラック内の基板処理チャンバへ移送するように適合された第1ロボットと、
第1の垂直に積重した基板処理チャンバを包含する第2処理ラックと、
前記第1処理ラック内の基板処理チャンバと前記第2処理ラック内の基板処理チャンバの間で基板を移送するように適合された第2ロボットと、
前記第1ロボットまたは第2ロボットを使用して、前記第1および第2処理ラック内を通過する前記基板の動作を最適化するように適合されたコントローラと、
前記コントローラに結合したメモリであって、前記クラスタツールの操作を方向付けるために、内部で具現化されるコンピュータ可読プログラムを有するコンピュータ可読媒体を備える前記メモリと、
を備え、
前記コンピュータ可読プログラムが、
前記第1ロボットと第2ロボットの動作を制御するためのコンピュータ命令を備え、前記コンピュータ命令が、
(i) 前記第1ロボットおよび第2ロボットへの1つ以上の指令タスクを前記メモリに記憶することと、
(ii) 前記メモリ内に保持されている前記第1ロボットへの指令タスクをレビューすることと、
(iii) 前記メモリ内に保持されている前記第2ロボットへの指令タスクをレビューすることと、
(iv) 各ロボットの使用可能性を平衡化するために、指令タスクを、前記第1ロボットから前記第2ロボットへ、または前記第2ロボットから前記第1ロボットへ移動させることと、
を備えるクラスタツール。 - 基板を処理するクラスタツールであって、
2枚以上の基板を包含するように適合されたカセットと、
垂直に積重した基板処理チャンバを包含しており、また、前記第1処理ラックの第1側部が第1方向に沿って延出し、これを介して前記基板処理チャンバにアクセスできるようになっている第1処理ラックと、
垂直に積重した基板処理チャンバを包含しており、また、前記第2処理ラックの第2側部が第2方向に沿って延出し、これを介して前記基板処理チャンバにアクセスできるようになっている第2処理ラックであって、前記第1側部と前記第2側部が或る距離で離間している前記第2処理ラックと、
前記第2処理ラックの前記第1側部と前記第1処理ラックの前記第1側部との間の固定位置にある基部を有する第1ロボットであって、前記第1処理ラック、前記第2処理ラック、前記カセットの内部の基板処理チャンバへ基板を移送するように適合されている第1ロボットと、
垂直に積重した基板処理チャンバを包含しており、また、前記第3処理ラックの第3側部が第3方向に沿って延出し、これを介して前記基板処理チャンバにアクセスできるようになっている第3処理ラックと、
垂直に積重した基板処理チャンバを包含しており、また、前記第2処理ラックの第4側部が第4方向に沿って延出し、これを介して前記基板処理チャンバにアクセスできるようになっている第4処理ラックであって、前記第3側部と前記第4側部が或る距離で離間している前記第4処理ラックと、
第2ロボットアセンブリであって、
前記第3処理ラックの前記第1側部と前記第4処理ラックの前記第1側部の間の固定位置に在る基部を有するロボット、
前記ロボットに接続した第1ロボットブレード、
前記ロボットに接続し、前記第1ロボットブレードから固定距離で離間して位置決めされた第2ロボットブレード、を備える前記第2ロボットアセンブリと、
を備え、
前記第1ロボットブレードおよび第2ロボットブレードが、前記第1処理ラック、第2処理ラック、第3処理ラック、第4処理ラック内の2つのチャンバへ基板をほぼ同時に移送するように適合されているクラスタツール。 - 前記第1ロボットと前記第2ロボットの各々が6軸関節ロボットである、請求項16に記載のクラスタツール。
- 前記第1ロボットが、前記第1処理ラックの前記第1側部と前記第2処理ラックの前記第1側部の間、さらに前記第3処理ラックの前記第1側部と前記第4処理ラックの前記第1側部の間に位置決めされており、前記スライドが、前記第2ロボットを、前記第1方向、第2方向、第3方向、第4方向と、ほぼ平行する第5方向に位置決めするように適合されているスライドアセンブリをさらに備える、請求項17に記載のクラスタツール。
- 前記第1基板処理チャンバ、第2基板処理チャンバ、第3基板処理チャンバ、第4基板処理チャンバが、コータチャンバ、デベロッパチャンバ、HMDS処理チャンバ、冷蔵チャンバ、ベークチャンバの中から1つ選択される、請求項16に記載のクラスタツール。
- 前記第1ロボットと前記第2ロボットが6軸関節ロボットであり、
前記第1処理ラックの前記第1側部と前記第2処理ラックの前記第1側部の間に位置決めされ、前記第1ロボットを、前記第1方向および第2方向と概略平行な第5方向に位置決めするように適合されたスライドアセンブリを備える、請求項16に記載のクラスタツール。 - 基板を処理するクラスタツールであって、
2枚以上の基板を包含するように適合されたカセットと、
基板に第1処理を実行するように適合された第1処理チャンバと、
基板に第2処理を実行するように適合された第2処理チャンバであって、前記第1処理チャンバと前記第2処理チャンバが、ほぼ相互に近接している前記第2処理チャンバと、
前記第1処理チャンバ内に位置決めされた第1基板および前記第2処理チャンバ内に位置決めされた第2基板と流体連通するように適合されている流体分配手段であって、
流体源、
前記流体源と流体連通したノズル、
流体を前記流体源から前記ノズルへ送出するよう適合された流体送出手段、を備える前記流体分配手段と、
前記第1処理チャンバを前記第2処理チャンバから隔離するように適合された可動シャッタと、
前記カセット、前記第1処理チャンバ、前記第2処理チャンバの間で基板を移送するように適合されたロボットと、
を備える、クラスタツール。 - 第1処理ラックとロボットとを備える、基板を処理するクラスタツールにおいて、
前記第1処理ラックは、第1処理モジュールと第2処理モジュールとを備え、前記第1処理モジュールは:
基板に第1処理を実行するように適合された第1処理チャンバと;
基板に第2処理を実行するように適合された第2処理チャンバであって、前記第1処理チャンバと前記第2処理チャンバが、ほぼ相互に近接している前記第2処理チャンバと;
前記第1処理チャンバ、前記第2処理チャンバの内部で処理の最中にある基板と流体連通するように適合された流体分配手段であって、
流体源、
前記流体源と流体連通しているノズル、
流体を前記流体源から前記ノズルへ送出するように適合された流体送出手段を備える前記流体分配手段と;
前記第1処理チャンバを前記第2処理チャンバから隔離するように適合された可動シャッタと;
を備え、
前記第2処理モジュールは:
基板に第1処理を実行するように適合された第3処理チャンバと;
基板に第2処理を実行するように適合された第4処理チャンバであって、前記第1処理チャンバと前記第2処理チャンバが、ほぼ相互に近接している前記第4処理チャンバと;
前記第3処理チャンバ、第4処理チャンバの内部で処理の最中にある基板と流体連通するように適合された流体分配手段であって、
流体源、
前記流体源と流体連通しているノズル、
流体を前記流体源から前記ノズルへ送出するように適合された流体送出手段を備える前記流体分配手段と;
前記第1処理チャンバを前記第2処理チャンバから隔離するように適合された可動シャッタと;
を備え、前記第1処理モジュールとほぼ近接しており、
前記ロボットは、前記第1処理チャンバ、前記第2処理チャンバ、前記第3処理チャンバ、前記第4処理チャンバの間で基板を移送するように適合されている、前記クラスタツール。 - 前記第1処理チャンバが、前記第3処理チャンバよりも上に垂直に配置されており、前記第2処理チャンバが、前記第4処理チャンバよりも上に垂直に配置されている、請求項22に記載のクラスタツール。
- 前記第1処理チャンバ、第2処理チャンバ、第3処理チャンバ、第4処理チャンバから成るグループより選択した2つの前記処理チャンバ内に位置決めされた基板に、ほぼ同時にアクセスするように適合された第1ロボットブレードと第2ロボットブレードを有する支持部を有するロボットを備える、請求項22に記載のクラスタツール。
- カセットと、処理モジュールと、システムロボットとを備える、基板を処理するクラスタツールにおいて、
前記カセットは、2枚以上の基板を包含するように適合され、
前記処理モジュールは:
1つの処理領域内に在る基板に第1処理を実行するように適合された第1処理チャンバと;
1つの処理領域内に在る基板に第2処理を実行するように適合された第2処理チャンバであって、前記第1処理チャンバおよび前記第2処理チャンバが、ほぼ相互に近接している前記第2処理チャンバと;
前記第1処理チャンバ、前記第2処理チャンバ内の基板を移送および位置決めするように適合されたロボットであって、
ロボットブレード、
前記ロボットブレードを前記第1および第2処理チャンバ内に位置決めするように適合されたアクチュエータ、
前記ロボットブレードと熱連通しており、上に位置決めされた基板の温度を制御するように適合された熱交換装置、
を備える前記ロボットと;
を備え、
前記システムロボットは、基板を前記カセットと前記第1処理チャンバの間で移送するように適合されている、
前記クラスタツール。 - 前記第1処理チャンバが冷蔵チャンバであり、前記第2チャンバが、ベークチャンバ、HMDS処理チャンバ、またはPEBチャンバである、請求項25に記載のクラスタツール。
- さらに、前記第1処理モジュールに近接して位置決めされた第2処理モジュールを備え、前記第2処理モジュールが:
1つの処理領域内に在る基板に第1処理を実行するように適合された第3処理チャンバと;
1つの処理領域内に在る基板に第2処理を実行するように適合された第4処理チャンバであって、前記第3処理チャンバと前記第4処理チャンバが、ほぼ相互に近接している前記第4処理チャンバと;
基板を前記第3処理チャンバおよび前記第4処理チャンバ内の基板を移送および位置決めするように適合されたロボットであって、
ロボットブレード、
前記ロボットブレードを前記第1および第2処理チャンバ内に位置決めするように適合されたアクチュエータ、
前記ロボットブレードと熱連通しており、上に位置決めされた基板の温度を制御するように適合された熱交換装置、
を備える前記ロボットと;
を備え、
前記システムロボットが、基板を前記カセット、前記第1、第2、第3、第4処理チャンバの間で移送するように適合されている、請求項25に記載のクラスタツール。 - 基板を処理するクラスタツールであって、
2枚以上の基板を包含するように適合されたカセットと、
処理モジュールであって、
第1処理チャンバと、
前記第1処理チャンバと、ほぼ近接した第2処理チャンバとを備える前記処理モジュールと、
前記第1処理チャンバおよび前記第2処理チャンバ内に位置決めされた基板にアクセスするように適合された第1ロボットと、
を備え、
前記第1ロボットは、第1ロボットアセンブリと第2ロボットアセンブリとを備え、
前記第1ロボットブレードアセンブリは、第1ロボットブレード、第2ロボットブレードを備え、前記第1ロボットブレードと前記第2ロボットブレードが一定距離で離間しており、
第2ロボットブレードアセンブリは、第3ロボットブレード、第4ロボットブレードを備え、前記第3ロボットブレードと前記第4ロボットブレードが一定距離で離間しており、
前記第2ロボットブレードアセンブリと前記第1ロボットアセンブリが固定距離で離間しており、
前記第1ロボットが前記第1処理チャンバと前記第2処理チャンバにほぼ同時にアクセスするように適合されている、前記クラスタツール。 - 基板を処理するクラスタツールであって、
2つ以上の垂直に積重した基板処理チャンバを備える第1処理ラックであって、第1側部と第2側部を有する前記第1処理ラックと、
2つ以上の垂直に積重した基板処理チャンバを備える第2処理ラックであって、第1側部と第2側部を有する前記第2処理ラックと、
前記第1処理ラック内の前記基板処理チャンバに前記第1側部からアクセスするように適合された第1ロボットと、
前記第1処理ラック内の前記基板処理チャンバに前記第2側部からアクセスするように、また、前記第2処理ラック内の前記基板処理チャンバに前記第1側部からアクセスするように適合された第2ロボットと、
前記第2処理ラック内の前記基板処理チャンバに前記第2側部からアクセスするように適合された第3ロボットと、
を備える、クラスタツール。 - 前記第1ロボット、前記第2ロボット、前記第3ロボットの各々が第1アクチュエータと第2アクチュエータとを有し、前記第1アクチュエータが、第1ロボット、第2ロボット、または第3ロボットを垂直方向に移動させるように適合されており、前記第2アクチュエータが、前記第1ロボット、第2ロボット、または第3ロボットを、前記第1処理ラックまたは第2処理ラックの前記第1側部または第2側部と平行する方向へ移動させるように適合されている、請求項29に記載のクラスタツール。
- 前記第1アクチュエータと前記第2アクチュエータが各々、別々のエンクロージャ内に収容されており、各エンクロージャが、
エンクロージャを形成する1つ以上の壁であって、前記エンクロージャが内部領域を有し、前記内部領域内において前記第1および第2アクチュエータが位置決めされる前記壁と、
前記エンクロージャの前記内部領域と連通した1つ以上のファンアセンブリであって、前記エンクロージャの内部から空気を引き出すように適合されている前記ファンアセンブリと、
一般的に、前記内部領域から引き出された前記空気を受容するために位置決めされたフィルタと、をさらに備える、請求項30に記載のクラスタツール。 - 前記第1処理ラックおよび第2処理ラックの前記第1側部と前記第2側部が、それぞれのラック上の前記基板処理チャンバの対向する両側に在る、請求項29に記載のクラスタツール。
- 基板を処理するクラスタツールにおいて:
2枚以上の基板を包含するように適合されたカセットと;
第1処理ラックであって、
垂直に積重した、第1グループの2つ以上の基板処理チャンバを備え、前記2つ以上の基板処理チャンバが、第1方向に沿って延びた第1側部と、第2方向に沿って延びた第2側部とを有している前記第1処理ラックと;
前記第1処理ラック内の少なくとも1つの基板処理チャンバ内に位置決めされた基板に、前記第1側部と前記カセットからアクセスするように適合された第1ロボットアセンブリと;
第2処理ラックであって、
垂直に積重した、第2グループの2つ以上の基板処理チャンバを備え、前記2つ以上の基板処理チャンバが第3方向に沿って延びた第1側部を有し、前記第1側部を介して基板処理チャンバにアクセスすることができる前記第2処理ラックと;
第2ロボットアセンブリであって、ロボット、第1ロボットブレード、第2ロボットブレードを備え、前記第1ロボットブレードと前記第2ロボットブレードが一定距離で離間している、前記第2ロボットアセンブリと;
を備え、
前記第2ロボットアセンブリが、前記第1処理ラック内の少なくとも2つの基板処理チャンバ内に位置決めされた基板に、前記第2側部から、ほぼ同時にアクセスするように、また、前記第2処理ラック内の少なくとも1つの基板処理チャンバ内に位置決めされた基板に、前記第3側部から、ほぼ同時にアクセスするように適合されている、前記クラスタツール。 - 基板を処理するクラスタツールにおいて:
2枚以上の基板を包含するように適合されたカセットと;
12個以上のコータ/デベロッパチャンバと;
ベークチャンバ、HMDS処理チャンバ、PEBチャンバから成るグループより選択した12個以上の処理チャンバと;
本質的に、第1ロボットおよび第2ロボットを備える移送システムであって、
前記第1ロボットは、前記コータ/デベロッパチャンバの少なくとも1つ、前記処理チャンバの少なくとも1つ、前記カセットの内部に位置決めされた基板にアクセスするように適合され、
前記第2ロボットは、前記コータ/デベロッパチャンバの少なくとも1つ、前記処理チャンバの少なくとも1つの内部に位置決めされた基板にアクセスするように適合され、
前記第2ロボットは、
ロボット、
前記ロボットに接続した第1ロボットブレード、
前記ロボットに接続し、前記第1ロボットブレードから固定距離で離間して位置決めされた第2ロボットブレードを備える第2ロボットアセンブリから成り、
前記第2ロボットは、少なくとも2つのコータ/デベロッパチャンバ内に位置決めされた少なくとも1枚の基板に、ほぼ同時にアクセスするように、また、少なくとも2つの処理チャンバ内に位置決めされた少なくとも1枚の基板に、ほぼ同時にアクセスするように適合されている、前記移送システムと;
を備える前記クラスタツール。 - クラスタツール内で基板を処理する方法であって、
第1ロボットを使用して、少なくとも1枚の基板を、第1処理ラック内の2つ以上の垂直に積重した各処理チャンバ内に挿入するステップと、
前記第1処理ラック内の前記2つ以上の処理チャンバ内の基板を処理するステップと、
第2ロボットを使用して、前記第1ラック内の前記2つ以上の垂直に積重した処理チャンバから前記基板をほぼ同時に除去するステップと、
前記第2ロボットを使用して、前記基板を、前記第2処理ラック内の前記2つ以上の垂直に積重した処理チャンバへ同時に移送するステップと、
前記第2ロボットを使用して、前記基板を、前記第2処理ラック内の前記2つ以上の垂直に積重した処理チャンバ内に堆積させるステップと、を備える方法。 - 前記処理チャンバ内で実行される前記処理が、HMDS処理、PEB処理、BARC処理、フォトレジストコーティング処理、現像処理、OEBR処理から成るグループより選択される、請求項35に記載の方法。
- さらに、第3ロボットを使用して、前記第2処理ラック内の前記2つ以上の垂直に積重した処理チャンバから基板を除去するステップと、
前記第3ロボットを使用して、前記基板を、第3処理ラック内の2つ以上の垂直に積重した処理チャンバ内に挿入するステップと、
をさらに備える、請求項35に記載の方法。 - 前記第2ロボットを使用して、前記基板を、第2処理ラック内の2つ以上の垂直に積重した処理チャンバへ同時に移送するステップが、
スライドアセンブリを使用して、ロボット基部を第1方向に沿って位置決めする工程と、
6軸関節ロボットを使用して、基板をロボットブレード上に、前記ロボット基部に関連して位置決めする工程と、を備える、請求項35に記載の方法。 - 第2ロボットを使用して、前記基板を、前記第1ラック内の前記2つ以上の垂直に積重した処理チャンバからほぼ同時に除去するステップが、
ロボットブレードアクチュエータを使用して、第1ロボットブレードを支持部に関連して延出させる工程と、
ロボットブレードアクチュエータを使用して、第2ロボットブレードを支持部に関連して延出させる工程と、
前記第2ロボットに接続した前記支持部を位置決めすることにより、第1処理チャンバ内に位置決めされた第1基板を第1の延出されたブレード上に位置決めし、前記第2処理チャンバ内に位置決めされた第2基板を前記第2の延出されたブレード上に位置決めする工程と、
前記第1ロボットブレードと前記第2ロボットブレードを引き込む工程と、を備える、請求項35に記載の方法。 - クラスタツール内で基板を処理する方法であって、
第1ロボットを使用して、少なくとも1枚の基板を、第1処理ラック内の2つ以上の垂直に積重した処理チャンバ内に挿入するステップと、
前記第1処理ラック内の前記2つ以上の処理チャンバ内で前記基板を処理するステップと、
第2ロボットを使用して、前記第1処理ラック内の前記2つ以上の垂直に積重した処理チャンバから基板をほぼ同時に除去するステップであって、
前記ブレードが、第1の垂直に積重した処理チャンバにアクセスしないようにするために、前記第2ロボットに取り付けられた支持部に接続しているロボットブレードを再位置決めする工程、
第2の垂直に積重した処理チャンバ内の前記支持部に別々に接続したロボットブレードを位置決めする工程、
前記第2の垂直に積重した処理チャンバ内に位置決めされた基板を、前記ロボットブレード上に位置決めする工程、
前記第2の垂直に積重した処理チャンバから前記ロボットブレードを除去する工程、をさらに備える前記基板を除去するステップと、
前記第2ロボットを使用して、前記基板を、第2組の2つ以上の垂直に積重した処理チャンバへ移送するステップと、
を備える方法。 - クラスタツール内で基板を処理する方法であって、
第1ロボットを使用して、少なくとも1枚の基板を、クラスタツール内に位置決めされた2つ以上の垂直に積重した処理チャンバの第1側部を介して挿入するステップと、
前記処理チャンバ内で前記基板を処理するステップと、
第2ロボットを使用して、2枚以上の基板を、前記2つ以上の垂直に積重した処理チャンバの第2側部を介してほぼ同時に除去するステップと、
前記第2ロボットを使用して、前記2枚以上の基板を所望の位置へ同時に移送するステップと、を備える方法。 - 前記基板を処理するステップにおいて実行される前記処理が、HMDS処理、PEB処理、BARC処理、フォトレジストコート処理、現像処理、またはOEBR処理から成るグループより選択される、請求項41に記載の方法。
- クラスタツール内で基板を処理する方法であって、
ロボットを使用してカセットから基板を除去するステップと、
第1基板を、第2処理チャンバに近接して位置決めされた第1処理チャンバ内に挿入するステップと、
前記第1処理チャンバと前記第2処理チャンバの間にシャッタを位置決めすることで、前記第2処理チャンバから前記第1処理チャンバを隔離するステップと、
流体分配システムに接続しているノズルを使用して、前記第1処理チャンバ内に位置決めされた前記基板の表面上に処理流体を分配するステップと、
第2基板を前記第2処理チャンバ内に挿入するステップと、
前記流体分配システムに接続している前記ノズルを使用して、前記第2処理チャンバ内に位置決めした前記第2基板の表面上に処理流体を分配するステップと、
を備える方法。 - クラスタツール内で基板を処理する方法であって、
第2処理チャンバに近接して位置決めされた第1処理チャンバ内の基板交換装置上に基板を位置決めするステップと、
前記基板を、前記第1処理チャンバ内の前記基板交換装置から冷蔵されたロボットブレードの基板受容面へ移送するステップであって、前記基板受容表面が、内部に保持されている前記基板の温度を制御するように適合されているステップと、
前記冷蔵したロボットブレードを使用して、前記基板を前記第2処理チャンバへ移送するステップと、
前記冷蔵したロボットプレートを使用して、前記基板を第3処理チャンバへ移送するステップであって、前記第3処理チャンバが前記第2処理チャンバに近接しているステップと、
を備える方法。 - クラスタツール内で基板を処理する方法であって、
基板を、第2処理チャンバに近接して位置決めされた第1処理チャンバ内の基板交換装置上に位置決めするステップと、
前記基板を、前記第1処理チャンバ内の前記基板交換装置から冷蔵されたロボットブレードの基板受容面へ移送するステップであって、前記基板受容面が、上に保持する前記基板の温度を制御するように適合されているステップと、
前記冷蔵したロボットブレードを使用して、前記基板を前記第2処理チャンバへ移送するステップと、
前記第2処理チャンバ内の前記基板を所望の温度にまで加熱するステップと、
前記冷蔵したロボットを使用して、前記基板を第3処理チャンバへ移送するステップであって、前記第3処理チャンバが前記第2処理チャンバに近接しているステップと、
前記第3処理チャンバ内の基板を所望の温度にまで冷却するステップと、
を備える方法。 - クラスタツール内で基板を処理する方法であって、
2枚以上の基板を包含しているカセットから基板を移送するステップであって、前記カセットが前記クラスタツール内に保持されているステップと、
処理チャンバ内の基板上に最終処理ステップを完了するステップと、
前記基板を前記処理チャンバから、冷蔵処理を実行するように適合された冷蔵チャンバへ移送するステップと、
前記基板を前記冷蔵チャンバから前記カセットへ移送するステップと、
を備える方法。
Applications Claiming Priority (6)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US63910904P | 2004-12-22 | 2004-12-22 | |
US60/639,109 | 2004-12-22 | ||
US11/112,281 US7357842B2 (en) | 2004-12-22 | 2005-04-22 | Cluster tool architecture for processing a substrate |
US11/112,932 | 2005-04-22 | ||
US11/112,281 | 2005-04-22 | ||
US11/112,932 US20060134330A1 (en) | 2004-12-22 | 2005-04-22 | Cluster tool architecture for processing a substrate |
Related Parent Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2007548551A Division JP4990160B2 (ja) | 2004-12-22 | 2005-12-21 | 基板を処理するクラスタツールアーキテクチャ |
Related Child Applications (2)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2012094779A Division JP2012169654A (ja) | 2004-12-22 | 2012-04-18 | 基板を処理するクラスタツールアーキテクチャ |
JP2012277640A Division JP2013093597A (ja) | 2004-12-22 | 2012-12-20 | 基板を処理するクラスタツールアーキテクチャ |
Publications (1)
Publication Number | Publication Date |
---|---|
JP2012069957A true JP2012069957A (ja) | 2012-04-05 |
Family
ID=39193608
Family Applications (3)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2011224043A Pending JP2012069957A (ja) | 2004-12-22 | 2011-10-11 | 基板を処理するクラスタツールアーキテクチャ |
JP2012094779A Pending JP2012169654A (ja) | 2004-12-22 | 2012-04-18 | 基板を処理するクラスタツールアーキテクチャ |
JP2012277640A Pending JP2013093597A (ja) | 2004-12-22 | 2012-12-20 | 基板を処理するクラスタツールアーキテクチャ |
Family Applications After (2)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2012094779A Pending JP2012169654A (ja) | 2004-12-22 | 2012-04-18 | 基板を処理するクラスタツールアーキテクチャ |
JP2012277640A Pending JP2013093597A (ja) | 2004-12-22 | 2012-12-20 | 基板を処理するクラスタツールアーキテクチャ |
Country Status (3)
Country | Link |
---|---|
US (18) | US7255747B2 (ja) |
JP (3) | JP2012069957A (ja) |
CN (2) | CN101142656A (ja) |
Cited By (4)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
KR101273615B1 (ko) | 2013-04-30 | 2013-06-13 | 마이다스시스템주식회사 | 포토리소그래피 공정에 사용하는 반도체 제조용 미니랩 |
JP2018528471A (ja) * | 2015-08-27 | 2018-09-27 | ズース マイクロテク フォトマスク エクイップメント ゲゼルシャフト ミット ベシュレンクテル ハフツング ウント コンパニー コマンディートゲゼルシャフトSuss MicroTec Photomask Equipment GmbH & Co. KG | Uv放射が照射される液状の媒質を基板に塗布するための装置 |
IT202000030872A1 (it) * | 2020-12-15 | 2022-06-15 | Faspar S P A | Gruppo di supporto e movimentazione per un dispositivo di presa per utensili |
JP7326647B1 (ja) * | 2022-12-07 | 2023-08-15 | 株式会社荏原製作所 | 搬送装置および基板処理装置 |
Families Citing this family (587)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
WO2005027568A1 (en) * | 2003-09-16 | 2005-03-24 | Koninklijke Philips Electronics N.V. | Audio frequency range adaptation |
JP4271095B2 (ja) * | 2004-07-15 | 2009-06-03 | 東京エレクトロン株式会社 | 基板加熱装置及び基板加熱方法 |
JP4426403B2 (ja) * | 2004-08-31 | 2010-03-03 | 東京エレクトロン株式会社 | レーザー処理装置 |
JP5154006B2 (ja) * | 2004-12-06 | 2013-02-27 | 株式会社Sokudo | 基板処理装置 |
US7255747B2 (en) * | 2004-12-22 | 2007-08-14 | Sokudo Co., Ltd. | Coat/develop module with independent stations |
US20070295276A1 (en) * | 2004-12-22 | 2007-12-27 | Sokudo Co., Ltd. | Bake plate having engageable thermal mass |
US7819079B2 (en) * | 2004-12-22 | 2010-10-26 | Applied Materials, Inc. | Cartesian cluster tool configuration for lithography type processes |
US7798764B2 (en) | 2005-12-22 | 2010-09-21 | Applied Materials, Inc. | Substrate processing sequence in a cartesian robot cluster tool |
US7699021B2 (en) | 2004-12-22 | 2010-04-20 | Sokudo Co., Ltd. | Cluster tool substrate throughput optimization |
JP4955977B2 (ja) * | 2005-01-21 | 2012-06-20 | 東京エレクトロン株式会社 | 塗布、現像装置及びその方法 |
US20060162658A1 (en) * | 2005-01-27 | 2006-07-27 | Applied Materials, Inc. | Ruthenium layer deposition apparatus and method |
US20060236941A1 (en) * | 2005-04-20 | 2006-10-26 | Applied Materials, Inc. | Passive wafer support for particle free wafer acceleration |
US7513822B2 (en) * | 2005-06-18 | 2009-04-07 | Flitsch Frederick A | Method and apparatus for a cleanspace fabricator |
US9457442B2 (en) * | 2005-06-18 | 2016-10-04 | Futrfab, Inc. | Method and apparatus to support process tool modules in a cleanspace fabricator |
US20150227136A1 (en) * | 2005-06-18 | 2015-08-13 | Fred Flitsch | Methods and apparatus for vertically orienting substrate processing tools in a clean space |
WO2007014283A2 (en) * | 2005-07-26 | 2007-02-01 | Rox Medical, Inc. | Devices, systems, and methods for peripheral arteriovenous fistula creation |
JP4767641B2 (ja) * | 2005-09-27 | 2011-09-07 | 大日本スクリーン製造株式会社 | 基板処理装置および基板搬送方法 |
US7470919B2 (en) * | 2005-09-30 | 2008-12-30 | Applied Materials, Inc. | Substrate support assembly with thermal isolating plate |
JP4629574B2 (ja) * | 2005-12-27 | 2011-02-09 | 日本発條株式会社 | 基板支持装置と、その製造方法 |
JP4527670B2 (ja) * | 2006-01-25 | 2010-08-18 | 東京エレクトロン株式会社 | 加熱処理装置、加熱処理方法、制御プログラムおよびコンピュータ読取可能な記憶媒体 |
US20080050679A1 (en) * | 2006-02-22 | 2008-02-28 | Sokudo Co., Ltd. | Methods and systems for performing immersion processing during lithography |
US7824934B2 (en) * | 2006-02-24 | 2010-11-02 | Tokyo Electron Limited | Substrate processing apparatus, parameter management system for substrate processing apparatus, parameter management method for substrate processing apparatus, program, and storage medium |
US20090173278A1 (en) * | 2006-02-28 | 2009-07-09 | Yasuzou Tanaka | Stage apparatus |
WO2007102321A1 (ja) * | 2006-03-06 | 2007-09-13 | Ulvac, Inc. | ステージ装置 |
JP4994074B2 (ja) * | 2006-04-20 | 2012-08-08 | 東京エレクトロン株式会社 | 基板洗浄装置,基板洗浄方法,基板処理装置 |
US20070247165A1 (en) * | 2006-04-25 | 2007-10-25 | Applied Materials, Inc. | Wafer backside particle detection for track tools |
US7521915B2 (en) * | 2006-04-25 | 2009-04-21 | Sokudo Co., Ltd. | Wafer bevel particle detection |
US20070254493A1 (en) * | 2006-04-28 | 2007-11-01 | Applied Materials, Inc. | Integrated thermal unit having vertically arranged bake and chill plates |
US7833351B2 (en) * | 2006-06-26 | 2010-11-16 | Applied Materials, Inc. | Batch processing platform for ALD and CVD |
WO2008008727A2 (en) * | 2006-07-10 | 2008-01-17 | Applied Materials, Inc. | Scheduling method for processing equipment |
US20080051930A1 (en) * | 2006-07-10 | 2008-02-28 | Oh Hilario L | Scheduling method for processing equipment |
EP2044623A4 (en) * | 2006-07-19 | 2012-10-03 | Intermolecular Inc | METHOD AND SYSTEM FOR SEQUENTIALLY INTEGRATED ISOLATED AND DISCRETE PROCESSING |
US8815013B2 (en) * | 2006-07-19 | 2014-08-26 | Intermolecular, Inc. | Method and system for isolated and discretized process sequence integration |
JP4801522B2 (ja) * | 2006-07-21 | 2011-10-26 | 株式会社日立ハイテクノロジーズ | 半導体製造装置及びプラズマ処理方法 |
US7935948B2 (en) * | 2006-08-11 | 2011-05-03 | Sokudo Co., Ltd. | Method and apparatus for monitoring and control of suck back level in a photoresist dispense system |
KR100829923B1 (ko) * | 2006-08-30 | 2008-05-16 | 세메스 주식회사 | 스핀헤드 및 이를 이용하는 기판처리방법 |
JP2008072016A (ja) * | 2006-09-15 | 2008-03-27 | Tokyo Electron Ltd | 液処理装置、液処理方法及び記憶媒体 |
JP5013400B2 (ja) * | 2006-09-29 | 2012-08-29 | 国立大学法人東北大学 | 塗布膜コーティング装置 |
US7460972B2 (en) * | 2006-10-19 | 2008-12-02 | Sokudo Co., Ltd. | Methods and systems for performing real-time wireless temperature measurement for semiconductor substrates |
US7758763B2 (en) * | 2006-10-31 | 2010-07-20 | Applied Materials, Inc. | Plasma for resist removal and facet control of underlying features |
US20080099181A1 (en) * | 2006-10-31 | 2008-05-01 | Sokudo Co., Ltd. | Method to cool a bake plate using an actively chilled transfer shuttle |
US20080145191A1 (en) * | 2006-11-15 | 2008-06-19 | Sokudo Co., Ltd. | Actively chilled substrate transport module |
JP5023679B2 (ja) * | 2006-12-05 | 2012-09-12 | 東京エレクトロン株式会社 | 塗布、現像装置及びその方法並びに記憶媒体 |
JP4777232B2 (ja) * | 2006-12-27 | 2011-09-21 | 東京エレクトロン株式会社 | 基板の処理方法、基板の処理システム及びプログラムを記憶したコンピュータ読み取り可能な記憶媒体 |
UA97969C2 (ru) * | 2006-12-28 | 2012-04-10 | Сейнт-Гобейн Серамикс Энд Пластикс, Инк. | Сапфирная основа (варианты) |
US8740670B2 (en) | 2006-12-28 | 2014-06-03 | Saint-Gobain Ceramics & Plastics, Inc. | Sapphire substrates and methods of making same |
CN103382575B (zh) * | 2006-12-28 | 2016-12-07 | 圣戈本陶瓷及塑料股份有限公司 | 蓝宝石基材 |
EP2094439A2 (en) * | 2006-12-28 | 2009-09-02 | Saint-Gobain Ceramics & Plastics, Inc. | Sapphire substrates and methods of making same |
US20080155852A1 (en) * | 2006-12-29 | 2008-07-03 | Olgado Donald J K | Multiple substrate vapor drying systems and methods |
US20080160462A1 (en) * | 2007-01-03 | 2008-07-03 | Sokudo Co., Ltd. | Method and system for bake plate heat transfer control in track lithography tools |
US7497026B2 (en) * | 2007-01-11 | 2009-03-03 | Sokudo Co., Ltd. | Method and system for detection of wafer centering in a track lithography tool |
US8580078B2 (en) * | 2007-01-26 | 2013-11-12 | Lam Research Corporation | Bevel etcher with vacuum chuck |
US20080216077A1 (en) * | 2007-03-02 | 2008-09-04 | Applied Materials, Inc. | Software sequencer for integrated substrate processing system |
US20080224817A1 (en) * | 2007-03-15 | 2008-09-18 | Sokudo Co., Ltd. | Interlaced rtd sensor for zone/average temperature sensing |
US7789576B2 (en) * | 2007-03-27 | 2010-09-07 | Taiwan Semiconductor Manufacturing Company, Ltd. | PEB embedded exposure apparatus |
US9383138B2 (en) * | 2007-03-30 | 2016-07-05 | Tokyo Electron Limited | Methods and heat treatment apparatus for uniformly heating a substrate during a bake process |
US20080241400A1 (en) * | 2007-03-31 | 2008-10-02 | Tokyo Electron Limited | Vacuum assist method and system for reducing intermixing of lithography layers |
US20080267257A1 (en) * | 2007-04-27 | 2008-10-30 | Sokudo Co., Ltd. | Method and System for Detecting Substrate Temperature in a Track Lithography Tool |
JP4877075B2 (ja) * | 2007-05-29 | 2012-02-15 | 東京エレクトロン株式会社 | 塗布、現像装置及び塗布、現像装置の運転方法並びに記憶媒体 |
US7942969B2 (en) * | 2007-05-30 | 2011-05-17 | Applied Materials, Inc. | Substrate cleaning chamber and components |
US8636458B2 (en) * | 2007-06-06 | 2014-01-28 | Asml Netherlands B.V. | Integrated post-exposure bake track |
US20090001071A1 (en) * | 2007-06-28 | 2009-01-01 | Sokudo Co., Ltd | Method and System for Cooling a Bake Plate in a Track Lithography Tool |
JP4464993B2 (ja) * | 2007-06-29 | 2010-05-19 | 東京エレクトロン株式会社 | 基板の処理システム |
JP5006122B2 (ja) | 2007-06-29 | 2012-08-22 | 株式会社Sokudo | 基板処理装置 |
JP4979079B2 (ja) * | 2007-07-09 | 2012-07-18 | 東京エレクトロン株式会社 | 基板処理装置 |
US8092599B2 (en) * | 2007-07-10 | 2012-01-10 | Veeco Instruments Inc. | Movable injectors in rotating disc gas reactors |
US7934898B2 (en) * | 2007-07-16 | 2011-05-03 | Semitool, Inc. | High throughput semiconductor wafer processing |
US7567885B2 (en) * | 2007-08-23 | 2009-07-28 | Sokudo Co., Ltd. | Method and system for determining object height |
US7831135B2 (en) * | 2007-09-04 | 2010-11-09 | Sokudo Co., Ltd. | Method and system for controlling bake plate temperature in a semiconductor processing chamber |
US8041450B2 (en) * | 2007-10-04 | 2011-10-18 | Asm Japan K.K. | Position sensor system for substrate transfer robot |
JP5151383B2 (ja) * | 2007-10-12 | 2013-02-27 | 東京エレクトロン株式会社 | 塗布、現像装置、その方法及び記憶媒体 |
WO2009053435A1 (en) * | 2007-10-24 | 2009-04-30 | Oc Oerlikon Balzers Ag | Method for manufacturing workpieces and apparatus |
JP5160204B2 (ja) * | 2007-11-30 | 2013-03-13 | 株式会社Sokudo | 基板処理装置 |
JP5128918B2 (ja) | 2007-11-30 | 2013-01-23 | 株式会社Sokudo | 基板処理装置 |
JP5318403B2 (ja) | 2007-11-30 | 2013-10-16 | 株式会社Sokudo | 基板処理装置 |
US8060252B2 (en) * | 2007-11-30 | 2011-11-15 | Novellus Systems, Inc. | High throughput method of in transit wafer position correction in system using multiple robots |
US9002514B2 (en) | 2007-11-30 | 2015-04-07 | Novellus Systems, Inc. | Wafer position correction with a dual, side-by-side wafer transfer robot |
KR101489963B1 (ko) * | 2007-12-13 | 2015-02-04 | 한국에이에스엠지니텍 주식회사 | 박막 증착 장치 및 이를 이용한 증착 방법 |
US20090162170A1 (en) * | 2007-12-19 | 2009-06-25 | Asm Japan K.K. | Tandem type semiconductor-processing apparatus |
KR100892756B1 (ko) * | 2007-12-27 | 2009-04-15 | 세메스 주식회사 | 기판 처리 장치 및 이를 이용한 기판 이송 방법 |
JP5001828B2 (ja) * | 2007-12-28 | 2012-08-15 | 株式会社Sokudo | 基板処理装置 |
JP5179170B2 (ja) * | 2007-12-28 | 2013-04-10 | 株式会社Sokudo | 基板処理装置 |
US8198567B2 (en) * | 2008-01-15 | 2012-06-12 | Applied Materials, Inc. | High temperature vacuum chuck assembly |
JP4547016B2 (ja) * | 2008-04-04 | 2010-09-22 | 東京エレクトロン株式会社 | 半導体製造装置、半導体製造方法 |
US8077098B2 (en) * | 2008-05-15 | 2011-12-13 | The United States Of America As Represented By The Secretary Of The Navy | Antenna test system |
KR101202202B1 (ko) | 2008-06-05 | 2012-11-16 | 도쿄엘렉트론가부시키가이샤 | 액처리 장치 및 액처리 방법 |
US20090308860A1 (en) * | 2008-06-11 | 2009-12-17 | Applied Materials, Inc. | Short thermal profile oven useful for screen printing |
WO2010004636A1 (ja) * | 2008-07-10 | 2010-01-14 | 川崎重工業株式会社 | ロボット及びその教示方法 |
CN102099907B (zh) * | 2008-07-15 | 2014-04-02 | 株式会社爱发科 | 工件传送系统和方法 |
KR101226954B1 (ko) * | 2008-08-06 | 2013-01-28 | 세메스 주식회사 | 기판 처리장치 및 이의 기판 이송 방법 |
JP5036664B2 (ja) | 2008-09-04 | 2012-09-26 | 東京エレクトロン株式会社 | 液処理におけるノズル洗浄、処理液乾燥防止方法及びその装置 |
US10378106B2 (en) | 2008-11-14 | 2019-08-13 | Asm Ip Holding B.V. | Method of forming insulation film by modified PEALD |
JP2010123230A (ja) * | 2008-11-21 | 2010-06-03 | Sony Disc & Digital Solutions Inc | 現像方法、及び現像装置 |
JP2010129929A (ja) * | 2008-11-28 | 2010-06-10 | Canon Inc | 基板保持装置、基板保持方法、露光装置およびデバイス製造方法 |
US8127713B2 (en) * | 2008-12-12 | 2012-03-06 | Sokudo Co., Ltd. | Multi-channel developer system |
US7897525B2 (en) * | 2008-12-31 | 2011-03-01 | Archers Inc. | Methods and systems of transferring, docking and processing substrates |
US20100162954A1 (en) * | 2008-12-31 | 2010-07-01 | Lawrence Chung-Lai Lei | Integrated facility and process chamber for substrate processing |
US8110511B2 (en) * | 2009-01-03 | 2012-02-07 | Archers Inc. | Methods and systems of transferring a substrate to minimize heat loss |
US8367565B2 (en) * | 2008-12-31 | 2013-02-05 | Archers Inc. | Methods and systems of transferring, docking and processing substrates |
US20100162955A1 (en) * | 2008-12-31 | 2010-07-01 | Lawrence Chung-Lai Lei | Systems and methods for substrate processing |
US8886354B2 (en) * | 2009-01-11 | 2014-11-11 | Applied Materials, Inc. | Methods, systems and apparatus for rapid exchange of work material |
US8241425B2 (en) * | 2009-01-23 | 2012-08-14 | Axcelis Technologies, Inc. | Non-condensing thermos chuck |
JP2010177673A (ja) * | 2009-01-30 | 2010-08-12 | Semes Co Ltd | 基板処理設備及び基板処理方法 |
CN101794710B (zh) | 2009-01-30 | 2012-10-03 | 细美事有限公司 | 用于处理基板的系统及方法 |
JP2010251705A (ja) * | 2009-03-24 | 2010-11-04 | Nuflare Technology Inc | 成膜装置および成膜方法 |
US9394608B2 (en) | 2009-04-06 | 2016-07-19 | Asm America, Inc. | Semiconductor processing reactor and components thereof |
CN101897351A (zh) * | 2009-05-31 | 2010-12-01 | 北京佩奇科技发展中心 | 堇青石烤炉 |
US8847122B2 (en) * | 2009-06-08 | 2014-09-30 | Macronix International Co., Ltd. | Method and apparatus for transferring substrate |
EP2449004A1 (en) | 2009-07-02 | 2012-05-09 | E. I. du Pont de Nemours and Company | Semiconductor manufacture component |
US8021745B2 (en) * | 2009-07-02 | 2011-09-20 | E. I. Du Pont De Nemours And Company | Semiconductor manufacture component |
TWI489580B (zh) * | 2009-07-10 | 2015-06-21 | Macronix Int Co Ltd | 基底傳送方法及其裝置 |
US8802201B2 (en) | 2009-08-14 | 2014-08-12 | Asm America, Inc. | Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species |
US20110064545A1 (en) * | 2009-09-16 | 2011-03-17 | Applied Materials, Inc. | Substrate transfer mechanism with preheating features |
JP5445006B2 (ja) * | 2009-10-05 | 2014-03-19 | 東京エレクトロン株式会社 | 基板処理装置、基板処理方法及び記憶媒体 |
TWI532114B (zh) * | 2009-11-12 | 2016-05-01 | Hitachi High Tech Corp | Vacuum processing device and operation method of vacuum processing device |
US8542492B2 (en) * | 2009-12-10 | 2013-09-24 | Richard Anthony Dunn, JR. | Scalable up and down nesting integrated electronic enclosures with form factors including asteroids and/or dumbbells and/or approximated tessellation(s)/tiling(s) or combinations thereof with thermal management, wiring, sliding fit, manual and/or automated full range vertical to horizontal positioning, access and structural systems for individual modules and intra-and inter-planar stacks, columns, rows, arrays and associated infrastructures |
US20110140232A1 (en) * | 2009-12-15 | 2011-06-16 | Intersil Americas Inc. | Methods of forming a thermal conduction region in a semiconductor structure and structures resulting therefrom |
US20120055916A1 (en) * | 2010-03-01 | 2012-03-08 | Sokudo Co., Ltd. | Method and system for thermal treatment of substrates |
JP5318005B2 (ja) | 2010-03-10 | 2013-10-16 | 株式会社Sokudo | 基板処理装置、ストッカー装置および基板収納容器の搬送方法 |
JP5392190B2 (ja) * | 2010-06-01 | 2014-01-22 | 東京エレクトロン株式会社 | 基板処理システム及び基板処理方法 |
EP2577334B1 (en) | 2010-06-07 | 2015-03-04 | Cascade Microtech, Inc. | High voltage chuck for a probe station |
TWM394568U (en) * | 2010-07-23 | 2010-12-11 | Chen Long Technology Corp Ltd | Multiplexing wafer baking processing system |
US9645162B2 (en) | 2010-08-27 | 2017-05-09 | Hewlett-Packard Development Company, L.P. | Automated assay fluid dispensing |
US9433939B2 (en) | 2010-08-27 | 2016-09-06 | Hewlett-Packard Development Company, L.P. | Liquid dispensing assembly frame |
JP5251941B2 (ja) * | 2010-09-01 | 2013-07-31 | 東京エレクトロン株式会社 | 液処理装置、液処理方法及び記憶媒体 |
US9370273B2 (en) | 2010-12-02 | 2016-06-21 | Pepsico, Inc. | Hot and cold beverage dispenser |
US20120225204A1 (en) * | 2011-03-01 | 2012-09-06 | Applied Materials, Inc. | Apparatus and Process for Atomic Layer Deposition |
JP5490741B2 (ja) * | 2011-03-02 | 2014-05-14 | 東京エレクトロン株式会社 | 基板搬送装置の位置調整方法、及び基板処理装置 |
WO2012150644A1 (ja) * | 2011-05-02 | 2012-11-08 | 村田機械株式会社 | 自動倉庫 |
US20120305192A1 (en) | 2011-06-03 | 2012-12-06 | Arthur Keigler | Parallel single substrate processing fluid jet module |
US9312155B2 (en) | 2011-06-06 | 2016-04-12 | Asm Japan K.K. | High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules |
US8961693B2 (en) * | 2011-06-08 | 2015-02-24 | Shenzhen China Star Optoelectronics Technology Co., Ltd. | Component supporting device |
US9793148B2 (en) | 2011-06-22 | 2017-10-17 | Asm Japan K.K. | Method for positioning wafers in multiple wafer transport |
US9421617B2 (en) | 2011-06-22 | 2016-08-23 | Tel Nexx, Inc. | Substrate holder |
US10364496B2 (en) | 2011-06-27 | 2019-07-30 | Asm Ip Holding B.V. | Dual section module having shared and unshared mass flow controllers |
US9117856B2 (en) | 2011-07-06 | 2015-08-25 | Tel Nexx, Inc. | Substrate loader and unloader having an air bearing support |
US10854498B2 (en) * | 2011-07-15 | 2020-12-01 | Asm Ip Holding B.V. | Wafer-supporting device and method for producing same |
US20130023129A1 (en) | 2011-07-20 | 2013-01-24 | Asm America, Inc. | Pressure transmitter for a semiconductor processing environment |
KR20180128987A (ko) | 2011-09-16 | 2018-12-04 | 퍼시몬 테크놀로지스 코포레이션 | 기판 이동 장치 |
TWI523134B (zh) * | 2011-09-22 | 2016-02-21 | 東京威力科創股份有限公司 | 基板處理系統、基板搬運方法、及電腦記憶媒體 |
CN202257027U (zh) * | 2011-10-12 | 2012-05-30 | 深圳市华星光电技术有限公司 | 光刻胶涂布机承载系统及具有该系统的光刻胶涂布机 |
US9017481B1 (en) | 2011-10-28 | 2015-04-28 | Asm America, Inc. | Process feed management for semiconductor substrate processing |
US20130123966A1 (en) * | 2011-11-14 | 2013-05-16 | Shenzhen China Star Optoelectronics Technology Co., Ltd. | Spatial three-dimensional inline handling system |
CN103137519B (zh) * | 2011-11-28 | 2016-08-17 | 和舰科技(苏州)有限公司 | 冷热板装置及其控温方法 |
CN102645698B (zh) * | 2012-01-09 | 2016-03-30 | 京东方科技集团股份有限公司 | 导光板网点、导光板制作方法及背光模组、显示装置 |
CN104272190A (zh) | 2012-02-03 | 2015-01-07 | Asml荷兰有限公司 | 衬底保持器和光刻装置 |
JP5926086B2 (ja) * | 2012-03-28 | 2016-05-25 | 株式会社Screenホールディングス | 基板処理装置および基板処理方法 |
US8946830B2 (en) | 2012-04-04 | 2015-02-03 | Asm Ip Holdings B.V. | Metal oxide protective layer for a semiconductor device |
US10199350B2 (en) * | 2012-05-25 | 2019-02-05 | Asm Technology Singapore Pte Ltd | Apparatus for heating a substrate during die bonding |
US9490150B2 (en) | 2012-07-03 | 2016-11-08 | Applied Materials, Inc. | Substrate support for substrate backside contamination control |
US8932945B2 (en) * | 2012-07-09 | 2015-01-13 | Taiwan Semiconductor Manufacturing Company, Ltd. | Wafer alignment system and method |
US9558931B2 (en) | 2012-07-27 | 2017-01-31 | Asm Ip Holding B.V. | System and method for gas-phase sulfur passivation of a semiconductor surface |
US9659799B2 (en) | 2012-08-28 | 2017-05-23 | Asm Ip Holding B.V. | Systems and methods for dynamic semiconductor process scheduling |
US9021985B2 (en) | 2012-09-12 | 2015-05-05 | Asm Ip Holdings B.V. | Process gas management for an inductively-coupled plasma deposition reactor |
US9324811B2 (en) | 2012-09-26 | 2016-04-26 | Asm Ip Holding B.V. | Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same |
US10714315B2 (en) | 2012-10-12 | 2020-07-14 | Asm Ip Holdings B.V. | Semiconductor reaction chamber showerhead |
WO2014082212A1 (en) | 2012-11-28 | 2014-06-05 | Acm Research (Shanghai) Inc. | Method and apparatus for cleaning semiconductor wafer |
JP5835195B2 (ja) * | 2012-11-29 | 2015-12-24 | 東京エレクトロン株式会社 | 乾燥処理用の高圧容器の製造方法及び基板処理装置の製造方法 |
US9640416B2 (en) | 2012-12-26 | 2017-05-02 | Asm Ip Holding B.V. | Single-and dual-chamber module-attachable wafer-handling chamber |
TWI765789B (zh) | 2013-01-22 | 2022-05-21 | 美商布魯克斯自動機械美國公司 | 基材運送 |
US20160376700A1 (en) | 2013-02-01 | 2016-12-29 | Asm Ip Holding B.V. | System for treatment of deposition reactor |
US9543186B2 (en) * | 2013-02-01 | 2017-01-10 | Applied Materials, Inc. | Substrate support with controlled sealing gap |
US9484191B2 (en) | 2013-03-08 | 2016-11-01 | Asm Ip Holding B.V. | Pulsed remote plasma method and system |
US9589770B2 (en) | 2013-03-08 | 2017-03-07 | Asm Ip Holding B.V. | Method and systems for in-situ formation of intermediate reactive species |
US9446467B2 (en) | 2013-03-14 | 2016-09-20 | Taiwan Semiconductor Manufacturing Company, Ltd. | Integrate rinse module in hybrid bonding platform |
US8993054B2 (en) | 2013-07-12 | 2015-03-31 | Asm Ip Holding B.V. | Method and system to reduce outgassing in a reaction chamber |
JP6268425B2 (ja) * | 2013-07-16 | 2018-01-31 | シンフォニアテクノロジー株式会社 | Efem、ロードポート、ウェーハ搬送方法 |
US9018111B2 (en) | 2013-07-22 | 2015-04-28 | Asm Ip Holding B.V. | Semiconductor reaction chamber with plasma capabilities |
US9793115B2 (en) | 2013-08-14 | 2017-10-17 | Asm Ip Holding B.V. | Structures and devices including germanium-tin films and methods of forming same |
JP6234736B2 (ja) * | 2013-08-30 | 2017-11-22 | 芝浦メカトロニクス株式会社 | スピン処理装置 |
US10424498B2 (en) * | 2013-09-09 | 2019-09-24 | Persimmon Technologies Corporation | Substrate transport vacuum platform |
US9245767B2 (en) * | 2013-09-12 | 2016-01-26 | Applied Materials, Inc. | Anneal module for semiconductor wafers |
JP6112485B2 (ja) * | 2013-09-19 | 2017-04-12 | 国立研究開発法人産業技術総合研究所 | 単結晶ダイヤモンドの製造方法 |
KR102714118B1 (ko) | 2013-09-26 | 2024-10-04 | 어플라이드 머티어리얼스, 인코포레이티드 | 기판 프로세싱을 위한 혼합형-플랫폼 장치, 시스템들, 및 방법들 |
US9240412B2 (en) | 2013-09-27 | 2016-01-19 | Asm Ip Holding B.V. | Semiconductor structure and device and methods of forming same using selective epitaxial process |
US9556516B2 (en) | 2013-10-09 | 2017-01-31 | ASM IP Holding B.V | Method for forming Ti-containing film by PEALD using TDMAT or TDEAT |
US10179947B2 (en) | 2013-11-26 | 2019-01-15 | Asm Ip Holding B.V. | Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition |
US10074547B2 (en) * | 2013-12-19 | 2018-09-11 | Taiwan Semiconductor Manufacturing Co., Ltd. | Photoresist nozzle device and photoresist supply system |
CN106415813B (zh) | 2014-01-21 | 2020-06-23 | 柿子技术公司 | 衬底运输真空平台 |
KR20160111521A (ko) | 2014-01-27 | 2016-09-26 | 비코 인스트루먼츠 인코포레이티드 | 화학적 기상 증착 시스템을 위한 복합 반경들을 갖는 유지 포켓들을 구비한 웨이퍼 캐리어 |
JP5850964B2 (ja) * | 2014-02-19 | 2016-02-03 | ファナック株式会社 | ケーブルトラックを備えるロボット走行装置、ロボットシステム、および加工システム |
US10683571B2 (en) | 2014-02-25 | 2020-06-16 | Asm Ip Holding B.V. | Gas supply manifold and method of supplying gases to chamber using same |
US9447498B2 (en) | 2014-03-18 | 2016-09-20 | Asm Ip Holding B.V. | Method for performing uniform processing in gas system-sharing multiple reaction chambers |
US10167557B2 (en) | 2014-03-18 | 2019-01-01 | Asm Ip Holding B.V. | Gas distribution system, reactor including the system, and methods of using the same |
US11015245B2 (en) | 2014-03-19 | 2021-05-25 | Asm Ip Holding B.V. | Gas-phase reactor and system having exhaust plenum and components thereof |
CN103949376B (zh) * | 2014-04-17 | 2016-08-31 | 天津市盈硕科技发展有限公司 | 新能源电动自行车的太阳能电池板的点胶装置 |
US9404587B2 (en) | 2014-04-24 | 2016-08-02 | ASM IP Holding B.V | Lockout tagout for semiconductor vacuum valve |
JP6211458B2 (ja) * | 2014-04-30 | 2017-10-11 | 東京エレクトロン株式会社 | 基板液処理装置及び基板液処理方法 |
US10892180B2 (en) * | 2014-06-02 | 2021-01-12 | Applied Materials, Inc. | Lift pin assembly |
US10858737B2 (en) | 2014-07-28 | 2020-12-08 | Asm Ip Holding B.V. | Showerhead assembly and components thereof |
US9543180B2 (en) | 2014-08-01 | 2017-01-10 | Asm Ip Holding B.V. | Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum |
US9890456B2 (en) | 2014-08-21 | 2018-02-13 | Asm Ip Holding B.V. | Method and system for in situ formation of gas-phase compounds |
TWI546376B (zh) * | 2014-08-25 | 2016-08-21 | 柯伊珊 | 晶圓處理液及使用其移除並平坦晶圓邊緣塗佈薄膜及平坦化光阻表面的設備和方法 |
WO2016038656A1 (ja) * | 2014-09-08 | 2016-03-17 | 株式会社安川電機 | ロボットシステムおよび搬送方法 |
US9657845B2 (en) | 2014-10-07 | 2017-05-23 | Asm Ip Holding B.V. | Variable conductance gas distribution apparatus and method |
US10941490B2 (en) | 2014-10-07 | 2021-03-09 | Asm Ip Holding B.V. | Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same |
US9403275B2 (en) * | 2014-10-17 | 2016-08-02 | GM Global Technology Operations LLC | Dynamic obstacle avoidance in a robotic system |
KR102469258B1 (ko) * | 2014-11-18 | 2022-11-22 | 퍼시몬 테크놀로지스 코포레이션 | 엔드 이펙터 위치 추정을 위한 로봇의 적응형 배치 시스템 |
KR102300403B1 (ko) | 2014-11-19 | 2021-09-09 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 |
KR102263121B1 (ko) | 2014-12-22 | 2021-06-09 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 및 그 제조 방법 |
US9478415B2 (en) | 2015-02-13 | 2016-10-25 | Asm Ip Holding B.V. | Method for forming film having low resistance and shallow junction depth |
WO2016131190A1 (en) * | 2015-02-17 | 2016-08-25 | Solarcity Corporation | Method and system for improving solar cell manufacturing yield |
US10529542B2 (en) | 2015-03-11 | 2020-01-07 | Asm Ip Holdings B.V. | Cross-flow reactor and method |
US10276355B2 (en) | 2015-03-12 | 2019-04-30 | Asm Ip Holding B.V. | Multi-zone reactor, system including the reactor, and method of using the same |
KR102478317B1 (ko) * | 2015-04-08 | 2022-12-16 | 도쿄엘렉트론가부시키가이샤 | 기판 처리 시스템 |
US9889567B2 (en) | 2015-04-24 | 2018-02-13 | Applied Materials, Inc. | Wafer swapper |
US9972740B2 (en) | 2015-06-07 | 2018-05-15 | Tesla, Inc. | Chemical vapor deposition tool and process for fabrication of photovoltaic structures |
US10458018B2 (en) | 2015-06-26 | 2019-10-29 | Asm Ip Holding B.V. | Structures including metal carbide material, devices including the structures, and methods of forming same |
KR101695948B1 (ko) * | 2015-06-26 | 2017-01-13 | 주식회사 테라세미콘 | 기판처리 시스템 |
US10256121B2 (en) | 2015-07-06 | 2019-04-09 | Tokyo Electron Limited | Heated stage with variable thermal emissivity method and apparatus |
US10600673B2 (en) | 2015-07-07 | 2020-03-24 | Asm Ip Holding B.V. | Magnetic susceptor to baseplate seal |
JP6918770B2 (ja) | 2015-07-13 | 2021-08-11 | ブルックス オートメーション インコーポレイテッド | オンザフライ方式の自動ウェハセンタリング方法および装置 |
US10043661B2 (en) | 2015-07-13 | 2018-08-07 | Asm Ip Holding B.V. | Method for protecting layer by forming hydrocarbon-based extremely thin film |
US9899291B2 (en) | 2015-07-13 | 2018-02-20 | Asm Ip Holding B.V. | Method for protecting layer by forming hydrocarbon-based extremely thin film |
US10083836B2 (en) | 2015-07-24 | 2018-09-25 | Asm Ip Holding B.V. | Formation of boron-doped titanium metal films with high work function |
CN105278259A (zh) * | 2015-07-27 | 2016-01-27 | 江苏影速光电技术有限公司 | 单机双台面多工位自动pcb板曝光设备及其曝光方法 |
US10087525B2 (en) | 2015-08-04 | 2018-10-02 | Asm Ip Holding B.V. | Variable gap hard stop design |
WO2017030841A1 (en) * | 2015-08-14 | 2017-02-23 | M Cubed Technologies, Inc. | Method for removing contamination from a chuck surface |
US9647114B2 (en) | 2015-08-14 | 2017-05-09 | Asm Ip Holding B.V. | Methods of forming highly p-type doped germanium tin films and structures and devices including the films |
TWI595963B (zh) * | 2015-08-18 | 2017-08-21 | Machvision Inc | Automatic feeding device |
US9711345B2 (en) | 2015-08-25 | 2017-07-18 | Asm Ip Holding B.V. | Method for forming aluminum nitride-based film by PEALD |
US10073444B2 (en) * | 2015-09-20 | 2018-09-11 | Macau University Of Science And Technology | Petri net-based optimal one-wafer cyclic scheduling of treelike hybrid multi-cluster tools |
US9960072B2 (en) | 2015-09-29 | 2018-05-01 | Asm Ip Holding B.V. | Variable adjustment for precise matching of multiple chamber cavity housings |
US9909214B2 (en) | 2015-10-15 | 2018-03-06 | Asm Ip Holding B.V. | Method for depositing dielectric film in trenches by PEALD |
US10211308B2 (en) | 2015-10-21 | 2019-02-19 | Asm Ip Holding B.V. | NbMC layers |
KR20170048787A (ko) * | 2015-10-27 | 2017-05-10 | 세메스 주식회사 | 기판 처리 장치 및 기판 처리 방법 |
US10322384B2 (en) | 2015-11-09 | 2019-06-18 | Asm Ip Holding B.V. | Counter flow mixer for process chamber |
US9455138B1 (en) | 2015-11-10 | 2016-09-27 | Asm Ip Holding B.V. | Method for forming dielectric film in trenches by PEALD using H-containing gas |
US9905420B2 (en) | 2015-12-01 | 2018-02-27 | Asm Ip Holding B.V. | Methods of forming silicon germanium tin films and structures and devices including the films |
US9607837B1 (en) | 2015-12-21 | 2017-03-28 | Asm Ip Holding B.V. | Method for forming silicon oxide cap layer for solid state diffusion process |
US9627221B1 (en) | 2015-12-28 | 2017-04-18 | Asm Ip Holding B.V. | Continuous process incorporating atomic layer etching |
US9735024B2 (en) | 2015-12-28 | 2017-08-15 | Asm Ip Holding B.V. | Method of atomic layer etching using functional group-containing fluorocarbon |
US11139308B2 (en) | 2015-12-29 | 2021-10-05 | Asm Ip Holding B.V. | Atomic layer deposition of III-V compounds to form V-NAND devices |
US9754779B1 (en) | 2016-02-19 | 2017-09-05 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US10468251B2 (en) | 2016-02-19 | 2019-11-05 | Asm Ip Holding B.V. | Method for forming spacers using silicon nitride film for spacer-defined multiple patterning |
US10529554B2 (en) | 2016-02-19 | 2020-01-07 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US10501866B2 (en) | 2016-03-09 | 2019-12-10 | Asm Ip Holding B.V. | Gas distribution apparatus for improved film uniformity in an epitaxial system |
US10343920B2 (en) | 2016-03-18 | 2019-07-09 | Asm Ip Holding B.V. | Aligned carbon nanotubes |
US9892913B2 (en) | 2016-03-24 | 2018-02-13 | Asm Ip Holding B.V. | Radial and thickness control via biased multi-port injection settings |
US10087522B2 (en) | 2016-04-21 | 2018-10-02 | Asm Ip Holding B.V. | Deposition of metal borides |
US10190213B2 (en) | 2016-04-21 | 2019-01-29 | Asm Ip Holding B.V. | Deposition of metal borides |
US10865475B2 (en) | 2016-04-21 | 2020-12-15 | Asm Ip Holding B.V. | Deposition of metal borides and silicides |
US10032628B2 (en) | 2016-05-02 | 2018-07-24 | Asm Ip Holding B.V. | Source/drain performance through conformal solid state doping |
US10367080B2 (en) | 2016-05-02 | 2019-07-30 | Asm Ip Holding B.V. | Method of forming a germanium oxynitride film |
KR102592471B1 (ko) | 2016-05-17 | 2023-10-20 | 에이에스엠 아이피 홀딩 비.브이. | 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법 |
US9748434B1 (en) | 2016-05-24 | 2017-08-29 | Tesla, Inc. | Systems, method and apparatus for curing conductive paste |
US11453943B2 (en) | 2016-05-25 | 2022-09-27 | Asm Ip Holding B.V. | Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor |
US10388509B2 (en) | 2016-06-28 | 2019-08-20 | Asm Ip Holding B.V. | Formation of epitaxial layers via dislocation filtering |
US9859151B1 (en) | 2016-07-08 | 2018-01-02 | Asm Ip Holding B.V. | Selective film deposition method to form air gaps |
US10612137B2 (en) | 2016-07-08 | 2020-04-07 | Asm Ip Holdings B.V. | Organic reactants for atomic layer deposition |
US10580681B2 (en) * | 2016-07-10 | 2020-03-03 | Yaskawa America Inc. | Robotic apparatus and method for transport of a workpiece |
US9793135B1 (en) | 2016-07-14 | 2017-10-17 | ASM IP Holding B.V | Method of cyclic dry etching using etchant film |
US10714385B2 (en) | 2016-07-19 | 2020-07-14 | Asm Ip Holding B.V. | Selective deposition of tungsten |
CN107644832B (zh) * | 2016-07-20 | 2023-09-29 | 朗姆研究公司 | 用于系统维护期间储存和组织mca特征和晶片传送销的设计 |
WO2018022670A1 (en) | 2016-07-26 | 2018-02-01 | M Cubed Technologies, Inc. | Methods for masking a pin chuck, and articles made thereby |
KR102354490B1 (ko) | 2016-07-27 | 2022-01-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
US9887082B1 (en) | 2016-07-28 | 2018-02-06 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10395919B2 (en) | 2016-07-28 | 2019-08-27 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10177025B2 (en) | 2016-07-28 | 2019-01-08 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US9812320B1 (en) | 2016-07-28 | 2017-11-07 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
KR102532607B1 (ko) | 2016-07-28 | 2023-05-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 가공 장치 및 그 동작 방법 |
US9954136B2 (en) | 2016-08-03 | 2018-04-24 | Tesla, Inc. | Cassette optimized for an inline annealing system |
US10090316B2 (en) | 2016-09-01 | 2018-10-02 | Asm Ip Holding B.V. | 3D stacked multilayer semiconductor memory using doped select transistor channel |
US10131053B1 (en) * | 2016-09-14 | 2018-11-20 | X Development Llc | Real time robot collision avoidance |
JP6870944B2 (ja) * | 2016-09-26 | 2021-05-12 | 株式会社Screenホールディングス | 基板処理装置 |
US10410943B2 (en) | 2016-10-13 | 2019-09-10 | Asm Ip Holding B.V. | Method for passivating a surface of a semiconductor and related systems |
US10643826B2 (en) | 2016-10-26 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for thermally calibrating reaction chambers |
US11532757B2 (en) | 2016-10-27 | 2022-12-20 | Asm Ip Holding B.V. | Deposition of charge trapping layers |
US10115856B2 (en) | 2016-10-31 | 2018-10-30 | Tesla, Inc. | System and method for curing conductive paste using induction heating |
US10714350B2 (en) | 2016-11-01 | 2020-07-14 | ASM IP Holdings, B.V. | Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10435790B2 (en) | 2016-11-01 | 2019-10-08 | Asm Ip Holding B.V. | Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap |
US10643904B2 (en) | 2016-11-01 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for forming a semiconductor device and related semiconductor device structures |
US10229833B2 (en) | 2016-11-01 | 2019-03-12 | Asm Ip Holding B.V. | Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10134757B2 (en) | 2016-11-07 | 2018-11-20 | Asm Ip Holding B.V. | Method of processing a substrate and a device manufactured by using the method |
KR102546317B1 (ko) | 2016-11-15 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기체 공급 유닛 및 이를 포함하는 기판 처리 장치 |
CN108107680B (zh) * | 2016-11-25 | 2020-10-30 | 沈阳芯源微电子设备股份有限公司 | 堆叠式涂胶显影系统 |
US10340135B2 (en) | 2016-11-28 | 2019-07-02 | Asm Ip Holding B.V. | Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride |
KR20180068582A (ko) | 2016-12-14 | 2018-06-22 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11581186B2 (en) | 2016-12-15 | 2023-02-14 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus |
US9916980B1 (en) | 2016-12-15 | 2018-03-13 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US10246087B2 (en) * | 2016-12-15 | 2019-04-02 | Caterpillar Inc. | System and method for collision mitigation during machine articulation |
US11447861B2 (en) | 2016-12-15 | 2022-09-20 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus and a method of forming a patterned structure |
KR102700194B1 (ko) | 2016-12-19 | 2024-08-28 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10269558B2 (en) | 2016-12-22 | 2019-04-23 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US10867788B2 (en) | 2016-12-28 | 2020-12-15 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
JP6770887B2 (ja) * | 2016-12-28 | 2020-10-21 | 株式会社Screenホールディングス | 基板処理装置および基板処理システム |
US11390950B2 (en) | 2017-01-10 | 2022-07-19 | Asm Ip Holding B.V. | Reactor system and method to reduce residue buildup during a film deposition process |
US10655221B2 (en) | 2017-02-09 | 2020-05-19 | Asm Ip Holding B.V. | Method for depositing oxide film by thermal ALD and PEALD |
US11201078B2 (en) * | 2017-02-14 | 2021-12-14 | Applied Materials, Inc. | Substrate position calibration for substrate supports in substrate processing systems |
US10468261B2 (en) | 2017-02-15 | 2019-11-05 | Asm Ip Holding B.V. | Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures |
DE102017104840A1 (de) | 2017-03-08 | 2018-09-13 | SW Automation GmbH | Verfahrsystem |
EP3596548A4 (en) * | 2017-03-15 | 2021-01-27 | Callaghan Innovation | DEVICE AND METHOD FOR MANUFACTURING AN ARTICLE BY USING PHOTOLITHOGRAPHY AND PHOTO LACQUER |
US10529563B2 (en) | 2017-03-29 | 2020-01-07 | Asm Ip Holdings B.V. | Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures |
US10283353B2 (en) | 2017-03-29 | 2019-05-07 | Asm Ip Holding B.V. | Method of reforming insulating film deposited on substrate with recess pattern |
US10103040B1 (en) | 2017-03-31 | 2018-10-16 | Asm Ip Holding B.V. | Apparatus and method for manufacturing a semiconductor device |
USD830981S1 (en) | 2017-04-07 | 2018-10-16 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate processing apparatus |
KR102457289B1 (ko) | 2017-04-25 | 2022-10-21 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10770286B2 (en) | 2017-05-08 | 2020-09-08 | Asm Ip Holdings B.V. | Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures |
US10446393B2 (en) | 2017-05-08 | 2019-10-15 | Asm Ip Holding B.V. | Methods for forming silicon-containing epitaxial layers and related semiconductor device structures |
US10892156B2 (en) | 2017-05-08 | 2021-01-12 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film on a substrate and related semiconductor device structures |
US10504742B2 (en) | 2017-05-31 | 2019-12-10 | Asm Ip Holding B.V. | Method of atomic layer etching using hydrogen plasma |
US10886123B2 (en) | 2017-06-02 | 2021-01-05 | Asm Ip Holding B.V. | Methods for forming low temperature semiconductor layers and related semiconductor device structures |
US12040200B2 (en) | 2017-06-20 | 2024-07-16 | Asm Ip Holding B.V. | Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus |
US11306395B2 (en) | 2017-06-28 | 2022-04-19 | Asm Ip Holding B.V. | Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus |
US10685834B2 (en) | 2017-07-05 | 2020-06-16 | Asm Ip Holdings B.V. | Methods for forming a silicon germanium tin layer and related semiconductor device structures |
DE102017115833A1 (de) * | 2017-07-13 | 2019-01-17 | SW Automation GmbH | Verfahren zum Betreiben einer Werkstück-Bearbeitungs-Anlage und Werkstück-Bearbeitungs-Anlage |
KR20190009245A (ko) | 2017-07-18 | 2019-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물 |
US11018002B2 (en) | 2017-07-19 | 2021-05-25 | Asm Ip Holding B.V. | Method for selectively depositing a Group IV semiconductor and related semiconductor device structures |
US11374112B2 (en) | 2017-07-19 | 2022-06-28 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US12027407B2 (en) * | 2017-07-19 | 2024-07-02 | Taiwan Semiconductor Manufacturing Company, Ltd. | Substrate support apparatus and method |
US10541333B2 (en) | 2017-07-19 | 2020-01-21 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US10312055B2 (en) | 2017-07-26 | 2019-06-04 | Asm Ip Holding B.V. | Method of depositing film by PEALD using negative bias |
US10590535B2 (en) | 2017-07-26 | 2020-03-17 | Asm Ip Holdings B.V. | Chemical treatment, deposition and/or infiltration apparatus and method for using the same |
US10605530B2 (en) | 2017-07-26 | 2020-03-31 | Asm Ip Holding B.V. | Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace |
US10861723B2 (en) * | 2017-08-08 | 2020-12-08 | Taiwan Semiconductor Manufacturing Co., Ltd. | EFEM robot auto teaching methodology |
US10692741B2 (en) | 2017-08-08 | 2020-06-23 | Asm Ip Holdings B.V. | Radiation shield |
US10770336B2 (en) | 2017-08-08 | 2020-09-08 | Asm Ip Holding B.V. | Substrate lift mechanism and reactor including same |
US10249524B2 (en) | 2017-08-09 | 2019-04-02 | Asm Ip Holding B.V. | Cassette holder assembly for a substrate cassette and holding member for use in such assembly |
US11769682B2 (en) | 2017-08-09 | 2023-09-26 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US11139191B2 (en) | 2017-08-09 | 2021-10-05 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US10236177B1 (en) | 2017-08-22 | 2019-03-19 | ASM IP Holding B.V.. | Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures |
USD900036S1 (en) | 2017-08-24 | 2020-10-27 | Asm Ip Holding B.V. | Heater electrical connector and adapter |
US11830730B2 (en) | 2017-08-29 | 2023-11-28 | Asm Ip Holding B.V. | Layer forming method and apparatus |
US11295980B2 (en) | 2017-08-30 | 2022-04-05 | Asm Ip Holding B.V. | Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures |
KR102491945B1 (ko) | 2017-08-30 | 2023-01-26 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11056344B2 (en) | 2017-08-30 | 2021-07-06 | Asm Ip Holding B.V. | Layer forming method |
KR102401446B1 (ko) | 2017-08-31 | 2022-05-24 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11955362B2 (en) * | 2017-09-13 | 2024-04-09 | Applied Materials, Inc. | Substrate support for reduced damage substrate backside |
US10607895B2 (en) | 2017-09-18 | 2020-03-31 | Asm Ip Holdings B.V. | Method for forming a semiconductor device structure comprising a gate fill metal |
US20190088518A1 (en) * | 2017-09-20 | 2019-03-21 | Applied Materials, Inc. | Substrate support with cooled and conducting pins |
US10510575B2 (en) | 2017-09-20 | 2019-12-17 | Applied Materials, Inc. | Substrate support with multiple embedded electrodes |
KR102630301B1 (ko) | 2017-09-21 | 2024-01-29 | 에이에스엠 아이피 홀딩 비.브이. | 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치 |
US10844484B2 (en) | 2017-09-22 | 2020-11-24 | Asm Ip Holding B.V. | Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US10658205B2 (en) | 2017-09-28 | 2020-05-19 | Asm Ip Holdings B.V. | Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber |
US10403504B2 (en) | 2017-10-05 | 2019-09-03 | Asm Ip Holding B.V. | Method for selectively depositing a metallic film on a substrate |
US10319588B2 (en) | 2017-10-10 | 2019-06-11 | Asm Ip Holding B.V. | Method for depositing a metal chalcogenide on a substrate by cyclical deposition |
US10923344B2 (en) | 2017-10-30 | 2021-02-16 | Asm Ip Holding B.V. | Methods for forming a semiconductor structure and related semiconductor structures |
KR102443047B1 (ko) | 2017-11-16 | 2022-09-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 방법 및 그에 의해 제조된 장치 |
US10910262B2 (en) | 2017-11-16 | 2021-02-02 | Asm Ip Holding B.V. | Method of selectively depositing a capping layer structure on a semiconductor device structure |
US11022879B2 (en) | 2017-11-24 | 2021-06-01 | Asm Ip Holding B.V. | Method of forming an enhanced unexposed photoresist layer |
CN111344522B (zh) | 2017-11-27 | 2022-04-12 | 阿斯莫Ip控股公司 | 包括洁净迷你环境的装置 |
KR102597978B1 (ko) | 2017-11-27 | 2023-11-06 | 에이에스엠 아이피 홀딩 비.브이. | 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치 |
JP6967954B2 (ja) * | 2017-12-05 | 2021-11-17 | 東京エレクトロン株式会社 | 排気装置、処理装置及び排気方法 |
US10290508B1 (en) | 2017-12-05 | 2019-05-14 | Asm Ip Holding B.V. | Method for forming vertical spacers for spacer-defined patterning |
US10872771B2 (en) | 2018-01-16 | 2020-12-22 | Asm Ip Holding B. V. | Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures |
TWI799494B (zh) | 2018-01-19 | 2023-04-21 | 荷蘭商Asm 智慧財產控股公司 | 沈積方法 |
CN111630203A (zh) | 2018-01-19 | 2020-09-04 | Asm Ip私人控股有限公司 | 通过等离子体辅助沉积来沉积间隙填充层的方法 |
USD903477S1 (en) | 2018-01-24 | 2020-12-01 | Asm Ip Holdings B.V. | Metal clamp |
US11018047B2 (en) | 2018-01-25 | 2021-05-25 | Asm Ip Holding B.V. | Hybrid lift pin |
KR101938104B1 (ko) * | 2018-01-25 | 2019-01-14 | 주식회사 기가레인 | 접합 평탄도가 개선된 연성회로기판 |
US10535516B2 (en) | 2018-02-01 | 2020-01-14 | Asm Ip Holdings B.V. | Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures |
USD880437S1 (en) | 2018-02-01 | 2020-04-07 | Asm Ip Holding B.V. | Gas supply plate for semiconductor manufacturing apparatus |
US11081345B2 (en) | 2018-02-06 | 2021-08-03 | Asm Ip Holding B.V. | Method of post-deposition treatment for silicon oxide film |
US10896820B2 (en) | 2018-02-14 | 2021-01-19 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
US11685991B2 (en) | 2018-02-14 | 2023-06-27 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
US10731249B2 (en) | 2018-02-15 | 2020-08-04 | Asm Ip Holding B.V. | Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus |
US10658181B2 (en) | 2018-02-20 | 2020-05-19 | Asm Ip Holding B.V. | Method of spacer-defined direct patterning in semiconductor fabrication |
KR102636427B1 (ko) | 2018-02-20 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 장치 |
US10975470B2 (en) | 2018-02-23 | 2021-04-13 | Asm Ip Holding B.V. | Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment |
US11473195B2 (en) | 2018-03-01 | 2022-10-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus and a method for processing a substrate |
US11629406B2 (en) | 2018-03-09 | 2023-04-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate |
US11114283B2 (en) | 2018-03-16 | 2021-09-07 | Asm Ip Holding B.V. | Reactor, system including the reactor, and methods of manufacturing and using same |
KR102646467B1 (ko) | 2018-03-27 | 2024-03-11 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조 |
US10510536B2 (en) | 2018-03-29 | 2019-12-17 | Asm Ip Holding B.V. | Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber |
US11088002B2 (en) | 2018-03-29 | 2021-08-10 | Asm Ip Holding B.V. | Substrate rack and a substrate processing system and method |
US11230766B2 (en) | 2018-03-29 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102501472B1 (ko) | 2018-03-30 | 2023-02-20 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
TWI811348B (zh) | 2018-05-08 | 2023-08-11 | 荷蘭商Asm 智慧財產控股公司 | 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構 |
US12025484B2 (en) | 2018-05-08 | 2024-07-02 | Asm Ip Holding B.V. | Thin film forming method |
US10555412B2 (en) | 2018-05-10 | 2020-02-04 | Applied Materials, Inc. | Method of controlling ion energy distribution using a pulse generator with a current-return output stage |
KR20190129718A (ko) | 2018-05-11 | 2019-11-20 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조 |
KR102596988B1 (ko) | 2018-05-28 | 2023-10-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 그에 의해 제조된 장치 |
US11718913B2 (en) | 2018-06-04 | 2023-08-08 | Asm Ip Holding B.V. | Gas distribution system and reactor system including same |
TWI840362B (zh) | 2018-06-04 | 2024-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 水氣降低的晶圓處置腔室 |
US11286562B2 (en) | 2018-06-08 | 2022-03-29 | Asm Ip Holding B.V. | Gas-phase chemical reactor and method of using same |
US10589423B2 (en) * | 2018-06-18 | 2020-03-17 | Shambhu Nath Roy | Robot vision super visor for hybrid homing, positioning and workspace UFO detection enabling industrial robot use for consumer applications |
KR102568797B1 (ko) | 2018-06-21 | 2023-08-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 시스템 |
US10797133B2 (en) | 2018-06-21 | 2020-10-06 | Asm Ip Holding B.V. | Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures |
JP2021529254A (ja) | 2018-06-27 | 2021-10-28 | エーエスエム・アイピー・ホールディング・ベー・フェー | 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法 |
TWI815915B (zh) | 2018-06-27 | 2023-09-21 | 荷蘭商Asm Ip私人控股有限公司 | 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法 |
US10612136B2 (en) | 2018-06-29 | 2020-04-07 | ASM IP Holding, B.V. | Temperature-controlled flange and reactor system including same |
KR102686758B1 (ko) | 2018-06-29 | 2024-07-18 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10388513B1 (en) | 2018-07-03 | 2019-08-20 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10755922B2 (en) | 2018-07-03 | 2020-08-25 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
CN112106186A (zh) | 2018-07-05 | 2020-12-18 | 应用材料公司 | 硅化物膜成核 |
US10767789B2 (en) | 2018-07-16 | 2020-09-08 | Asm Ip Holding B.V. | Diaphragm valves, valve components, and methods for forming valve components |
US10483099B1 (en) | 2018-07-26 | 2019-11-19 | Asm Ip Holding B.V. | Method for forming thermally stable organosilicon polymer film |
US11053591B2 (en) | 2018-08-06 | 2021-07-06 | Asm Ip Holding B.V. | Multi-port gas injection system and reactor system including same |
US10883175B2 (en) | 2018-08-09 | 2021-01-05 | Asm Ip Holding B.V. | Vertical furnace for processing substrates and a liner for use therein |
US10829852B2 (en) | 2018-08-16 | 2020-11-10 | Asm Ip Holding B.V. | Gas distribution device for a wafer processing apparatus |
US11430674B2 (en) | 2018-08-22 | 2022-08-30 | Asm Ip Holding B.V. | Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US11199466B2 (en) | 2018-08-31 | 2021-12-14 | Taiwan Semiconductor Manufacturing Company, Ltd. | System and method for liquid leak detection |
KR102707956B1 (ko) | 2018-09-11 | 2024-09-19 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 |
US11024523B2 (en) | 2018-09-11 | 2021-06-01 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US11049751B2 (en) | 2018-09-14 | 2021-06-29 | Asm Ip Holding B.V. | Cassette supply system to store and handle cassettes and processing apparatus equipped therewith |
KR20200038184A (ko) | 2018-10-01 | 2020-04-10 | 에이에스엠 아이피 홀딩 비.브이. | 기판 유지 장치, 장치를 포함하는 시스템, 및 이를 이용하는 방법 |
US11232963B2 (en) | 2018-10-03 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102592699B1 (ko) | 2018-10-08 | 2023-10-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치 |
US10847365B2 (en) | 2018-10-11 | 2020-11-24 | Asm Ip Holding B.V. | Method of forming conformal silicon carbide film by cyclic CVD |
US10811256B2 (en) | 2018-10-16 | 2020-10-20 | Asm Ip Holding B.V. | Method for etching a carbon-containing feature |
KR102546322B1 (ko) | 2018-10-19 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
KR102605121B1 (ko) | 2018-10-19 | 2023-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
USD948463S1 (en) | 2018-10-24 | 2022-04-12 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate supporting apparatus |
US10381219B1 (en) | 2018-10-25 | 2019-08-13 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film |
US11087997B2 (en) | 2018-10-31 | 2021-08-10 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
KR20200051105A (ko) | 2018-11-02 | 2020-05-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 기판 처리 장치 |
US10796940B2 (en) | 2018-11-05 | 2020-10-06 | Lam Research Corporation | Enhanced automatic wafer centering system and techniques for same |
US11572620B2 (en) | 2018-11-06 | 2023-02-07 | Asm Ip Holding B.V. | Methods for selectively depositing an amorphous silicon film on a substrate |
US11031242B2 (en) | 2018-11-07 | 2021-06-08 | Asm Ip Holding B.V. | Methods for depositing a boron doped silicon germanium film |
US10847366B2 (en) | 2018-11-16 | 2020-11-24 | Asm Ip Holding B.V. | Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process |
US10818758B2 (en) | 2018-11-16 | 2020-10-27 | Asm Ip Holding B.V. | Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures |
US11476145B2 (en) | 2018-11-20 | 2022-10-18 | Applied Materials, Inc. | Automatic ESC bias compensation when using pulsed DC bias |
US10559458B1 (en) | 2018-11-26 | 2020-02-11 | Asm Ip Holding B.V. | Method of forming oxynitride film |
US12040199B2 (en) | 2018-11-28 | 2024-07-16 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
GB201819454D0 (en) * | 2018-11-29 | 2019-01-16 | Johnson Matthey Plc | Apparatus and method for coating substrates with washcoats |
US11217444B2 (en) | 2018-11-30 | 2022-01-04 | Asm Ip Holding B.V. | Method for forming an ultraviolet radiation responsive metal oxide-containing film |
KR102636428B1 (ko) | 2018-12-04 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치를 세정하는 방법 |
US11158513B2 (en) | 2018-12-13 | 2021-10-26 | Asm Ip Holding B.V. | Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures |
TW202037745A (zh) | 2018-12-14 | 2020-10-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成裝置結構之方法、其所形成之結構及施行其之系統 |
TW202405220A (zh) | 2019-01-17 | 2024-02-01 | 荷蘭商Asm Ip 私人控股有限公司 | 藉由循環沈積製程於基板上形成含過渡金屬膜之方法 |
WO2020154310A1 (en) | 2019-01-22 | 2020-07-30 | Applied Materials, Inc. | Feedback loop for controlling a pulsed voltage waveform |
KR20200091543A (ko) | 2019-01-22 | 2020-07-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11508554B2 (en) | 2019-01-24 | 2022-11-22 | Applied Materials, Inc. | High voltage filter assembly |
CN111524788B (zh) | 2019-02-01 | 2023-11-24 | Asm Ip私人控股有限公司 | 氧化硅的拓扑选择性膜形成的方法 |
US11482533B2 (en) | 2019-02-20 | 2022-10-25 | Asm Ip Holding B.V. | Apparatus and methods for plug fill deposition in 3-D NAND applications |
TWI845607B (zh) | 2019-02-20 | 2024-06-21 | 荷蘭商Asm Ip私人控股有限公司 | 用來填充形成於基材表面內之凹部的循環沉積方法及設備 |
KR102626263B1 (ko) | 2019-02-20 | 2024-01-16 | 에이에스엠 아이피 홀딩 비.브이. | 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치 |
TW202044325A (zh) | 2019-02-20 | 2020-12-01 | 荷蘭商Asm Ip私人控股有限公司 | 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備 |
TWI842826B (zh) | 2019-02-22 | 2024-05-21 | 荷蘭商Asm Ip私人控股有限公司 | 基材處理設備及處理基材之方法 |
KR20200108243A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | SiOC 층을 포함한 구조체 및 이의 형성 방법 |
KR20200108242A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체 |
KR20200108248A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | SiOCN 층을 포함한 구조체 및 이의 형성 방법 |
JP7253955B2 (ja) * | 2019-03-28 | 2023-04-07 | 東京エレクトロン株式会社 | 基板処理装置および基板処理方法 |
JP2020167398A (ja) | 2019-03-28 | 2020-10-08 | エーエスエム・アイピー・ホールディング・ベー・フェー | ドアオープナーおよびドアオープナーが提供される基材処理装置 |
KR20200116855A (ko) | 2019-04-01 | 2020-10-13 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자를 제조하는 방법 |
US11447864B2 (en) | 2019-04-19 | 2022-09-20 | Asm Ip Holding B.V. | Layer forming method and apparatus |
KR20200125453A (ko) | 2019-04-24 | 2020-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 기상 반응기 시스템 및 이를 사용하는 방법 |
KR20200130121A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 딥 튜브가 있는 화학물질 공급원 용기 |
KR20200130118A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 비정질 탄소 중합체 막을 개질하는 방법 |
KR20200130652A (ko) | 2019-05-10 | 2020-11-19 | 에이에스엠 아이피 홀딩 비.브이. | 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조 |
JP2020188254A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
JP2020188255A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
USD975665S1 (en) | 2019-05-17 | 2023-01-17 | Asm Ip Holding B.V. | Susceptor shaft |
USD947913S1 (en) | 2019-05-17 | 2022-04-05 | Asm Ip Holding B.V. | Susceptor shaft |
USD935572S1 (en) | 2019-05-24 | 2021-11-09 | Asm Ip Holding B.V. | Gas channel plate |
US10998209B2 (en) | 2019-05-31 | 2021-05-04 | Applied Materials, Inc. | Substrate processing platforms including multiple processing chambers |
USD922229S1 (en) | 2019-06-05 | 2021-06-15 | Asm Ip Holding B.V. | Device for controlling a temperature of a gas supply unit |
KR20200141002A (ko) | 2019-06-06 | 2020-12-17 | 에이에스엠 아이피 홀딩 비.브이. | 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법 |
KR20200143254A (ko) | 2019-06-11 | 2020-12-23 | 에이에스엠 아이피 홀딩 비.브이. | 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조 |
USD944946S1 (en) | 2019-06-14 | 2022-03-01 | Asm Ip Holding B.V. | Shower plate |
USD931978S1 (en) | 2019-06-27 | 2021-09-28 | Asm Ip Holding B.V. | Showerhead vacuum transport |
KR20210005515A (ko) | 2019-07-03 | 2021-01-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법 |
JP7499079B2 (ja) | 2019-07-09 | 2024-06-13 | エーエスエム・アイピー・ホールディング・ベー・フェー | 同軸導波管を用いたプラズマ装置、基板処理方法 |
CN112216646A (zh) | 2019-07-10 | 2021-01-12 | Asm Ip私人控股有限公司 | 基板支撑组件及包括其的基板处理装置 |
KR20210010307A (ko) | 2019-07-16 | 2021-01-27 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR102240925B1 (ko) * | 2019-07-17 | 2021-04-15 | 세메스 주식회사 | 기판 처리 설비 및 기판 반송 장치 |
KR20210010816A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 라디칼 보조 점화 플라즈마 시스템 및 방법 |
KR20210010820A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 게르마늄 구조를 형성하는 방법 |
US11643724B2 (en) | 2019-07-18 | 2023-05-09 | Asm Ip Holding B.V. | Method of forming structures using a neutral beam |
TWI839544B (zh) | 2019-07-19 | 2024-04-21 | 荷蘭商Asm Ip私人控股有限公司 | 形成形貌受控的非晶碳聚合物膜之方法 |
KR20210010817A (ko) | 2019-07-19 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 토폴로지-제어된 비정질 탄소 중합체 막을 형성하는 방법 |
CN112309843A (zh) | 2019-07-29 | 2021-02-02 | Asm Ip私人控股有限公司 | 实现高掺杂剂掺入的选择性沉积方法 |
US20210035767A1 (en) * | 2019-07-29 | 2021-02-04 | Applied Materials, Inc. | Methods for repairing a recess of a chamber component |
CN112309899A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112309900A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
US11227782B2 (en) | 2019-07-31 | 2022-01-18 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587815B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587814B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
KR20210018759A (ko) | 2019-08-05 | 2021-02-18 | 에이에스엠 아이피 홀딩 비.브이. | 화학물질 공급원 용기를 위한 액체 레벨 센서 |
USD965044S1 (en) | 2019-08-19 | 2022-09-27 | Asm Ip Holding B.V. | Susceptor shaft |
US11823937B2 (en) * | 2019-08-19 | 2023-11-21 | Applied Materials, Inc. | Calibration of an aligner station of a processing system |
USD965524S1 (en) | 2019-08-19 | 2022-10-04 | Asm Ip Holding B.V. | Susceptor support |
JP2021031769A (ja) | 2019-08-21 | 2021-03-01 | エーエスエム アイピー ホールディング ビー.ブイ. | 成膜原料混合ガス生成装置及び成膜装置 |
KR20210024423A (ko) | 2019-08-22 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 홀을 구비한 구조체를 형성하기 위한 방법 |
USD930782S1 (en) | 2019-08-22 | 2021-09-14 | Asm Ip Holding B.V. | Gas distributor |
USD949319S1 (en) | 2019-08-22 | 2022-04-19 | Asm Ip Holding B.V. | Exhaust duct |
USD940837S1 (en) | 2019-08-22 | 2022-01-11 | Asm Ip Holding B.V. | Electrode |
USD979506S1 (en) | 2019-08-22 | 2023-02-28 | Asm Ip Holding B.V. | Insulator |
US11286558B2 (en) | 2019-08-23 | 2022-03-29 | Asm Ip Holding B.V. | Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film |
KR20210024420A (ko) | 2019-08-23 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법 |
KR20210029090A (ko) | 2019-09-04 | 2021-03-15 | 에이에스엠 아이피 홀딩 비.브이. | 희생 캡핑 층을 이용한 선택적 증착 방법 |
KR20210029663A (ko) | 2019-09-05 | 2021-03-16 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11562901B2 (en) | 2019-09-25 | 2023-01-24 | Asm Ip Holding B.V. | Substrate processing method |
CN112593212B (zh) | 2019-10-02 | 2023-12-22 | Asm Ip私人控股有限公司 | 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法 |
TWI846953B (zh) | 2019-10-08 | 2024-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理裝置 |
KR20210042810A (ko) | 2019-10-08 | 2021-04-20 | 에이에스엠 아이피 홀딩 비.브이. | 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법 |
TWI846966B (zh) | 2019-10-10 | 2024-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成光阻底層之方法及包括光阻底層之結構 |
US12009241B2 (en) | 2019-10-14 | 2024-06-11 | Asm Ip Holding B.V. | Vertical batch furnace assembly with detector to detect cassette |
TWI834919B (zh) | 2019-10-16 | 2024-03-11 | 荷蘭商Asm Ip私人控股有限公司 | 氧化矽之拓撲選擇性膜形成之方法 |
US11637014B2 (en) | 2019-10-17 | 2023-04-25 | Asm Ip Holding B.V. | Methods for selective deposition of doped semiconductor material |
KR20210047808A (ko) | 2019-10-21 | 2021-04-30 | 에이에스엠 아이피 홀딩 비.브이. | 막을 선택적으로 에칭하기 위한 장치 및 방법 |
KR20210050453A (ko) | 2019-10-25 | 2021-05-07 | 에이에스엠 아이피 홀딩 비.브이. | 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조 |
US11646205B2 (en) | 2019-10-29 | 2023-05-09 | Asm Ip Holding B.V. | Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same |
KR20210054983A (ko) | 2019-11-05 | 2021-05-14 | 에이에스엠 아이피 홀딩 비.브이. | 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템 |
US11501968B2 (en) | 2019-11-15 | 2022-11-15 | Asm Ip Holding B.V. | Method for providing a semiconductor device with silicon filled gaps |
KR20210062561A (ko) | 2019-11-20 | 2021-05-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템 |
CN112951697A (zh) | 2019-11-26 | 2021-06-11 | Asm Ip私人控股有限公司 | 基板处理设备 |
US11450529B2 (en) | 2019-11-26 | 2022-09-20 | Asm Ip Holding B.V. | Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface |
CN112885693A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112885692A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112992637A (zh) * | 2019-12-02 | 2021-06-18 | Asm Ip私人控股有限公司 | 衬底支撑板、包括它的衬底处理设备以及衬底处理方法 |
JP7527928B2 (ja) | 2019-12-02 | 2024-08-05 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基板処理装置、基板処理方法 |
KR20210070898A (ko) | 2019-12-04 | 2021-06-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
TW202125596A (zh) | 2019-12-17 | 2021-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成氮化釩層之方法以及包括該氮化釩層之結構 |
KR20210080214A (ko) | 2019-12-19 | 2021-06-30 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조 |
TW202142733A (zh) | 2020-01-06 | 2021-11-16 | 荷蘭商Asm Ip私人控股有限公司 | 反應器系統、抬升銷、及處理方法 |
JP2021109175A (ja) | 2020-01-06 | 2021-08-02 | エーエスエム・アイピー・ホールディング・ベー・フェー | ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム |
US11993847B2 (en) | 2020-01-08 | 2024-05-28 | Asm Ip Holding B.V. | Injector |
KR102675856B1 (ko) | 2020-01-20 | 2024-06-17 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 및 박막 표면 개질 방법 |
WO2021150525A1 (en) * | 2020-01-22 | 2021-07-29 | Applied Materials, Inc. | In-line monitoring of oled layer thickness and dopant concentration |
US11856833B2 (en) | 2020-01-22 | 2023-12-26 | Applied Materials, Inc. | In-line monitoring of OLED layer thickness and dopant concentration |
TW202130846A (zh) | 2020-02-03 | 2021-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成包括釩或銦層的結構之方法 |
TW202146882A (zh) | 2020-02-04 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統 |
US11776846B2 (en) | 2020-02-07 | 2023-10-03 | Asm Ip Holding B.V. | Methods for depositing gap filling fluids and related systems and devices |
US11781243B2 (en) | 2020-02-17 | 2023-10-10 | Asm Ip Holding B.V. | Method for depositing low temperature phosphorous-doped silicon |
TW202203344A (zh) | 2020-02-28 | 2022-01-16 | 荷蘭商Asm Ip控股公司 | 專用於零件清潔的系統 |
KR20210116240A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 조절성 접합부를 갖는 기판 핸들링 장치 |
US11876356B2 (en) | 2020-03-11 | 2024-01-16 | Asm Ip Holding B.V. | Lockout tagout assembly and system and method of using same |
KR20210117157A (ko) | 2020-03-12 | 2021-09-28 | 에이에스엠 아이피 홀딩 비.브이. | 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법 |
KR20210124042A (ko) | 2020-04-02 | 2021-10-14 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 |
TW202146689A (zh) | 2020-04-03 | 2021-12-16 | 荷蘭商Asm Ip控股公司 | 阻障層形成方法及半導體裝置的製造方法 |
TW202145344A (zh) | 2020-04-08 | 2021-12-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於選擇性蝕刻氧化矽膜之設備及方法 |
US12062567B2 (en) * | 2020-04-09 | 2024-08-13 | Applied Materials, Inc. | Systems and methods for substrate support temperature control |
KR20210128343A (ko) | 2020-04-15 | 2021-10-26 | 에이에스엠 아이피 홀딩 비.브이. | 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조 |
US11821078B2 (en) | 2020-04-15 | 2023-11-21 | Asm Ip Holding B.V. | Method for forming precoat film and method for forming silicon-containing film |
US11996289B2 (en) | 2020-04-16 | 2024-05-28 | Asm Ip Holding B.V. | Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods |
TW202146831A (zh) | 2020-04-24 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法 |
KR20210132600A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템 |
KR20210132576A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조 |
KR20210134226A (ko) | 2020-04-29 | 2021-11-09 | 에이에스엠 아이피 홀딩 비.브이. | 고체 소스 전구체 용기 |
KR20210134869A (ko) | 2020-05-01 | 2021-11-11 | 에이에스엠 아이피 홀딩 비.브이. | Foup 핸들러를 이용한 foup의 빠른 교환 |
TW202147543A (zh) | 2020-05-04 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 半導體處理系統 |
KR20210141379A (ko) | 2020-05-13 | 2021-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 반응기 시스템용 레이저 정렬 고정구 |
TW202146699A (zh) | 2020-05-15 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統 |
KR20210143653A (ko) | 2020-05-19 | 2021-11-29 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210145078A (ko) | 2020-05-21 | 2021-12-01 | 에이에스엠 아이피 홀딩 비.브이. | 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법 |
KR102702526B1 (ko) | 2020-05-22 | 2024-09-03 | 에이에스엠 아이피 홀딩 비.브이. | 과산화수소를 사용하여 박막을 증착하기 위한 장치 |
TW202201602A (zh) | 2020-05-29 | 2022-01-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
TW202212620A (zh) | 2020-06-02 | 2022-04-01 | 荷蘭商Asm Ip私人控股有限公司 | 處理基板之設備、形成膜之方法、及控制用於處理基板之設備之方法 |
TW202218133A (zh) | 2020-06-24 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成含矽層之方法 |
US11919033B2 (en) * | 2020-06-26 | 2024-03-05 | Awi Licensing Llc | Coating humidification system |
TW202217953A (zh) | 2020-06-30 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
TW202202649A (zh) | 2020-07-08 | 2022-01-16 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
KR20220010438A (ko) | 2020-07-17 | 2022-01-25 | 에이에스엠 아이피 홀딩 비.브이. | 포토리소그래피에 사용하기 위한 구조체 및 방법 |
TW202204662A (zh) | 2020-07-20 | 2022-02-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於沉積鉬層之方法及系統 |
US11462389B2 (en) | 2020-07-31 | 2022-10-04 | Applied Materials, Inc. | Pulsed-voltage hardware assembly for use in a plasma processing system |
WO2022031268A1 (en) | 2020-08-04 | 2022-02-10 | Applied Materials, Inc. | Apparatus for removing photoresist off of photomask |
US12040177B2 (en) | 2020-08-18 | 2024-07-16 | Asm Ip Holding B.V. | Methods for forming a laminate film by cyclical plasma-enhanced deposition processes |
KR20220027026A (ko) | 2020-08-26 | 2022-03-07 | 에이에스엠 아이피 홀딩 비.브이. | 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템 |
TW202229601A (zh) | 2020-08-27 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統 |
USD990534S1 (en) | 2020-09-11 | 2023-06-27 | Asm Ip Holding B.V. | Weighted lift pin |
USD1012873S1 (en) | 2020-09-24 | 2024-01-30 | Asm Ip Holding B.V. | Electrode for semiconductor processing apparatus |
US12009224B2 (en) | 2020-09-29 | 2024-06-11 | Asm Ip Holding B.V. | Apparatus and method for etching metal nitrides |
KR20220045900A (ko) | 2020-10-06 | 2022-04-13 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 함유 재료를 증착하기 위한 증착 방법 및 장치 |
CN114293174A (zh) | 2020-10-07 | 2022-04-08 | Asm Ip私人控股有限公司 | 气体供应单元和包括气体供应单元的衬底处理设备 |
TWI749802B (zh) * | 2020-10-08 | 2021-12-11 | 南亞科技股份有限公司 | 輸送裝置 |
TW202229613A (zh) | 2020-10-14 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 於階梯式結構上沉積材料的方法 |
TW202217037A (zh) | 2020-10-22 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 沉積釩金屬的方法、結構、裝置及沉積總成 |
TW202223136A (zh) | 2020-10-28 | 2022-06-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於在基板上形成層之方法、及半導體處理系統 |
US11798790B2 (en) | 2020-11-16 | 2023-10-24 | Applied Materials, Inc. | Apparatus and methods for controlling ion energy distribution |
US11901157B2 (en) | 2020-11-16 | 2024-02-13 | Applied Materials, Inc. | Apparatus and methods for controlling ion energy distribution |
TW202235649A (zh) | 2020-11-24 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | 填充間隙之方法與相關之系統及裝置 |
TW202235675A (zh) | 2020-11-30 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | 注入器、及基板處理設備 |
US11946137B2 (en) | 2020-12-16 | 2024-04-02 | Asm Ip Holding B.V. | Runout and wobble measurement fixtures |
KR20220087623A (ko) * | 2020-12-17 | 2022-06-27 | 삼성전자주식회사 | 기판 처리 장치 |
TW202231903A (zh) | 2020-12-22 | 2022-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成 |
JP2022125802A (ja) * | 2021-02-17 | 2022-08-29 | 東京エレクトロン株式会社 | 成膜システム、成膜方法 |
US11495470B1 (en) | 2021-04-16 | 2022-11-08 | Applied Materials, Inc. | Method of enhancing etching selectivity using a pulsed plasma |
USD1023959S1 (en) | 2021-05-11 | 2024-04-23 | Asm Ip Holding B.V. | Electrode for substrate processing apparatus |
USD981973S1 (en) | 2021-05-11 | 2023-03-28 | Asm Ip Holding B.V. | Reactor wall for substrate processing apparatus |
USD980813S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas flow control plate for substrate processing apparatus |
USD980814S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas distributor for substrate processing apparatus |
US11948780B2 (en) | 2021-05-12 | 2024-04-02 | Applied Materials, Inc. | Automatic electrostatic chuck bias compensation during plasma processing |
US11791138B2 (en) | 2021-05-12 | 2023-10-17 | Applied Materials, Inc. | Automatic electrostatic chuck bias compensation during plasma processing |
KR20220158515A (ko) * | 2021-05-24 | 2022-12-01 | 에이디알씨 주식회사 | 스프레이 코터 및 이를 이용하여 제조된 박막 트랜지스터 |
US11967483B2 (en) | 2021-06-02 | 2024-04-23 | Applied Materials, Inc. | Plasma excitation with ion energy control |
US20220399185A1 (en) | 2021-06-09 | 2022-12-15 | Applied Materials, Inc. | Plasma chamber and chamber component cleaning methods |
US11810760B2 (en) | 2021-06-16 | 2023-11-07 | Applied Materials, Inc. | Apparatus and method of ion current compensation |
US11569066B2 (en) | 2021-06-23 | 2023-01-31 | Applied Materials, Inc. | Pulsed voltage source for plasma processing applications |
US11476090B1 (en) | 2021-08-24 | 2022-10-18 | Applied Materials, Inc. | Voltage pulse time-domain multiplexing |
USD990441S1 (en) | 2021-09-07 | 2023-06-27 | Asm Ip Holding B.V. | Gas flow control plate |
US12106938B2 (en) | 2021-09-14 | 2024-10-01 | Applied Materials, Inc. | Distortion current mitigation in a radio frequency plasma processing chamber |
TW202339086A (zh) * | 2021-11-19 | 2023-10-01 | 美商應用材料股份有限公司 | 在基板處理系統中用於基板支撐件的基板位置校準 |
US20230197463A1 (en) * | 2021-12-21 | 2023-06-22 | Canon Kabushiki Kaisha | Apparatus including a substrate chuck, a dispenser, and a planarization head and methods of using the same |
US11972924B2 (en) | 2022-06-08 | 2024-04-30 | Applied Materials, Inc. | Pulsed voltage source for plasma processing applications |
US12111341B2 (en) | 2022-10-05 | 2024-10-08 | Applied Materials, Inc. | In-situ electric field detection method and apparatus |
CN117681214B (zh) * | 2024-02-04 | 2024-04-12 | 泓浒(苏州)半导体科技有限公司 | 基于晶圆传送的多机械臂碰撞预警方法及系统 |
Citations (7)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPH08293534A (ja) * | 1995-04-20 | 1996-11-05 | Tokyo Electron Ltd | 被処理体の搬送装置 |
JPH10335220A (ja) * | 1997-05-30 | 1998-12-18 | Tokyo Electron Ltd | 処理装置 |
JPH1126550A (ja) * | 1997-07-04 | 1999-01-29 | Tokyo Electron Ltd | 基板搬送装置およびそれを用いた基板処理装置 |
JP2001077176A (ja) * | 1999-07-02 | 2001-03-23 | Tokyo Electron Ltd | 基板処理装置 |
JP2003037107A (ja) * | 2001-07-25 | 2003-02-07 | Tokyo Electron Ltd | 処理装置及び処理方法 |
JP2003077828A (ja) * | 2001-07-11 | 2003-03-14 | Samsung Electronics Co Ltd | フォトリソグラフィー工程システム及びフォトリソグラフィー工程方法 |
JP2003309158A (ja) * | 2002-04-12 | 2003-10-31 | Tokyo Electron Ltd | 処理装置 |
Family Cites Families (682)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US491171A (en) * | 1893-02-07 | Retouching device | ||
US1383093A (en) | 1921-02-03 | 1921-06-28 | Clifford L Finch | Signal-operator for spreading rails |
US1927677A (en) | 1927-01-15 | 1933-09-19 | Cleveland Crane Eng | Material storage and handling system |
BE494127A (ja) | 1950-02-01 | |||
US3206041A (en) | 1959-06-18 | 1965-09-14 | Fmc Corp | Article handling apparatus |
US3193080A (en) | 1963-04-08 | 1965-07-06 | Speaker Sortation Systems Inc | Multiple station feeding means |
US3402835A (en) | 1964-12-14 | 1968-09-24 | Triax Co | Control system for a zoned automatic warehouse arrangement |
US3351219A (en) | 1965-04-09 | 1967-11-07 | Walter A Ruderfer | Warehousing order selection system |
US3279625A (en) | 1965-04-30 | 1966-10-18 | Taylor Wilson Mfg Company | Apparatus for handling elongated articles |
LU51022A1 (ja) * | 1966-05-03 | 1968-02-12 | ||
US3610159A (en) | 1968-06-06 | 1971-10-05 | Bendix Corp | Automatic baggage-handling system |
DE1798090C2 (de) | 1968-08-21 | 1981-10-08 | Tax, Hans, 8000 München | Einrichtung zur Steuerung selbsttätig gelenkter Straßenfahrzeuge einer Container-Verladeanlage |
US3750804A (en) | 1969-03-07 | 1973-08-07 | Triax Co | Load handling mechanism and automatic storage system |
US3876085A (en) * | 1970-03-05 | 1975-04-08 | Thomas John Robert Bright | Automated storage systems and apparatus therefor |
US6076652A (en) | 1971-04-16 | 2000-06-20 | Texas Instruments Incorporated | Assembly line system and apparatus controlling transfer of a workpiece |
US3782564A (en) * | 1971-09-27 | 1974-01-01 | Conco Inc | Product picking stacker crane system |
US3796327A (en) * | 1972-07-14 | 1974-03-12 | R Meyer | Manufacturing system |
US4027246A (en) | 1976-03-26 | 1977-05-31 | International Business Machines Corporation | Automated integrated circuit manufacturing system |
JP3249765B2 (ja) | 1997-05-07 | 2002-01-21 | 東京エレクトロン株式会社 | 基板処理装置 |
US4197000A (en) * | 1978-05-23 | 1980-04-08 | Fsi Corporation | Positive developing method and apparatus |
US4303433A (en) | 1978-08-28 | 1981-12-01 | Torobin Leonard B | Centrifuge apparatus and method for producing hollow microspheres |
US4319689A (en) * | 1979-09-13 | 1982-03-16 | Frazier Industrial Company | Storage rack |
US4304433A (en) | 1980-03-17 | 1981-12-08 | Bj-Hughes Inc. | Pipe gripping head |
US4348044A (en) | 1980-10-10 | 1982-09-07 | Cincinnati Milacron Inc. | Article gripping apparatus |
US4410209A (en) | 1982-03-11 | 1983-10-18 | Trapani Silvio P | Wafer-handling tool |
US5125790A (en) | 1982-05-24 | 1992-06-30 | Proconics International, Inc. | Wafer transfer apparatus |
JP2855046B2 (ja) | 1993-03-31 | 1999-02-10 | 大日本スクリーン製造株式会社 | 回転式基板処理装置用の基板回転保持装置 |
US4778532A (en) | 1985-06-24 | 1988-10-18 | Cfm Technologies Limited Partnership | Process and apparatus for treating wafers with process fluids |
US4984597B1 (en) * | 1984-05-21 | 1999-10-26 | Cfmt Inc | Apparatus for rinsing and drying surfaces |
US4911761A (en) * | 1984-05-21 | 1990-03-27 | Cfm Technologies Research Associates | Process and apparatus for drying surfaces |
US4634655A (en) * | 1984-06-04 | 1987-01-06 | Dainippon Screen Mfg. Co., Ltd. | Method of forming corrosion resistant film on the surface of substrate composed of copper or copper alloy |
US4609575A (en) | 1984-07-02 | 1986-09-02 | Fsi Corporation | Method of apparatus for applying chemicals to substrates in an acid processing system |
US4639028A (en) | 1984-11-13 | 1987-01-27 | Economic Development Corporation | High temperature and acid resistant wafer pick up device |
EP0198501B1 (en) | 1985-04-17 | 1992-07-01 | Hitachi, Ltd. | Gripping device |
JPS61178187U (ja) | 1985-04-26 | 1986-11-06 | ||
US4895604A (en) * | 1985-07-15 | 1990-01-23 | Dainippon Screen Mfg. Co., Ltd. | Method and apparatus for rinsing materials or articles |
US4682614A (en) | 1985-07-26 | 1987-07-28 | Fsi Corporation | Wafer processing machine |
US4664133A (en) | 1985-07-26 | 1987-05-12 | Fsi Corporation | Wafer processing machine |
JPS6278828A (ja) * | 1985-10-01 | 1987-04-11 | Dainippon Screen Mfg Co Ltd | 表面処理方法およびその装置 |
JPS6278826A (ja) * | 1985-10-01 | 1987-04-11 | Dainippon Screen Mfg Co Ltd | 表面処理方法およびその装置 |
JPH0533006Y2 (ja) | 1985-10-28 | 1993-08-23 | ||
JPS62129846A (ja) | 1985-12-02 | 1987-06-12 | Dainippon Screen Mfg Co Ltd | フオトレジストの塗布方法及び塗布装置 |
JPH0621769B2 (ja) * | 1985-12-13 | 1994-03-23 | 大日本スクリ−ン製造株式会社 | パタ−ン欠陥検出方法およびその装置 |
US4724621A (en) * | 1986-04-17 | 1988-02-16 | Varian Associates, Inc. | Wafer processing chuck using slanted clamping pins |
JPS62247085A (ja) | 1986-04-17 | 1987-10-28 | Dainippon Screen Mfg Co Ltd | フオトエッチング法による金属薄板の加工方法 |
JPH0621346B2 (ja) | 1986-06-11 | 1994-03-23 | 日本鉱業株式会社 | 高純度金属タンタル製ターゲットの製造方法 |
FR2600747B1 (fr) * | 1986-06-30 | 1988-12-30 | Inst Francais Du Petrole | Tube flexible utilisable notamment pour le transport de fluides caloporteurs ou frigorifiques |
JPS6314434A (ja) | 1986-07-04 | 1988-01-21 | Dainippon Screen Mfg Co Ltd | 基板表面処理方法および装置 |
US4788994A (en) | 1986-08-13 | 1988-12-06 | Dainippon Screen Mfg. Co. | Wafer holding mechanism |
US4728252A (en) | 1986-08-22 | 1988-03-01 | Lam Research Corporation | Wafer transport mechanism |
JPS6377569A (ja) | 1986-09-19 | 1988-04-07 | Dainippon Screen Mfg Co Ltd | 基板の回転式表面処理装置 |
US4846623A (en) | 1986-10-08 | 1989-07-11 | Dainippon Screen Mfg. Co., Ltd. | Wafer transferring device |
JPS6398645A (ja) | 1986-10-16 | 1988-04-30 | Dainippon Screen Mfg Co Ltd | 感光材料の位置決め保持装置 |
JPS63133545A (ja) | 1986-11-25 | 1988-06-06 | Dainippon Screen Mfg Co Ltd | 熱処理装置の基板移載搬送装置 |
US4778332A (en) | 1987-02-09 | 1988-10-18 | The Perkin-Elmer Corporation | Wafer flip apparatus |
EP0355100B1 (de) | 1987-03-31 | 1992-11-25 | Siemens Aktiengesellschaft | Industrieroboter |
DE3712281A1 (de) | 1987-04-10 | 1988-10-27 | Heraeus Gmbh W C | Verfahren zur herstellung von hochduktilem tantal-halbzeug |
JPS63271931A (ja) | 1987-04-28 | 1988-11-09 | Tokyo Electron Ltd | 現像装置 |
US4897015A (en) | 1987-05-15 | 1990-01-30 | Ade Corporation | Rotary to linear motion robot arm |
JPS63191348U (ja) | 1987-05-27 | 1988-12-09 | ||
JPH0333058Y2 (ja) | 1987-06-26 | 1991-07-12 | ||
JPS6411777A (en) | 1987-07-07 | 1989-01-17 | Mitsubishi Electric Corp | Multi-joint robot |
JPH0350509Y2 (ja) | 1987-07-13 | 1991-10-29 | ||
JPS6419351A (en) * | 1987-07-15 | 1989-01-23 | Dainippon Screen Mfg | Method for controlling dry part temperature of photosensitive material processor |
US4984572A (en) * | 1988-08-18 | 1991-01-15 | Leonard Bloom | Hemodynamically responsive system for and method of treating a malfunctioning heart |
JPH0617295Y2 (ja) | 1987-11-27 | 1994-05-02 | 大日本スクリーン製造株式会社 | 基板受け渡し装置 |
JPH0623935B2 (ja) | 1988-02-09 | 1994-03-30 | 大日本スクリーン製造株式会社 | 再現性を高めた熱処理制御方法 |
KR970006206B1 (ko) | 1988-02-10 | 1997-04-24 | 도오교오 에레구토론 가부시끼가이샤 | 자동 도포 시스템 |
KR970003907B1 (ko) | 1988-02-12 | 1997-03-22 | 도오교오 에레구토론 가부시끼 가이샤 | 기판처리 장치 및 기판처리 방법 |
KR970011644B1 (ko) * | 1988-04-08 | 1997-07-12 | 고다까 토시오 | 도포 처리 장치 |
US4900214A (en) | 1988-05-25 | 1990-02-13 | American Telephone And Telegraph Company | Method and apparatus for transporting semiconductor wafers |
US5002008A (en) * | 1988-05-27 | 1991-03-26 | Tokyo Electron Limited | Coating apparatus and method for applying a liquid to a semiconductor wafer, including selecting a nozzle in a stand-by state |
JP2846891B2 (ja) | 1988-06-03 | 1999-01-13 | 東京エレクトロン株式会社 | 処理装置 |
JPH06103687B2 (ja) | 1988-08-12 | 1994-12-14 | 大日本スクリーン製造株式会社 | 回転式表面処理方法および回転式表面処理における処理終点検出方法、ならびに回転式表面処理装置 |
JPH069501Y2 (ja) * | 1988-09-27 | 1994-03-09 | 大日本スクリーン製造株式会社 | 基板の回転乾燥装置 |
JPH02137852A (ja) * | 1988-11-18 | 1990-05-28 | Dainippon Screen Mfg Co Ltd | フォトレジストの現像終点検出方法 |
US5061144A (en) | 1988-11-30 | 1991-10-29 | Tokyo Electron Limited | Resist process apparatus |
USRE34428E (en) | 1988-12-02 | 1993-11-02 | John Fluke Mfg. Co., Inc. | Analog-to-digital converter with offset voltage polarity inversion |
US5177563A (en) * | 1989-02-01 | 1993-01-05 | Texas A&M University System | Method and apparatus for locating physical objects |
US5070813A (en) | 1989-02-10 | 1991-12-10 | Tokyo Electron Limited | Coating apparatus |
CA2010511A1 (en) | 1989-03-01 | 1990-09-01 | Roberto L. Ceriani | Method of enhancing cancer therapy by administration of unsaturated fatty acids |
JP2507583B2 (ja) * | 1989-03-01 | 1996-06-12 | 三菱電機株式会社 | クリ―ンロボット |
US5174855A (en) | 1989-04-28 | 1992-12-29 | Dainippon Screen Mfg. Co. Ltd. | Surface treating apparatus and method using vapor |
JPH069195B2 (ja) * | 1989-05-06 | 1994-02-02 | 大日本スクリーン製造株式会社 | 基板の表面処理方法 |
KR0138097B1 (ko) | 1989-05-22 | 1998-06-15 | 고다까 토시오 | 도포장치 |
JPH0628223Y2 (ja) * | 1989-06-14 | 1994-08-03 | 大日本スクリーン製造株式会社 | 回転塗布装置 |
US5151871A (en) | 1989-06-16 | 1992-09-29 | Tokyo Electron Limited | Method for heat-processing semiconductor device and apparatus for the same |
US5254367A (en) | 1989-07-06 | 1993-10-19 | Tokyo Electron Limited | Coating method and apparatus |
US5150452A (en) | 1989-07-28 | 1992-09-22 | Megamation Incorporated | Method and apparatus for anti-collision and collision protection for multiple robot system |
JPH03136232A (ja) | 1989-08-31 | 1991-06-11 | Dainippon Screen Mfg Co Ltd | 基板の表面処理装置 |
JPH0734426Y2 (ja) | 1989-11-27 | 1995-08-02 | 大日本スクリーン製造株式会社 | 感光材料検出装置 |
US5197846A (en) * | 1989-12-22 | 1993-03-30 | Hitachi, Ltd. | Six-degree-of-freedom articulated robot mechanism and assembling and working apparatus using same |
US5169408A (en) | 1990-01-26 | 1992-12-08 | Fsi International, Inc. | Apparatus for wafer processing with in situ rinse |
CH680275A5 (ja) | 1990-03-05 | 1992-07-31 | Tet Techno Investment Trust | |
JP2808826B2 (ja) | 1990-05-25 | 1998-10-08 | 松下電器産業株式会社 | 基板の移し換え装置 |
JP2704309B2 (ja) | 1990-06-12 | 1998-01-26 | 大日本スクリーン製造株式会社 | 基板処理装置及び基板の熱処理方法 |
US5234499A (en) | 1990-06-26 | 1993-08-10 | Dainippon Screen Mgf. Co., Ltd. | Spin coating apparatus |
DE69113553T2 (de) | 1990-07-23 | 1996-06-20 | Dainippon Screen Mfg | Schnittstellenvorrichtung zum Transportieren von Substraten zwischen Verarbeitungsgeräten. |
US5436848A (en) | 1990-09-03 | 1995-07-25 | Dainippon Screen Mfg. Co., Ltd. | Method of and device for transporting semiconductor substrate in semiconductor processing system |
US5416047A (en) | 1990-09-07 | 1995-05-16 | Tokyo Electron Limited | Method for applying process solution to substrates |
JP2843134B2 (ja) * | 1990-09-07 | 1999-01-06 | 東京エレクトロン株式会社 | 塗布装置および塗布方法 |
JP2892476B2 (ja) | 1990-09-14 | 1999-05-17 | 東京エレクトロン株式会社 | 帯状液体ノズル及び液処理装置及び液処理方法 |
US5201653A (en) * | 1990-10-02 | 1993-04-13 | Dainippon Screen Mfg. Co., Ltd. | Substrate heat-treating apparatus |
DE69129095T2 (de) * | 1990-10-23 | 1998-10-15 | Dainippon Screen Mfg | Verfahren und Vorrichtung zur Behandlung von fotoempfindlichen Materialien |
JP2769645B2 (ja) | 1990-11-27 | 1998-06-25 | 大日本スクリーン製造株式会社 | 感材処理装置 |
KR100230753B1 (ko) | 1991-01-23 | 1999-11-15 | 도꾜 일렉트론 큐슈리미티드 | 액도포 시스템 |
JPH081922B2 (ja) | 1991-01-25 | 1996-01-10 | 株式会社東芝 | ウェハ−保持装置 |
JP2835890B2 (ja) * | 1991-09-17 | 1998-12-14 | 東京エレクトロン株式会社 | 処理装置 |
USD341418S (en) | 1991-02-22 | 1993-11-16 | Tokyo Electron Limited | Supply nozzle for applying liquid resist to a semiconductor wafer |
JP3241058B2 (ja) | 1991-03-28 | 2001-12-25 | 大日本スクリーン製造株式会社 | 回転式塗布装置及び回転式塗布方法 |
TW204411B (ja) | 1991-06-05 | 1993-04-21 | Tokyo Electron Co Ltd | |
JPH053151A (ja) * | 1991-06-18 | 1993-01-08 | Hitachi Ltd | レジスト除去装置 |
US5197856A (en) * | 1991-06-24 | 1993-03-30 | General Electric Company | Compressor stator |
JPH058194A (ja) * | 1991-07-02 | 1993-01-19 | Sony Corp | 直交型ロボツトの集塵構造 |
US5580607A (en) | 1991-07-26 | 1996-12-03 | Tokyo Electron Limited | Coating apparatus and method |
USD341428S (en) | 1991-07-29 | 1993-11-16 | Zeus Scientific, Inc. | Multi channel pipette work station |
US5536918A (en) | 1991-08-16 | 1996-07-16 | Tokyo Electron Sagami Kabushiki Kaisha | Heat treatment apparatus utilizing flat heating elements for treating semiconductor wafers |
US5513946A (en) * | 1991-08-27 | 1996-05-07 | Canon Kabushiki Kaisha | Clean robot |
JPH0553634A (ja) | 1991-08-29 | 1993-03-05 | Matsushita Electric Ind Co Ltd | 複腕干渉回避システム |
US5312487A (en) | 1991-09-20 | 1994-05-17 | Tokyo Electron Kabushiki Kaisha | Coating apparatus |
JPH0590238A (ja) | 1991-09-27 | 1993-04-09 | Dainippon Screen Mfg Co Ltd | 回転式基板処理装置の基板回転保持具 |
JP3209426B2 (ja) * | 1991-10-04 | 2001-09-17 | シーエフエムティ インコーポレイテッド | 複雑な形状を有するマイクロパーツの洗浄 |
JP2639771B2 (ja) | 1991-11-14 | 1997-08-13 | 大日本スクリーン製造株式会社 | 基板の洗浄・乾燥処理方法並びにその処理装置 |
JP2622046B2 (ja) | 1991-11-26 | 1997-06-18 | 大日本スクリーン製造株式会社 | 基板搬送装置 |
US5275658A (en) * | 1991-12-13 | 1994-01-04 | Tokyo Electron Limited | Liquid supply apparatus |
JP2760918B2 (ja) | 1992-02-03 | 1998-06-04 | 大日本スクリーン製造株式会社 | ノッチ付ウエハの位置検出装置 |
JP2972970B2 (ja) * | 1992-04-24 | 1999-11-08 | 東京エレクトロン株式会社 | 処理装置 |
US5788865A (en) | 1992-10-14 | 1998-08-04 | Herbert F. Boeckman, II | Process for separating a hydrophobic liquid from a liquid contaminated therewith |
JP2906006B2 (ja) * | 1992-10-15 | 1999-06-14 | 東京エレクトロン株式会社 | 処理方法及びその装置 |
EP0597637B1 (en) * | 1992-11-12 | 2000-08-23 | Applied Materials, Inc. | System and method for automated positioning of a substrate in a processing chamber |
JPH06177012A (ja) * | 1992-12-03 | 1994-06-24 | Nikon Corp | アライメント装置 |
KR970011065B1 (ko) | 1992-12-21 | 1997-07-05 | 다이닛뽕 스크린 세이조오 가부시키가이샤 | 기판처리장치와 기판처리장치에 있어서 기판교환장치 및 기판교환방법 |
JP2870719B2 (ja) | 1993-01-29 | 1999-03-17 | 東京エレクトロン株式会社 | 処理装置 |
JPH06244095A (ja) | 1993-02-12 | 1994-09-02 | Dainippon Screen Mfg Co Ltd | 基板冷却装置 |
US5485644A (en) * | 1993-03-18 | 1996-01-23 | Dainippon Screen Mfg. Co., Ltd. | Substrate treating apparatus |
KR100284556B1 (ko) | 1993-03-25 | 2001-04-02 | 다카시마 히로시 | 도포막 형성방법 및 그를 위한 장치 |
JP2907676B2 (ja) | 1993-03-30 | 1999-06-21 | 大日本スクリーン製造株式会社 | 回転式基板処理装置の処理液供給装置 |
KR100248565B1 (ko) | 1993-03-30 | 2000-05-01 | 다카시마 히로시 | 레지스트 처리방법 및 레지스트 처리장치 |
JP3347814B2 (ja) | 1993-05-17 | 2002-11-20 | 大日本スクリーン製造株式会社 | 基板の洗浄・乾燥処理方法並びにその処理装置 |
TW268905B (ja) | 1993-05-20 | 1996-01-21 | Tokyo Electron Co Ltd | |
JP2890087B2 (ja) | 1993-06-10 | 1999-05-10 | 東京エレクトロン株式会社 | 処理装置 |
EP0634787B1 (en) | 1993-07-15 | 1997-05-02 | Applied Materials, Inc. | Subsrate tray and ceramic blade for semiconductor processing apparatus |
EP0634783B1 (en) | 1993-07-16 | 1997-08-06 | Semiconductor Systems, Inc. | Thermal process module for substrate coat/develop system |
US5427820A (en) | 1993-07-16 | 1995-06-27 | Semiconductor Systems, Inc. | Thermal control line for delivering liquid to a point of use in a photolithography system |
US5766824A (en) | 1993-07-16 | 1998-06-16 | Semiconductor Systems, Inc. | Method and apparatus for curing photoresist |
DE634699T1 (de) * | 1993-07-16 | 1996-02-15 | Semiconductor Systems Inc | Gruppiertes fotolithografisches System. |
US5443348A (en) | 1993-07-16 | 1995-08-22 | Semiconductor Systems, Inc. | Cassette input/output unit for semiconductor processing system |
JP3142195B2 (ja) | 1993-07-20 | 2001-03-07 | 大日本スクリーン製造株式会社 | 薬液供給装置 |
JP2674474B2 (ja) | 1993-07-29 | 1997-11-12 | 日本電気株式会社 | 歪量子井戸半導体レーザの気相成長方法 |
US5608943A (en) * | 1993-08-23 | 1997-03-11 | Tokyo Electron Limited | Apparatus for removing process liquid |
US5342068A (en) | 1993-08-26 | 1994-08-30 | Texas Instruments Incorporated | Laminar flow vacuum chuck |
US5418382A (en) | 1993-09-23 | 1995-05-23 | Fsi International, Inc. | Substrate location and detection apparatus |
JPH0727150U (ja) * | 1993-10-07 | 1995-05-19 | 大日本スクリーン製造株式会社 | シリカ系被膜形成用塗布液吐出装置 |
JPH07115058A (ja) | 1993-10-18 | 1995-05-02 | Dainippon Screen Mfg Co Ltd | 基板冷却装置 |
JP2845738B2 (ja) * | 1993-10-28 | 1999-01-13 | 大日本スクリーン製造株式会社 | 回転式基板処理装置の基板回転保持具 |
US5415890A (en) | 1994-01-03 | 1995-05-16 | Eaton Corporation | Modular apparatus and method for surface treatment of parts with liquid baths |
JPH07230173A (ja) | 1994-02-17 | 1995-08-29 | Dainippon Screen Mfg Co Ltd | 現像方法及びその装置 |
JPH07245285A (ja) | 1994-03-03 | 1995-09-19 | Dainippon Screen Mfg Co Ltd | 基板処理装置 |
US5634377A (en) | 1994-03-09 | 1997-06-03 | Sony Corporation | Articulated robot |
US5431700A (en) | 1994-03-30 | 1995-07-11 | Fsi International, Inc. | Vertical multi-process bake/chill apparatus |
US5687085A (en) * | 1994-04-08 | 1997-11-11 | Dainippon Screen Mfg. Co., Ltd. | Substrate processing apparatus and method |
JP2994553B2 (ja) | 1994-04-08 | 1999-12-27 | 大日本スクリーン製造株式会社 | 基板処理装置 |
JPH07297258A (ja) | 1994-04-26 | 1995-11-10 | Tokyo Electron Ltd | 板状体の搬送装置 |
US5934856A (en) * | 1994-05-23 | 1999-08-10 | Tokyo Electron Limited | Multi-chamber treatment system |
JP3073886B2 (ja) * | 1994-05-30 | 2000-08-07 | 大日本スクリーン製造株式会社 | 基板の熱処理装置 |
US6124211A (en) | 1994-06-14 | 2000-09-26 | Fsi International, Inc. | Cleaning method |
JP3196917B2 (ja) | 1994-06-17 | 2001-08-06 | 大日本スクリーン製造株式会社 | 基板処理装置 |
US5715173A (en) * | 1994-06-27 | 1998-02-03 | Dainippon Screen Mfg. Co., Ltd. | Concentration controlling method and a substate treating apparatus utilizing same |
US5688324A (en) | 1994-07-15 | 1997-11-18 | Dainippon Screen Mfg. Co., Ltd. | Apparatus for coating substrate |
FR2723005B1 (fr) | 1994-08-01 | 1996-09-13 | Kodak Pathe | Dispositif de distribution de liquide par gravite et dispositif d'enduction photographique |
JP3116297B2 (ja) * | 1994-08-03 | 2000-12-11 | 東京エレクトロン株式会社 | 処理方法及び処理装置 |
TW285779B (ja) | 1994-08-08 | 1996-09-11 | Tokyo Electron Co Ltd | |
US5689749A (en) | 1994-08-31 | 1997-11-18 | Tokyo Electron Limited | Apparatus for developing a resist-coated substrate |
TW294821B (ja) | 1994-09-09 | 1997-01-01 | Tokyo Electron Co Ltd | |
JP3033009B2 (ja) | 1994-09-09 | 2000-04-17 | 東京エレクトロン株式会社 | 処理装置 |
JP3099054B2 (ja) * | 1994-09-09 | 2000-10-16 | 東京エレクトロン株式会社 | 塗布装置及びその方法 |
JP3122868B2 (ja) | 1994-09-29 | 2001-01-09 | 東京エレクトロン株式会社 | 塗布装置 |
US5625433A (en) * | 1994-09-29 | 1997-04-29 | Tokyo Electron Limited | Apparatus and method for developing resist coated on a substrate |
US5620560A (en) * | 1994-10-05 | 1997-04-15 | Tokyo Electron Limited | Method and apparatus for heat-treating substrate |
JP3052116B2 (ja) | 1994-10-26 | 2000-06-12 | 東京エレクトロン株式会社 | 熱処理装置 |
KR100370728B1 (ko) | 1994-10-27 | 2003-04-07 | 실리콘 밸리 그룹, 인크. | 기판을균일하게코팅하는방법및장치 |
US5835684A (en) | 1994-11-09 | 1998-11-10 | Amada Company, Ltd. | Method for planning/controlling robot motion |
US6158446A (en) | 1994-11-14 | 2000-12-12 | Fsi International | Ultra-low particle semiconductor cleaner |
JPH08146613A (ja) | 1994-11-18 | 1996-06-07 | Dainippon Screen Mfg Co Ltd | 長尺素材の処理装置 |
US5638687A (en) | 1994-11-21 | 1997-06-17 | Dainippon Screen Mfg. Co., Ltd. | Substrate cooling method and apparatus |
US6033475A (en) * | 1994-12-27 | 2000-03-07 | Tokyo Electron Limited | Resist processing apparatus |
US5849602A (en) | 1995-01-13 | 1998-12-15 | Tokyo Electron Limited | Resist processing process |
US5543022A (en) | 1995-01-17 | 1996-08-06 | Hmt Technology Corporation | Disc-handling apparatus |
JP3276553B2 (ja) * | 1995-01-19 | 2002-04-22 | 東京エレクトロン株式会社 | 処理装置及び処理方法 |
US5618348A (en) * | 1995-01-27 | 1997-04-08 | Dainippon Screen Mfg. Co., Ltd. | Air elimination system |
JPH08222616A (ja) | 1995-02-13 | 1996-08-30 | Dainippon Screen Mfg Co Ltd | 基板処理装置 |
JP3350278B2 (ja) | 1995-03-06 | 2002-11-25 | 大日本スクリーン製造株式会社 | 基板処理装置 |
TW306011B (ja) | 1995-04-19 | 1997-05-21 | Tokyo Electron Co Ltd | |
JP3401121B2 (ja) | 1995-04-21 | 2003-04-28 | 大日本スクリーン製造株式会社 | 基板への回転式塗布装置 |
US5853483A (en) | 1995-05-02 | 1998-12-29 | Dainippon Screen Mfg. Co., Ltd. | Substrate spin treating method and apparatus |
JP3028462B2 (ja) | 1995-05-12 | 2000-04-04 | 東京エレクトロン株式会社 | 熱処理装置 |
JPH08316190A (ja) | 1995-05-18 | 1996-11-29 | Dainippon Screen Mfg Co Ltd | 基板処理装置 |
TW406216B (en) | 1995-05-24 | 2000-09-21 | Tokyo Electron Ltd | Apparatus for coating resist on substrate |
TW284907B (en) | 1995-06-07 | 1996-09-01 | Cauldron Lp | Removal of material by polarized irradiation and back side application for radiation |
US5843527A (en) | 1995-06-15 | 1998-12-01 | Dainippon Screen Mfg. Co., Ltd. | Coating solution applying method and apparatus |
US5763892A (en) | 1995-06-19 | 1998-06-09 | Dainippon Screen Manufacturing Company, Ltd. | Ultraviolet irradiator for substrate, substrate treatment system, and method of irradiating substrate with ultraviolet light |
US5765444A (en) | 1995-07-10 | 1998-06-16 | Kensington Laboratories, Inc. | Dual end effector, multiple link robot arm system with corner reacharound and extended reach capabilities |
US6098484A (en) | 1995-07-10 | 2000-08-08 | Kensington Laboratories, Inc. | High torque, low hysteresis, multiple link robot arm mechanism |
US5741113A (en) | 1995-07-10 | 1998-04-21 | Kensington Laboratories, Inc. | Continuously rotatable multiple link robot arm mechanism |
JPH0945611A (ja) | 1995-07-27 | 1997-02-14 | Dainippon Screen Mfg Co Ltd | 回転式基板塗布装置 |
JP3069945B2 (ja) | 1995-07-28 | 2000-07-24 | 東京エレクトロン株式会社 | 処理装置 |
JP2676334B2 (ja) | 1995-07-31 | 1997-11-12 | 住友重機械工業株式会社 | ロボットアーム |
JP3518948B2 (ja) | 1995-08-24 | 2004-04-12 | 大日本スクリーン製造株式会社 | 基板の回転処理装置 |
US6113702A (en) * | 1995-09-01 | 2000-09-05 | Asm America, Inc. | Wafer support system |
US5788868A (en) | 1995-09-04 | 1998-08-04 | Dainippon Screen Mfg. Co., Ltd. | Substrate transfer method and interface apparatus |
US5733024A (en) * | 1995-09-13 | 1998-03-31 | Silicon Valley Group, Inc. | Modular system |
US5700046A (en) | 1995-09-13 | 1997-12-23 | Silicon Valley Group, Inc. | Wafer gripper |
JP3552178B2 (ja) | 1995-09-27 | 2004-08-11 | 大日本スクリーン製造株式会社 | 基板収納カセット、インターフェイス機構および基板処理装置 |
JPH0990643A (ja) | 1995-09-27 | 1997-04-04 | Dainippon Screen Mfg Co Ltd | 基板処理装置 |
JPH09107013A (ja) * | 1995-10-09 | 1997-04-22 | Dainippon Screen Mfg Co Ltd | 基板受け渡し装置 |
FR2739562B1 (fr) | 1995-10-09 | 1998-04-24 | Moreau Defarges Alain | Dispositif d'injection par jet sans aiguille, comportant une cartouche surmoulee |
JPH09106934A (ja) | 1995-10-12 | 1997-04-22 | Dainippon Screen Mfg Co Ltd | 基板現像装置 |
JP3227642B2 (ja) | 1995-10-13 | 2001-11-12 | 東京エレクトロン株式会社 | 塗布装置 |
US5766524A (en) | 1995-10-16 | 1998-06-16 | Governors Of The University Of Alberta | Reclamation of leftover concrete |
US5788773A (en) | 1995-10-25 | 1998-08-04 | Dainippon Screen Mfg. Co., Ltd. | Substrate spin treating method and apparatus |
US5898588A (en) * | 1995-10-27 | 1999-04-27 | Dainippon Screen Mfg. Co. | Method and apparatus for controlling substrate processing apparatus |
KR100315007B1 (ko) | 1995-11-22 | 2002-02-28 | 이시다 아키라 | 카세트내의 기판 검출 및 반송장치와 그 방법 |
JP3380663B2 (ja) | 1995-11-27 | 2003-02-24 | 大日本スクリーン製造株式会社 | 基板処理装置 |
JP3892493B2 (ja) * | 1995-11-29 | 2007-03-14 | 大日本スクリーン製造株式会社 | 基板処理システム |
US5762684A (en) | 1995-11-30 | 1998-06-09 | Dainippon Screen Mfg. Co., Ltd. | Treating liquid supplying method and apparatus |
TW334359B (en) * | 1995-12-04 | 1998-06-21 | Dai Nippon Scolin Seizo Kk | Apparatus and method for treating substrates |
JPH09162118A (ja) | 1995-12-11 | 1997-06-20 | Dainippon Screen Mfg Co Ltd | 基板用処理液の脱気装置 |
TW318258B (ja) | 1995-12-12 | 1997-10-21 | Tokyo Electron Co Ltd | |
JP3462325B2 (ja) * | 1995-12-21 | 2003-11-05 | 大日本スクリーン製造株式会社 | 基板処理装置 |
US5665220A (en) | 1995-12-26 | 1997-09-09 | General Motors Corporation | Electrolytic magnesium production process |
US5704493A (en) * | 1995-12-27 | 1998-01-06 | Dainippon Screen Mfg. Co., Ltd. | Substrate holder |
JP3575717B2 (ja) | 1995-12-28 | 2004-10-13 | 大日本スクリーン製造株式会社 | 基板処理装置 |
JPH09213772A (ja) | 1996-01-30 | 1997-08-15 | Dainippon Screen Mfg Co Ltd | 基板保持装置 |
US6228561B1 (en) | 1996-02-01 | 2001-05-08 | Tokyo Electron Limited | Film forming method and film forming apparatus |
US6075606A (en) * | 1996-02-16 | 2000-06-13 | Doan; Trung T. | Endpoint detector and method for measuring a change in wafer thickness in chemical-mechanical polishing of semiconductor wafers and other microelectronic substrates |
JP3377909B2 (ja) | 1996-02-28 | 2003-02-17 | 大日本スクリーン製造株式会社 | 基板処理装置 |
US6102164A (en) | 1996-02-28 | 2000-08-15 | Applied Materials, Inc. | Multiple independent robot assembly and apparatus for processing and transferring semiconductor wafers |
JP3462657B2 (ja) | 1996-02-29 | 2003-11-05 | 大日本スクリーン製造株式会社 | 薄膜形成装置および薄膜形成方法 |
US5656093A (en) * | 1996-03-08 | 1997-08-12 | Applied Materials, Inc. | Wafer spacing mask for a substrate support chuck and method of fabricating same |
JP3476305B2 (ja) | 1996-03-18 | 2003-12-10 | 大日本スクリーン製造株式会社 | 回転式基板処理装置 |
JP3218425B2 (ja) | 1996-03-25 | 2001-10-15 | 東京エレクトロン株式会社 | 処理方法及び処理装置 |
DE19613620C2 (de) | 1996-04-04 | 1998-04-16 | Steag Micro Tech Gmbh | Verfahren und Vorrichtung zum Trocknen von Substraten |
TW344097B (en) | 1996-04-09 | 1998-11-01 | Tokyo Electron Co Ltd | Photoresist treating device of substrate and photoresist treating method |
KR100274127B1 (ko) | 1996-04-23 | 2001-01-15 | 이시다 아키라 | 기판 온도 제어방법, 기판 열처리장치 및 기판 지지장치 |
DE19655219C2 (de) | 1996-04-24 | 2003-11-06 | Steag Micro Tech Gmbh | Vorrichtung zum Behandeln von Substraten in einem Fluid-Behälter |
US5844476A (en) | 1996-04-25 | 1998-12-01 | Chen; Shou-Shan | Automobile deceleration indicating device |
US5761023A (en) * | 1996-04-25 | 1998-06-02 | Applied Materials, Inc. | Substrate support with pressure zones having reduced contact area and temperature feedback |
US6176667B1 (en) * | 1996-04-30 | 2001-01-23 | Applied Materials, Inc. | Multideck wafer processing system |
JP3280883B2 (ja) | 1996-05-08 | 2002-05-13 | 東京エレクトロン株式会社 | 現像処理方法及び現像処理装置 |
US6248398B1 (en) | 1996-05-22 | 2001-06-19 | Applied Materials, Inc. | Coater having a controllable pressurized process chamber for semiconductor processing |
JP3516195B2 (ja) | 1996-05-28 | 2004-04-05 | 東京エレクトロン株式会社 | 塗布膜形成方法及びその装置 |
US5788453A (en) | 1996-05-30 | 1998-08-04 | Applied Materials, Inc. | Piezoelectric wafer gripping system for robot blades |
US6181336B1 (en) * | 1996-05-31 | 2001-01-30 | Silicon Graphics, Inc. | Database-independent, scalable, object-oriented architecture and API for managing digital multimedia assets |
JP3597639B2 (ja) * | 1996-06-05 | 2004-12-08 | 大日本スクリーン製造株式会社 | 基板処理装置および基板処理方法 |
US6062798A (en) | 1996-06-13 | 2000-05-16 | Brooks Automation, Inc. | Multi-level substrate processing apparatus |
JP3343033B2 (ja) * | 1996-06-28 | 2002-11-11 | 大日本スクリーン製造株式会社 | 基板処理装置 |
JPH1022358A (ja) | 1996-06-28 | 1998-01-23 | Dainippon Screen Mfg Co Ltd | 基板処理装置 |
US6672820B1 (en) * | 1996-07-15 | 2004-01-06 | Semitool, Inc. | Semiconductor processing apparatus having linear conveyer system |
US6318951B1 (en) | 1999-07-09 | 2001-11-20 | Semitool, Inc. | Robots for microelectronic workpiece handling |
US6322119B1 (en) | 1999-07-09 | 2001-11-27 | Semitool, Inc. | Robots for microelectronic workpiece handling |
WO1998005060A1 (en) * | 1996-07-31 | 1998-02-05 | The Board Of Trustees Of The Leland Stanford Junior University | Multizone bake/chill thermal cycling module |
EP0828189B1 (en) * | 1996-08-14 | 2004-11-10 | Mitsubishi Paper Mills, Ltd. | Apparatus for processing photosensitive material |
DE69710825T2 (de) | 1996-08-14 | 2002-10-31 | Dainippon Screen Mfg. Co., Ltd. | Apparat zur Verarbeitung lichtempfindlichen Materials |
JP3227595B2 (ja) | 1996-08-20 | 2001-11-12 | 東京エレクトロン株式会社 | 現像処理方法及び現像処理装置 |
JP3442934B2 (ja) * | 1996-08-20 | 2003-09-02 | 大日本スクリーン製造株式会社 | 基板処理装置 |
JP3245769B2 (ja) | 1996-08-30 | 2002-01-15 | 東京エレクトロン株式会社 | 液処理方法及びその装置 |
JP3254574B2 (ja) | 1996-08-30 | 2002-02-12 | 東京エレクトロン株式会社 | 塗布膜形成方法及びその装置 |
JP3278714B2 (ja) * | 1996-08-30 | 2002-04-30 | 東京エレクトロン株式会社 | 塗布膜形成装置 |
JP3245812B2 (ja) | 1996-08-30 | 2002-01-15 | 東京エレクトロン株式会社 | 液処理方法及びその装置 |
JPH1074818A (ja) | 1996-09-02 | 1998-03-17 | Tokyo Electron Ltd | 処理装置 |
US5938847A (en) | 1996-09-03 | 1999-08-17 | Tokyo Electron Limited | Method and apparatus for coating a film on an object being processed |
JP3779393B2 (ja) | 1996-09-06 | 2006-05-24 | 東京エレクトロン株式会社 | 処理システム |
JP3202929B2 (ja) | 1996-09-13 | 2001-08-27 | 東京エレクトロン株式会社 | 処理システム |
TW535216B (en) * | 1996-09-13 | 2003-06-01 | Tokyo Electron Ltd | Photoresist processing method and photoresist processing system |
JP3947761B2 (ja) | 1996-09-26 | 2007-07-25 | 株式会社日立国際電気 | 基板処理装置、基板搬送機および基板処理方法 |
US6053058A (en) * | 1996-09-30 | 2000-04-25 | Dainippon Screen Mfg. Co., Ltd. | Atmosphere concentration monitoring for substrate processing apparatus and life determination for atmosphere processing unit of substrate processing apparatus |
KR100277522B1 (ko) | 1996-10-08 | 2001-01-15 | 이시다 아키라 | 기판처리장치 |
CH697146A5 (de) | 1996-10-09 | 2008-05-15 | Tec Sem Ag | Greifvorrichtung zur Handhabung von Wafern. |
US5928389A (en) * | 1996-10-21 | 1999-07-27 | Applied Materials, Inc. | Method and apparatus for priority based scheduling of wafer processing within a multiple chamber semiconductor wafer processing tool |
JP3420900B2 (ja) | 1996-10-21 | 2003-06-30 | 大日本スクリーン製造株式会社 | 塗布液塗布方法 |
JP3540524B2 (ja) | 1996-10-28 | 2004-07-07 | 大日本スクリーン製造株式会社 | 基板処理装置および基板処理方法 |
US5756444A (en) | 1996-11-01 | 1998-05-26 | The Procter & Gamble Company | Granular laundry detergent compositions which are substantially free of phosphate and aluminosilicate builders |
JP3471543B2 (ja) | 1996-11-07 | 2003-12-02 | 大日本スクリーン製造株式会社 | 回転式基板乾燥装置 |
JPH10144757A (ja) | 1996-11-08 | 1998-05-29 | Dainippon Screen Mfg Co Ltd | 基板処理システム |
JPH10144599A (ja) | 1996-11-11 | 1998-05-29 | Tokyo Electron Ltd | 回転処理装置およびその洗浄方法 |
US5961269A (en) | 1996-11-18 | 1999-10-05 | Applied Materials, Inc. | Three chamber load lock apparatus |
US5838121A (en) | 1996-11-18 | 1998-11-17 | Applied Materials, Inc. | Dual blade robot |
JP3315608B2 (ja) | 1996-11-20 | 2002-08-19 | 大日本スクリーン製造株式会社 | 塗布液塗布方法 |
US6258167B1 (en) * | 1996-11-27 | 2001-07-10 | Tokyo Electron Limited | Process liquid film forming apparatus |
JP3245813B2 (ja) | 1996-11-27 | 2002-01-15 | 東京エレクトロン株式会社 | 塗布膜形成装置 |
NL1004657C2 (nl) * | 1996-11-29 | 1998-06-03 | Food Processing Systems | Inrichting voor het overdragen van in hoofdzaak ronde, kwetsbare voorwerpen, zoals bijvoorbeeld eieren. |
JP3566475B2 (ja) * | 1996-12-03 | 2004-09-15 | 東京エレクトロン株式会社 | 処理装置 |
TW382749B (en) * | 1996-12-24 | 2000-02-21 | Tokyo Electron Ltd | Liquid supplying device |
JP3333121B2 (ja) | 1996-12-25 | 2002-10-07 | 東京エレクトロン株式会社 | 塗布装置 |
US6099643A (en) | 1996-12-26 | 2000-08-08 | Dainippon Screen Mfg. Co., Ltd. | Apparatus for processing a substrate providing an efficient arrangement and atmospheric isolation of chemical treatment section |
JP3429964B2 (ja) * | 1996-12-26 | 2003-07-28 | 大日本スクリーン製造株式会社 | 基板処理装置 |
KR100283442B1 (ko) | 1996-12-26 | 2001-04-02 | 이시다 아키라 | 현상장치및현상방법 |
JP3490582B2 (ja) * | 1997-01-28 | 2004-01-26 | 大日本スクリーン製造株式会社 | 基板処理装置 |
TW357389B (en) | 1996-12-27 | 1999-05-01 | Tokyo Electric Ltd | Apparatus and method for supplying process solution to surface of substrate to be processed |
TW464944B (en) | 1997-01-16 | 2001-11-21 | Tokyo Electron Ltd | Baking apparatus and baking method |
JPH10209102A (ja) | 1997-01-17 | 1998-08-07 | Dainippon Screen Mfg Co Ltd | 基板処理装置 |
JP3579228B2 (ja) | 1997-01-24 | 2004-10-20 | 大日本スクリーン製造株式会社 | 基板処理装置 |
JP3578577B2 (ja) | 1997-01-28 | 2004-10-20 | 大日本スクリーン製造株式会社 | 処理液供給方法及びその装置 |
TW389949B (en) | 1997-01-30 | 2000-05-11 | Tokyo Electron Ltd | Method and apparatus for coating and development of the photo-resist solution |
JP3410342B2 (ja) * | 1997-01-31 | 2003-05-26 | 東京エレクトロン株式会社 | 塗布装置 |
US6217655B1 (en) * | 1997-01-31 | 2001-04-17 | Applied Materials, Inc. | Stand-off pad for supporting a wafer on a substrate support chuck |
JP3559133B2 (ja) * | 1997-01-31 | 2004-08-25 | 大日本スクリーン製造株式会社 | 熱処理装置および基板処理装置 |
JP3280880B2 (ja) | 1997-02-07 | 2002-05-13 | 東京エレクトロン株式会社 | 脱気機構およびそれを用いた処理装置 |
JP3346716B2 (ja) | 1997-02-14 | 2002-11-18 | 東京エレクトロン株式会社 | 基板冷却方法および基板冷却装置 |
US5955858A (en) | 1997-02-14 | 1999-09-21 | Applied Materials, Inc. | Mechanically clamping robot wrist |
JP3321540B2 (ja) | 1997-02-14 | 2002-09-03 | 東京エレクトロン株式会社 | 脱気機構およびそれを用いた処理装置、ならびに脱気方法 |
DE69814710T2 (de) | 1997-03-03 | 2004-03-18 | Tokyo Electron Ltd. | Beschichtungs-Vorrichtung und Verfahren |
TW383414B (en) | 1997-03-05 | 2000-03-01 | Tokyo Electron Ltd | Photoresist agent processing method and photoresist agent processing system and evaluation method and processing apparatus for photoresist agent film |
WO2004075285A1 (ja) | 1997-03-07 | 2004-09-02 | Takuya Shibao | 基板処理装置 |
JP3442253B2 (ja) | 1997-03-13 | 2003-09-02 | 東京エレクトロン株式会社 | 基板処理装置 |
JP3526184B2 (ja) | 1997-03-17 | 2004-05-10 | 大日本スクリーン製造株式会社 | 基板処理装置 |
JP3693783B2 (ja) * | 1997-03-21 | 2005-09-07 | 大日本スクリーン製造株式会社 | 基板処理装置 |
JP3548373B2 (ja) | 1997-03-24 | 2004-07-28 | 大日本スクリーン製造株式会社 | 基板処理装置 |
US5944476A (en) | 1997-03-26 | 1999-08-31 | Kensington Laboratories, Inc. | Unitary specimen prealigner and continuously rotatable multiple link robot arm mechanism |
US6117486A (en) | 1997-03-31 | 2000-09-12 | Tokyo Electron Limited | Photoresist coating method and apparatus |
US5980187A (en) | 1997-04-16 | 1999-11-09 | Kla-Tencor Corporation | Mechanism for transporting semiconductor-process masks |
JP3549141B2 (ja) * | 1997-04-21 | 2004-08-04 | 大日本スクリーン製造株式会社 | 基板処理装置および基板保持装置 |
JP3715073B2 (ja) | 1997-04-22 | 2005-11-09 | 大日本スクリーン製造株式会社 | 熱処理装置 |
TW419716B (en) | 1997-04-28 | 2001-01-21 | Tokyo Electron Ltd | Processing apparatus |
JP3612196B2 (ja) | 1997-04-28 | 2005-01-19 | 大日本スクリーン製造株式会社 | 現像装置、現像方法および基板処理装置 |
US6207231B1 (en) * | 1997-05-07 | 2001-03-27 | Tokyo Electron Limited | Coating film forming method and coating apparatus |
KR100265757B1 (ko) | 1997-05-09 | 2000-09-15 | 윤종용 | 반도체 제조장비의 웨이퍼 오탑재 방지센서 |
JP3917237B2 (ja) | 1997-05-20 | 2007-05-23 | 東京エレクトロン株式会社 | レジスト膜形成方法 |
US6168667B1 (en) | 1997-05-30 | 2001-01-02 | Tokyo Electron Limited | Resist-processing apparatus |
JP3737604B2 (ja) | 1997-06-03 | 2006-01-18 | 大日本スクリーン製造株式会社 | 基板処理装置 |
US6468353B1 (en) | 1997-06-04 | 2002-10-22 | Applied Materials, Inc. | Method and apparatus for improved substrate handling |
JPH113851A (ja) | 1997-06-11 | 1999-01-06 | Tokyo Electron Ltd | 液処理装置及び液処理方法 |
US6073187A (en) | 1997-06-20 | 2000-06-06 | Compaq Computer Corporation | Controls and indicators available to a user for a secondary operational mode of a portable computer which is open or closed state of the computer case |
TW396382B (en) | 1997-07-03 | 2000-07-01 | Tokyo Electron Ltd | Solution treatment apparatus |
TW384505B (en) * | 1997-07-04 | 2000-03-11 | Tokyo Electron Ltd | Coating device |
SG81234A1 (en) | 1997-07-04 | 2001-06-19 | Toyko Electron Ltd | Process solution supplying apparatus |
US5988971A (en) | 1997-07-09 | 1999-11-23 | Ade Optical Systems Corporation | Wafer transfer robot |
TW524873B (en) * | 1997-07-11 | 2003-03-21 | Applied Materials Inc | Improved substrate supporting apparatus and processing chamber |
US5869311A (en) | 1997-07-17 | 1999-02-09 | Incyte Pharmaceuticals, Inc. | Mitochondrial processing peptidase subunit |
JPH1133471A (ja) | 1997-07-23 | 1999-02-09 | Tokyo Electron Ltd | 塗布装置 |
US6076979A (en) | 1997-07-25 | 2000-06-20 | Dainippon Screen Mfg. Co., Ltd. | Method of and apparatus for supplying developing solution onto substrate |
JP3265238B2 (ja) * | 1997-08-01 | 2002-03-11 | 東京エレクトロン株式会社 | 液膜形成装置及びその方法 |
US6159291A (en) | 1997-08-11 | 2000-12-12 | Dainippon Screen Mfg. Co., Ltd. | Substrate treating apparatus |
TW442336B (en) | 1997-08-19 | 2001-06-23 | Tokyo Electron Ltd | Film forming method |
US6017437A (en) * | 1997-08-22 | 2000-01-25 | Cutek Research, Inc. | Process chamber and method for depositing and/or removing material on a substrate |
TW459266B (en) | 1997-08-27 | 2001-10-11 | Tokyo Electron Ltd | Substrate processing method |
JPH11129184A (ja) * | 1997-09-01 | 1999-05-18 | Dainippon Screen Mfg Co Ltd | 基板処理装置および基板搬入搬出装置 |
US6213853B1 (en) * | 1997-09-10 | 2001-04-10 | Speedfam-Ipec Corporation | Integral machine for polishing, cleaning, rinsing and drying workpieces |
US6354311B1 (en) * | 1997-09-10 | 2002-03-12 | Dainippon Screen Mfg. Co., Ltd. | Substrate drying apparatus and substrate processing apparatus |
JP3788855B2 (ja) | 1997-09-11 | 2006-06-21 | 大日本スクリーン製造株式会社 | 基板処理ユニットおよびそれを用いた基板処理装置 |
US6571147B1 (en) | 1997-09-22 | 2003-05-27 | Dainippon Screen Mfg. Co., Ltd. | System for and method of managing jobs |
US6155773A (en) | 1997-09-22 | 2000-12-05 | Applied Materials, Inc. | Substrate clamping apparatus |
US6000227A (en) * | 1997-09-24 | 1999-12-14 | Applied Materials, Inc. | Wafer cooling in a transfer chamber of a vacuum processing system |
US5903428A (en) * | 1997-09-25 | 1999-05-11 | Applied Materials, Inc. | Hybrid Johnsen-Rahbek electrostatic chuck having highly resistive mesas separating the chuck from a wafer supported thereupon and method of fabricating same |
US5962070A (en) | 1997-09-25 | 1999-10-05 | Dainippon Screen Mfg. Co., Ltd. | Substrate treating method and apparatus |
JP3988805B2 (ja) | 1997-10-02 | 2007-10-10 | 大日本スクリーン製造株式会社 | 基板搬送方法及びその装置 |
US6174371B1 (en) * | 1997-10-06 | 2001-01-16 | Dainippon Screen Mfg. Co., Ltd. | Substrate treating method and apparatus |
JP3641115B2 (ja) | 1997-10-08 | 2005-04-20 | 大日本スクリーン製造株式会社 | 基板処理装置 |
US6260562B1 (en) | 1997-10-20 | 2001-07-17 | Dainippon Screen Mfg. Co., Ltd. | Substrate cleaning apparatus and method |
US6199568B1 (en) * | 1997-10-20 | 2001-03-13 | Dainippon Screen Mfg. Co., Ltd. | Treating tank, and substrate treating apparatus having the treating tank |
US6165273A (en) | 1997-10-21 | 2000-12-26 | Fsi International Inc. | Equipment for UV wafer heating and photochemistry |
US6491491B1 (en) | 1997-10-30 | 2002-12-10 | Sankyo Seiki Mfg. Co., Ltd. | Articulated robot |
TW418452B (en) | 1997-10-31 | 2001-01-11 | Tokyo Electron Ltd | Coating process |
TW392226B (en) * | 1997-11-05 | 2000-06-01 | Tokyo Electron Ltd | Apparatus for processing substrate |
FI980342A0 (fi) * | 1997-11-07 | 1998-02-13 | Borealis As | Polymerroer och -roerkopplingar |
US6352083B1 (en) * | 1997-11-20 | 2002-03-05 | Dainippon Screen Mfg. Co., Ltd. | Substrate treating apparatus and substrate treating method |
US6116848A (en) | 1997-11-26 | 2000-09-12 | Brooks Automation, Inc. | Apparatus and method for high-speed transfer and centering of wafer substrates |
EP2099061A3 (en) | 1997-11-28 | 2013-06-12 | Mattson Technology, Inc. | Systems and methods for low contamination, high throughput handling of workpieces for vacuum processing |
US6257827B1 (en) * | 1997-12-01 | 2001-07-10 | Brooks Automation Inc. | Apparatus and method for transporting substrates |
JP3320648B2 (ja) | 1997-12-04 | 2002-09-03 | 東京エレクトロン株式会社 | レジスト膜の形成方法及びレジスト膜の形成装置 |
US6177133B1 (en) * | 1997-12-10 | 2001-01-23 | Silicon Valley Group, Inc. | Method and apparatus for adaptive process control of critical dimensions during spin coating process |
US6248168B1 (en) | 1997-12-15 | 2001-06-19 | Tokyo Electron Limited | Spin coating apparatus including aging unit and solvent replacement unit |
JP4178534B2 (ja) | 1997-12-24 | 2008-11-12 | 株式会社安川電機 | 基板搬送用ロボット |
US6190063B1 (en) * | 1998-01-09 | 2001-02-20 | Tokyo Electron Ltd. | Developing method and apparatus |
US6222161B1 (en) * | 1998-01-12 | 2001-04-24 | Tokyo Electron Limited | Heat treatment apparatus |
JP3329720B2 (ja) | 1998-01-19 | 2002-09-30 | 東京エレクトロン株式会社 | 塗布装置 |
JP3323797B2 (ja) | 1998-01-21 | 2002-09-09 | 東京エレクトロン株式会社 | 疎水化処理装置 |
US5923515A (en) | 1998-01-27 | 1999-07-13 | Lucent Technologies Inc. | Battery protection fuse assembly |
JP3246891B2 (ja) | 1998-02-03 | 2002-01-15 | 東京エレクトロン株式会社 | 熱処理装置 |
JP3356676B2 (ja) | 1998-02-04 | 2002-12-16 | 東京エレクトロン株式会社 | 現像処理方法及びその装置 |
US6291800B1 (en) * | 1998-02-20 | 2001-09-18 | Tokyo Electron Limited | Heat treatment apparatus and substrate processing system |
US6132165A (en) | 1998-02-23 | 2000-10-17 | Applied Materials, Inc. | Single drive, dual plane robot |
US6018616A (en) * | 1998-02-23 | 2000-01-25 | Applied Materials, Inc. | Thermal cycling module and process using radiant heat |
US6062240A (en) | 1998-03-06 | 2000-05-16 | Tokyo Electron Limited | Treatment device |
JPH11260707A (ja) | 1998-03-09 | 1999-09-24 | Tokyo Electron Ltd | 現像処理方法及び現像処理装置 |
US6359264B1 (en) * | 1998-03-11 | 2002-03-19 | Applied Materials, Inc. | Thermal cycling module |
US6368776B1 (en) * | 1998-03-18 | 2002-04-09 | Tokyo Electron Limited | Treatment apparatus and treatment method |
JPH11274024A (ja) * | 1998-03-18 | 1999-10-08 | Tokyo Electron Ltd | 処理液供給装置及び処理液供給方法 |
US6261365B1 (en) | 1998-03-20 | 2001-07-17 | Tokyo Electron Limited | Heat treatment method, heat treatment apparatus and treatment system |
US6221787B1 (en) * | 1998-04-20 | 2001-04-24 | Tokyo Electron Limited | Apparatus and method of forming resist film |
US6108932A (en) | 1998-05-05 | 2000-08-29 | Steag Microtech Gmbh | Method and apparatus for thermocapillary drying |
US6336204B1 (en) * | 1998-05-07 | 2002-01-01 | Applied Materials, Inc. | Method and apparatus for handling deadlocks in multiple chamber cluster tools |
TW410415B (en) | 1998-05-15 | 2000-11-01 | Tokyo Electron Ltd | Substrate carrying equipment and substrate processing equipment |
US6635113B2 (en) | 1998-05-19 | 2003-10-21 | Tokyo Electron Limited | Coating apparatus and coating method |
JP3381776B2 (ja) * | 1998-05-19 | 2003-03-04 | 東京エレクトロン株式会社 | 処理装置および処理方法 |
US6266125B1 (en) | 1998-05-25 | 2001-07-24 | Tokyo Electron Limited | Resist processing method and apparatus |
JPH11340119A (ja) | 1998-05-26 | 1999-12-10 | Tokyo Electron Ltd | 現像処理方法及び現像処理装置 |
US6109677A (en) | 1998-05-28 | 2000-08-29 | Sez North America, Inc. | Apparatus for handling and transporting plate like substrates |
US5989763A (en) * | 1998-05-28 | 1999-11-23 | National Semicondustor Corporation | Chemical gas analysis during processing of chemically amplified photoresist systems |
JP3364155B2 (ja) | 1998-06-05 | 2003-01-08 | 東京エレクトロン株式会社 | 塗布膜形成装置及びその方法 |
US6183147B1 (en) * | 1998-06-15 | 2001-02-06 | Tokyo Electron Limited | Process solution supply system, substrate processing apparatus employing the system, and intermediate storage mechanism employed in the system |
US6142722A (en) | 1998-06-17 | 2000-11-07 | Genmark Automation, Inc. | Automated opening and closing of ultra clean storage containers |
US6416583B1 (en) | 1998-06-19 | 2002-07-09 | Tokyo Electron Limited | Film forming apparatus and film forming method |
JP3445937B2 (ja) | 1998-06-24 | 2003-09-16 | 東京エレクトロン株式会社 | 多段スピン型基板処理システム |
JP3333135B2 (ja) | 1998-06-25 | 2002-10-07 | 東京エレクトロン株式会社 | 熱処理装置及び熱処理方法 |
JP3461725B2 (ja) * | 1998-06-26 | 2003-10-27 | 東京エレクトロン株式会社 | 処理液供給装置及び処理液供給方法 |
US6318957B1 (en) | 1998-07-10 | 2001-11-20 | Asm America, Inc. | Method for handling of wafers with minimal contact |
US6167322A (en) | 1998-07-10 | 2000-12-26 | Holbrooks; Orville Ray | Intelligent wafer handling system and method |
US6450755B1 (en) | 1998-07-10 | 2002-09-17 | Equipe Technologies | Dual arm substrate handling robot with a batch loader |
US6246030B1 (en) | 1998-07-22 | 2001-06-12 | Tokyo Electron Limited | Heat processing method and apparatus |
TW428216B (en) | 1998-07-29 | 2001-04-01 | Tokyo Electron Ltd | Substrate process method and substrate process apparatus |
US6361600B1 (en) * | 1998-08-04 | 2002-03-26 | Tokyo Electron Limited | Film forming apparatus and film forming method |
JP2000056474A (ja) | 1998-08-05 | 2000-02-25 | Tokyo Electron Ltd | 基板処理方法 |
US6292250B1 (en) | 1998-08-10 | 2001-09-18 | Tokyo Electron Limited | Substrate process apparatus |
KR100537040B1 (ko) | 1998-08-19 | 2005-12-16 | 동경 엘렉트론 주식회사 | 현상장치 |
JP3453069B2 (ja) | 1998-08-20 | 2003-10-06 | 東京エレクトロン株式会社 | 基板温調装置 |
JP3574570B2 (ja) * | 1998-08-20 | 2004-10-06 | 東京応化工業株式会社 | 処理ユニット |
EP1114440B1 (de) | 1998-09-02 | 2007-12-12 | Tec-Sem AG | Vorrichtung und verfahren zum handhaben von einzelnen wafern |
US6402844B1 (en) | 1998-09-08 | 2002-06-11 | Tokyo Electron Limited | Substrate processing method and substrate processing unit |
US6185370B1 (en) * | 1998-09-09 | 2001-02-06 | Tokyo Electron Limited | Heating apparatus for heating an object to be processed |
US6203969B1 (en) * | 1998-09-14 | 2001-03-20 | Tokyo Electron Limited | Resist processing apparatus which measures temperature of heat-sensing substrate and measuring method therein |
US6780461B2 (en) | 1998-09-14 | 2004-08-24 | Asml Holding N.V. | Environment exchange control for material on a wafer surface |
US6254936B1 (en) | 1998-09-14 | 2001-07-03 | Silicon Valley Group, Inc. | Environment exchange control for material on a wafer surface |
US6689215B2 (en) * | 1998-09-17 | 2004-02-10 | Asml Holdings, N.V. | Method and apparatus for mitigating cross-contamination between liquid dispensing jets in close proximity to a surface |
JP3442669B2 (ja) | 1998-10-20 | 2003-09-02 | 東京エレクトロン株式会社 | 基板処理装置 |
US6235641B1 (en) | 1998-10-30 | 2001-05-22 | Fsi International Inc. | Method and system to control the concentration of dissolved gas in a liquid |
JP2000141270A (ja) | 1998-11-06 | 2000-05-23 | Matsushita Electric Ind Co Ltd | 多関節型ロボット |
JP3458063B2 (ja) | 1998-11-20 | 2003-10-20 | 東京エレクトロン株式会社 | 塗布装置及び塗布方法 |
US6302960B1 (en) | 1998-11-23 | 2001-10-16 | Applied Materials, Inc. | Photoresist coater |
US6348113B1 (en) | 1998-11-25 | 2002-02-19 | Cabot Corporation | High purity tantalum, products containing the same, and methods of making the same |
JP3800282B2 (ja) | 1998-11-30 | 2006-07-26 | 大日本スクリーン製造株式会社 | 塗布液塗布方法 |
US6329692B1 (en) | 1998-11-30 | 2001-12-11 | Motorola Inc. | Circuit and method for reducing parasitic bipolar effects during eletrostatic discharges |
US6256555B1 (en) | 1998-12-02 | 2001-07-03 | Newport Corporation | Robot arm with specimen edge gripping end effector |
WO2000033359A2 (en) | 1998-12-02 | 2000-06-08 | Kensington Laboratories, Inc. | Specimen holding robotic arm end effector |
US6454332B1 (en) | 1998-12-04 | 2002-09-24 | Applied Materials, Inc. | Apparatus and methods for handling a substrate |
JP3041613B1 (ja) | 1998-12-21 | 2000-05-15 | セイコーインスツルメンツ株式会社 | ラインプリンタ装置 |
US6533531B1 (en) | 1998-12-29 | 2003-03-18 | Asml Us, Inc. | Device for handling wafers in microelectronic manufacturing |
US6616394B1 (en) | 1998-12-30 | 2003-09-09 | Silicon Valley Group | Apparatus for processing wafers |
US6418356B1 (en) | 1998-12-31 | 2002-07-09 | Silicon Valley Group, Inc. | Method and apparatus for resolving conflicts in a substrate processing system |
US6678572B1 (en) * | 1998-12-31 | 2004-01-13 | Asml Holdings, N.V. | Recipe cascading in a wafer processing system |
US6768930B2 (en) | 1998-12-31 | 2004-07-27 | Asml Holding N.V. | Method and apparatus for resolving conflicts in a substrate processing system |
US6087632A (en) | 1999-01-11 | 2000-07-11 | Tokyo Electron Limited | Heat processing device with hot plate and associated reflector |
US6228171B1 (en) | 1999-01-29 | 2001-05-08 | Tokyo Electron Ltd. | Heat processing apparatus |
JP3455458B2 (ja) | 1999-02-01 | 2003-10-14 | 東京エレクトロン株式会社 | 塗布、現像装置及び塗布現像処理における基板再生システム |
US6410194B1 (en) | 1999-02-04 | 2002-06-25 | Tokyo Electron Limited | Resist film forming method and resist coating apparatus |
US6427096B1 (en) | 1999-02-12 | 2002-07-30 | Honeywell International Inc. | Processing tool interface apparatus for use in manufacturing environment |
KR100593627B1 (ko) | 1999-02-16 | 2006-06-28 | 동경 엘렉트론 주식회사 | 처리장치, 처리시스템, 판별방법 및 검출방법 |
US6169274B1 (en) * | 1999-03-01 | 2001-01-02 | Tokyo Electron Ltd. | Heat treatment apparatus and method, detecting temperatures at plural positions each different in depth in holding plate, and estimating temperature of surface of plate corresponding to detected result |
JP2000260858A (ja) | 1999-03-12 | 2000-09-22 | Sumitomo Heavy Ind Ltd | ウェハ搬送用ハンド、及び、これを用いたウェハ搬送方法 |
US6398429B1 (en) | 1999-03-15 | 2002-06-04 | Tokyo Electron Limited | Developing method and developing apparatus |
US6322312B1 (en) | 1999-03-18 | 2001-11-27 | Applied Materials, Inc. | Mechanical gripper for wafer handling robots |
JP3393082B2 (ja) | 1999-04-02 | 2003-04-07 | 東京エレクトロン株式会社 | 現像方法および現像装置 |
US6610150B1 (en) * | 1999-04-02 | 2003-08-26 | Asml Us, Inc. | Semiconductor wafer processing system with vertically-stacked process chambers and single-axis dual-wafer transfer system |
KR100585448B1 (ko) * | 1999-04-08 | 2006-06-02 | 동경 엘렉트론 주식회사 | 막 형성방법 및 막 형성장치 |
US6319317B1 (en) | 1999-04-19 | 2001-11-20 | Tokyo Electron Limited | Coating film forming method and coating apparatus |
US6575177B1 (en) | 1999-04-27 | 2003-06-10 | Applied Materials Inc. | Semiconductor substrate cleaning system |
JP4021118B2 (ja) | 1999-04-28 | 2007-12-12 | 東京エレクトロン株式会社 | 基板処理装置 |
JP3587723B2 (ja) | 1999-04-30 | 2004-11-10 | 東京エレクトロン株式会社 | 基板処理装置および基板処理方法 |
US6499777B1 (en) * | 1999-05-11 | 2002-12-31 | Matrix Integrated Systems, Inc. | End-effector with integrated cooling mechanism |
US6191394B1 (en) * | 1999-05-19 | 2001-02-20 | Tokyo Electron Ltd. | Heat treating apparatus |
JP3616275B2 (ja) | 1999-05-31 | 2005-02-02 | 東京エレクトロン株式会社 | 液処理装置、それに用いる処理液供給ノズル、および液処理方法 |
US6656281B1 (en) | 1999-06-09 | 2003-12-02 | Tokyo Electron Limited | Substrate processing apparatus and substrate processing method |
US6382849B1 (en) | 1999-06-09 | 2002-05-07 | Tokyo Electron Limited | Developing method and developing apparatus |
US6464789B1 (en) | 1999-06-11 | 2002-10-15 | Tokyo Electron Limited | Substrate processing apparatus |
US6238109B1 (en) | 1999-07-02 | 2001-05-29 | Tokyo Electron Limited | Processing solution supply apparatus |
US6293713B1 (en) | 1999-07-02 | 2001-09-25 | Tokyo Electron Limited | Substrate processing apparatus |
US6166509A (en) | 1999-07-07 | 2000-12-26 | Applied Materials, Inc. | Detection system for substrate clamp |
US6251195B1 (en) | 1999-07-12 | 2001-06-26 | Fsi International, Inc. | Method for transferring a microelectronic device to and from a processing chamber |
KR100704749B1 (ko) | 1999-07-19 | 2007-04-09 | 동경 엘렉트론 주식회사 | 기판처리장치 및 기판처리방법 |
KR100629746B1 (ko) | 1999-07-28 | 2006-09-28 | 동경 엘렉트론 주식회사 | 현상장치 및 그 방법 |
US6332723B1 (en) | 1999-07-28 | 2001-12-25 | Tokyo Electron Limited | Substrate processing apparatus and method |
US6383948B1 (en) | 1999-12-20 | 2002-05-07 | Tokyo Electron Limited | Coating film forming apparatus and coating film forming method |
US6716478B2 (en) | 1999-08-04 | 2004-04-06 | Tokyo Electron Limited | Coating film forming apparatus and coating film forming method |
US6450805B1 (en) | 1999-08-11 | 2002-09-17 | Tokyo Electron Limited | Hot plate cooling method and heat processing apparatus |
US6474986B2 (en) | 1999-08-11 | 2002-11-05 | Tokyo Electron Limited | Hot plate cooling method and heat processing apparatus |
US6312171B1 (en) | 1999-08-12 | 2001-11-06 | Tokyo Electron Limited | Developing apparatus and method thereof |
TW480584B (en) | 1999-08-17 | 2002-03-21 | Tokyo Electron Ltd | Solution processing apparatus and method |
US6313441B1 (en) | 1999-08-18 | 2001-11-06 | Applied Materials, Inc. | Control system and method for providing variable ramp rate operation of a thermal cycling system |
JP2001060542A (ja) | 1999-08-19 | 2001-03-06 | Tokyo Electron Ltd | レジストパタ−ンの形成方法 |
US6196532B1 (en) | 1999-08-27 | 2001-03-06 | Applied Materials, Inc. | 3 point vacuum chuck with non-resilient support members |
KR100700764B1 (ko) | 1999-09-03 | 2007-03-27 | 동경 엘렉트론 주식회사 | 기판처리장치 및 기판처리방법 |
US6536964B1 (en) | 1999-09-03 | 2003-03-25 | Tokyo Electron Limited | Substrate processing system and substrate processing method |
US6707544B1 (en) * | 1999-09-07 | 2004-03-16 | Applied Materials, Inc. | Particle detection and embedded vision system to enhance substrate yield and throughput |
TW476983B (en) | 1999-09-30 | 2002-02-21 | Tokyo Electron Ltd | Heat treatment unit and heat treatment method |
US6514343B1 (en) * | 1999-10-01 | 2003-02-04 | Tokyo Electron Limited | Coating apparatus |
JP3635217B2 (ja) * | 1999-10-05 | 2005-04-06 | 東京エレクトロン株式会社 | 液処理装置及びその方法 |
US6402400B1 (en) | 1999-10-06 | 2002-06-11 | Tokyo Electron Limited | Substrate processing apparatus |
JP2001110793A (ja) | 1999-10-12 | 2001-04-20 | Dainippon Screen Mfg Co Ltd | 熱処理装置および基板処理装置 |
US6402401B1 (en) | 1999-10-19 | 2002-06-11 | Tokyo Electron Limited | Substrate processing apparatus and substrate processing method |
US6527860B1 (en) * | 1999-10-19 | 2003-03-04 | Tokyo Electron Limited | Substrate processing apparatus |
US6364547B1 (en) * | 1999-10-25 | 2002-04-02 | Tokyo Electron Limited | Solution processing apparatus |
TW487950B (en) | 1999-10-25 | 2002-05-21 | Tokyo Electron Ltd | Substrate processing system and substrate processing method |
TW471015B (en) | 1999-10-26 | 2002-01-01 | Tokyo Electron Ltd | Solution processing apparatus |
US6278089B1 (en) | 1999-11-02 | 2001-08-21 | Applied Materials, Inc. | Heater for use in substrate processing |
KR100616293B1 (ko) * | 1999-11-11 | 2006-08-28 | 동경 엘렉트론 주식회사 | 기판처리장치 및 기판처리방법 |
TW535192B (en) | 1999-11-16 | 2003-06-01 | Tokyo Electron Ltd | Substrate processing unit and processing method |
US6461438B1 (en) | 1999-11-18 | 2002-10-08 | Tokyo Electron Limited | Heat treatment unit, cooling unit and cooling treatment method |
JP4090648B2 (ja) | 1999-11-18 | 2008-05-28 | 東京エレクトロン株式会社 | 膜形成方法及び膜形成装置 |
US6709523B1 (en) | 1999-11-18 | 2004-03-23 | Tokyo Electron Limited | Silylation treatment unit and method |
US6597179B2 (en) | 1999-11-19 | 2003-07-22 | Gelcore, Llc | Method and device for remote monitoring of LED lamps |
JP3306398B2 (ja) | 1999-11-29 | 2002-07-24 | 大日本スクリーン製造株式会社 | 基板搬送装置および搬送教示システム |
US6402508B2 (en) | 1999-12-09 | 2002-06-11 | Tokyo Electron Limited | Heat and cooling treatment apparatus and substrate processing system |
US6695922B2 (en) * | 1999-12-15 | 2004-02-24 | Tokyo Electron Limited | Film forming unit |
US6514344B2 (en) * | 1999-12-16 | 2003-02-04 | Tokyo Electron Limited | Film forming unit |
TW475212B (en) | 1999-12-17 | 2002-02-01 | Tokyo Electron Ltd | Coating film forming apparatus |
US6676757B2 (en) * | 1999-12-17 | 2004-01-13 | Tokyo Electron Limited | Coating film forming apparatus and coating unit |
US6616760B2 (en) | 1999-12-17 | 2003-09-09 | Tokyo Electron Limited | Film forming unit |
KR100773165B1 (ko) | 1999-12-24 | 2007-11-02 | 가부시키가이샤 에바라 세이사꾸쇼 | 반도체기판처리장치 및 처리방법 |
US20020152955A1 (en) | 1999-12-30 | 2002-10-24 | Yezdi Dordi | Apparatus and method for depositing an electroless solution |
US6384894B2 (en) | 2000-01-21 | 2002-05-07 | Tokyo Electron Limited | Developing method and developing unit |
GB0002669D0 (en) | 2000-02-04 | 2000-03-29 | Applied Materials Inc | A method and apparatus for implanting semiconductor wafer substrates |
JP3437812B2 (ja) | 2000-02-07 | 2003-08-18 | タツモ株式会社 | 基板搬送装置 |
EP1124252A2 (en) * | 2000-02-10 | 2001-08-16 | Applied Materials, Inc. | Apparatus and process for processing substrates |
JP2001230191A (ja) | 2000-02-18 | 2001-08-24 | Tokyo Electron Ltd | 処理液供給方法及び処理液供給装置 |
JP3842512B2 (ja) | 2000-02-24 | 2006-11-08 | オムロン株式会社 | 流体加熱装置 |
KR100462237B1 (ko) | 2000-02-28 | 2004-12-17 | 주성엔지니어링(주) | 기판 냉각장치를 가지는 반도체 소자 제조용 클러스터 장비 |
JP4054159B2 (ja) | 2000-03-08 | 2008-02-27 | 東京エレクトロン株式会社 | 基板処理方法及びその装置 |
US6401353B2 (en) | 2000-03-08 | 2002-06-11 | Dainippon Screen Mfg. Co., Ltd. | Substrate dryer |
JP2001257144A (ja) | 2000-03-09 | 2001-09-21 | Tokyo Electron Ltd | 基板の加熱処理装置 |
US6634806B2 (en) | 2000-03-13 | 2003-10-21 | Tokyo Electron Limited | Substrate processing method and substrate processing apparatus |
US6327793B1 (en) | 2000-03-20 | 2001-12-11 | Silicon Valley Group | Method for two dimensional adaptive process control of critical dimensions during spin coating process |
JP3706294B2 (ja) | 2000-03-27 | 2005-10-12 | 東京エレクトロン株式会社 | 処理液供給装置及び処理液供給方法 |
AU2001247687A1 (en) | 2000-03-30 | 2001-10-15 | Tokyo Electron Limited | Dry silylation plasma etch process |
SG105487A1 (en) | 2000-03-30 | 2004-08-27 | Tokyo Electron Ltd | Substrate processing apparatus and substrate processing method |
JP2001291655A (ja) | 2000-04-07 | 2001-10-19 | Tokyo Electron Ltd | 疎水化処理の評価方法、レジストパターンの形成方法及びレジストパターン形成システム |
JP3792986B2 (ja) | 2000-04-11 | 2006-07-05 | 東京エレクトロン株式会社 | 膜形成方法及び膜形成装置 |
JP3519669B2 (ja) | 2000-04-25 | 2004-04-19 | 東京エレクトロン株式会社 | 現像処理方法及び現像処理装置 |
KR100660513B1 (ko) | 2000-04-27 | 2006-12-22 | 신에쓰 가가꾸 고교 가부시끼가이샤 | 고분자 화합물, 화학 증폭 레지스트 재료 및 패턴 형성 방법 |
US6827142B2 (en) * | 2000-04-27 | 2004-12-07 | Innoventor Engineering, Inc. | Process and apparatus for achieving precision temperature control |
WO2001084382A1 (en) * | 2000-05-04 | 2001-11-08 | Kla-Tencor, Inc. | Methods and systems for lithography process control |
US6572205B2 (en) | 2000-05-09 | 2003-06-03 | Sony Computer Entertainment Inc. | Electronic device cabinet and electronic device |
JP3545676B2 (ja) | 2000-05-10 | 2004-07-21 | 東京エレクトロン株式会社 | 現像処理装置及び現像処理方法 |
JP3648129B2 (ja) * | 2000-05-10 | 2005-05-18 | 東京エレクトロン株式会社 | 塗布現像処理方法及び塗布現像処理システム |
US6579370B2 (en) | 2000-05-16 | 2003-06-17 | Tokyo Electron Limited | Apparatus and method for coating treatment |
JP2001345241A (ja) * | 2000-05-31 | 2001-12-14 | Tokyo Electron Ltd | 基板処理システム及び基板処理方法 |
JP2001351848A (ja) * | 2000-06-07 | 2001-12-21 | Tokyo Electron Ltd | 基板処理システム及び基板処理方法 |
US6706321B2 (en) | 2000-06-13 | 2004-03-16 | Tokyo Electron Limited | Developing treatment method and developing treatment unit |
JP2001358197A (ja) | 2000-06-16 | 2001-12-26 | Tokyo Electron Ltd | 基板処理装置 |
JP3585217B2 (ja) | 2000-07-03 | 2004-11-04 | 東京エレクトロン株式会社 | 基板処理装置 |
JP3581303B2 (ja) | 2000-07-31 | 2004-10-27 | 東京エレクトロン株式会社 | 判別方法及び処理装置 |
JP2002134402A (ja) * | 2000-08-15 | 2002-05-10 | Tokyo Electron Ltd | 基板処理方法及び基板処理装置 |
US6460805B1 (en) | 2000-08-24 | 2002-10-08 | Msa Aircraft Products, Ltd. | Double convex aircraft window |
JP4004248B2 (ja) | 2000-09-01 | 2007-11-07 | 大日本スクリーン製造株式会社 | 基板処理装置および基板検査方法 |
JP5259907B2 (ja) | 2000-09-01 | 2013-08-07 | クロッシング オートメーション インコーポレイテッド | 加工ツール、加工物を位置合わせする方法、及び加工物を次々に加工する方法 |
US6379056B1 (en) * | 2000-09-12 | 2002-04-30 | Tokyo Electron Limited | Substrate processing apparatus |
JP3837016B2 (ja) | 2000-09-28 | 2006-10-25 | 大日本スクリーン製造株式会社 | 基板処理方法および基板処理装置 |
DE10049845A1 (de) * | 2000-10-09 | 2002-04-11 | Philips Corp Intellectual Pty | Mehrband-Mikrowellenantenne |
JP3587776B2 (ja) | 2000-10-10 | 2004-11-10 | 東京エレクトロン株式会社 | 塗布装置及び塗布方法 |
US6616762B2 (en) * | 2000-10-13 | 2003-09-09 | Tokyo Electron Limited | Treatment solution supply apparatus and treatment solution supply method |
US6805769B2 (en) | 2000-10-13 | 2004-10-19 | Dainippon Screen Mfg. Co., Ltd. | Substrate processing apparatus |
WO2002031747A1 (en) | 2000-10-13 | 2002-04-18 | Irm Llc | High throughput processing system and method of using |
US6550988B2 (en) | 2000-10-30 | 2003-04-22 | Dainippon Screen Mfg., Co., Ltd. | Substrate processing apparatus |
JP3616748B2 (ja) | 2000-11-07 | 2005-02-02 | 東京エレクトロン株式会社 | 現像処理方法,現像処理装置及び処理装置 |
US6691216B2 (en) | 2000-11-08 | 2004-02-10 | Texas Instruments Incorporated | Shared program memory for use in multicore DSP devices |
US6669782B1 (en) | 2000-11-15 | 2003-12-30 | Randhir P. S. Thakur | Method and apparatus to control the formation of layers useful in integrated circuits |
US6689220B1 (en) * | 2000-11-22 | 2004-02-10 | Simplus Systems Corporation | Plasma enhanced pulsed layer deposition |
CN1476629A (zh) * | 2000-11-22 | 2004-02-18 | 株式会社尼康 | 曝光设备、曝光法及器件制造法 |
JP3741604B2 (ja) | 2000-11-27 | 2006-02-01 | 東京エレクトロン株式会社 | 熱処理装置および熱処理方法 |
US6692219B2 (en) | 2000-11-29 | 2004-02-17 | Tokyo Electron Limited | Reduced edge contact wafer handling system and method of retrofitting and using same |
JP3943828B2 (ja) | 2000-12-08 | 2007-07-11 | 東京エレクトロン株式会社 | 塗布、現像装置及びパターン形成方法 |
JP2002184831A (ja) | 2000-12-11 | 2002-06-28 | Hirata Corp | Foupオープナ |
JP2002184671A (ja) | 2000-12-14 | 2002-06-28 | Tokyo Electron Ltd | 基板処理システム及び基板処理方法 |
JP3702175B2 (ja) | 2000-12-19 | 2005-10-05 | 東京エレクトロン株式会社 | 熱処理装置及びその方法、並びにパターン形成方法 |
US6744020B2 (en) | 2001-01-04 | 2004-06-01 | Tokyo Electron Limited | Heat processing apparatus |
JP2002208554A (ja) | 2001-01-10 | 2002-07-26 | Tokyo Electron Ltd | 基板処理装置 |
JP3950299B2 (ja) | 2001-01-15 | 2007-07-25 | 東京エレクトロン株式会社 | 基板処理装置及びその方法 |
US6790286B2 (en) | 2001-01-18 | 2004-09-14 | Dainippon Screen Mfg. Co. Ltd. | Substrate processing apparatus |
US20020098072A1 (en) | 2001-01-19 | 2002-07-25 | Applied Materials, Inc. | Dual bladed robot apparatus and associated method |
JP4124400B2 (ja) * | 2001-01-19 | 2008-07-23 | 大日本スクリーン製造株式会社 | 基板処理装置 |
GB2371634B (en) * | 2001-01-30 | 2005-05-25 | Aqualisa Products Ltd | Water mixing valve apparatus |
US7069984B2 (en) * | 2001-02-08 | 2006-07-04 | Oriol Inc. | Multi-channel temperature control system for semiconductor processing facilities |
KR100848772B1 (ko) | 2001-02-22 | 2008-07-28 | 도쿄엘렉트론가부시키가이샤 | 기판처리장치 |
US6692165B2 (en) * | 2001-03-01 | 2004-02-17 | Dainippon Screen Mfg. Co., Ltd. | Substrate processing apparatus |
US6669808B2 (en) | 2001-03-22 | 2003-12-30 | Dainippon Screen Mfg. Co., Ltd. | Substrate processing apparatus and substrate processing method |
US6860945B2 (en) | 2001-03-22 | 2005-03-01 | Tokyo Electron Limited | Substrate coating unit and substrate coating method |
JP3713447B2 (ja) * | 2001-04-05 | 2005-11-09 | 東京エレクトロン株式会社 | 現像処理装置 |
US6623235B2 (en) | 2001-04-11 | 2003-09-23 | Pri Automation, Inc. | Robot arm edge gripping device for handling substrates using two four-bar linkages |
US6884294B2 (en) | 2001-04-16 | 2005-04-26 | Tokyo Electron Limited | Coating film forming method and apparatus |
TW544797B (en) | 2001-04-17 | 2003-08-01 | Kobe Steel Ltd | High-pressure processing apparatus |
JP4025030B2 (ja) | 2001-04-17 | 2007-12-19 | 東京エレクトロン株式会社 | 基板の処理装置及び搬送アーム |
JP4435443B2 (ja) | 2001-04-17 | 2010-03-17 | 大日本スクリーン製造株式会社 | 基板搬送装置および基板搬送方法 |
JP3967618B2 (ja) | 2001-04-17 | 2007-08-29 | 東京エレクトロン株式会社 | 基板の処理方法及び基板の処理システム |
US6558053B2 (en) | 2001-04-19 | 2003-05-06 | Dainippon Screen Mfg. Co., Ltd. | Substrate processing apparatus |
US6823880B2 (en) | 2001-04-25 | 2004-11-30 | Kabushiki Kaisha Kobe Seiko Sho | High pressure processing apparatus and high pressure processing method |
TW538472B (en) | 2001-04-27 | 2003-06-21 | Kobe Steel Ltd | Method and system for processing substrate |
US6641963B1 (en) * | 2001-04-30 | 2003-11-04 | Advanced Micro Devices, Inc | System and method for in situ control of post exposure bake time and temperature |
JP3934362B2 (ja) | 2001-05-14 | 2007-06-20 | 大日本スクリーン製造株式会社 | 素子支持装置 |
JP4006191B2 (ja) | 2001-05-14 | 2007-11-14 | 大日本スクリーン製造株式会社 | 光ファイバーの連結装置 |
US6889105B2 (en) | 2001-05-16 | 2005-05-03 | Dainippon Screen Mfg. Co., Ltd. | Scheduling method and program for a substrate processing apparatus |
US6852194B2 (en) | 2001-05-21 | 2005-02-08 | Tokyo Electron Limited | Processing apparatus, transferring apparatus and transferring method |
JP3825277B2 (ja) | 2001-05-25 | 2006-09-27 | 東京エレクトロン株式会社 | 加熱処理装置 |
US6598805B2 (en) | 2001-05-30 | 2003-07-29 | Dainippon Screen Mfg. Co., Ltd | Substrate cleaning apparatus |
KR100857972B1 (ko) | 2001-06-07 | 2008-09-10 | 도쿄엘렉트론가부시키가이샤 | 도포막 형성방법 및 도포막 형성장치 |
US6752585B2 (en) | 2001-06-13 | 2004-06-22 | Applied Materials Inc | Method and apparatus for transferring a semiconductor substrate |
AT500378B1 (de) | 2001-06-13 | 2006-12-15 | Tgw Transportgeraete Gmbh | Regalbediengerät |
US6683006B2 (en) * | 2001-06-25 | 2004-01-27 | Tokyo Electron Limited | Film forming method and film forming apparatus |
EP1406751A2 (en) | 2001-07-13 | 2004-04-14 | FSI International | Robotic system control |
US20030010449A1 (en) | 2001-07-16 | 2003-01-16 | Gramarossa Daniel J. | Automatic wafer processing and plating system |
US6689782B2 (en) * | 2001-07-16 | 2004-02-10 | Essential Therapeutics, Inc. | Fungal efflux pump inhibitors |
US6579730B2 (en) | 2001-07-18 | 2003-06-17 | Applied Materials, Inc. | Monitoring process for oxide removal |
US6458607B1 (en) * | 2001-07-23 | 2002-10-01 | Advanced Micro Devices, Inc. | Using UV/VIS spectrophotometry to regulate developer solution during a development process |
TWI232509B (en) | 2001-07-25 | 2005-05-11 | Tokyo Electron Ltd | Processing apparatus and processing method |
JP3725051B2 (ja) * | 2001-07-27 | 2005-12-07 | 大日本スクリーン製造株式会社 | 基板処理装置 |
JP3880343B2 (ja) | 2001-08-01 | 2007-02-14 | 株式会社ルネサステクノロジ | ロードポート、基板処理装置および雰囲気置換方法 |
US6841342B2 (en) * | 2001-08-08 | 2005-01-11 | Tokyo Electron Limited | Substrate processing apparatus and substrate processing method |
US6878636B2 (en) * | 2001-08-27 | 2005-04-12 | Applied Materials, Inc. | Method for enhancing substrate processing |
JP3869306B2 (ja) | 2001-08-28 | 2007-01-17 | 東京エレクトロン株式会社 | 現像処理方法および現像液塗布装置 |
US7217076B2 (en) | 2001-08-31 | 2007-05-15 | Asyst Technologies, Inc. | Semiconductor material handling system |
US6878216B2 (en) | 2001-09-03 | 2005-04-12 | Tokyo Electron Limited | Substrate processing method and substrate processing system |
JP3844670B2 (ja) | 2001-09-14 | 2006-11-15 | 東京エレクトロン株式会社 | 塗布膜形成装置 |
US6808566B2 (en) | 2001-09-19 | 2004-10-26 | Tokyo Electron Limited | Reduced-pressure drying unit and coating film forming method |
JP4073186B2 (ja) | 2001-09-20 | 2008-04-09 | 大日本スクリーン製造株式会社 | 基板処理装置のスケジュール作成方法及びそのプログラム |
US6878401B2 (en) | 2001-09-27 | 2005-04-12 | Tokyo Electron Limited | Substrate processing method |
JP4018892B2 (ja) | 2001-10-03 | 2007-12-05 | 大日本スクリーン製造株式会社 | 基板処理装置 |
US6598806B2 (en) | 2001-10-09 | 2003-07-29 | Gary G. B. Phipps | Windshield washer apparatus for marine craft |
US6819402B2 (en) * | 2001-10-18 | 2004-11-16 | Asml Holding N.V. | System and method for laser beam expansion |
US6790283B2 (en) | 2001-10-18 | 2004-09-14 | Tokyo Electron Limited | Coating apparatus |
JP3718647B2 (ja) | 2001-10-19 | 2005-11-24 | 東京エレクトロン株式会社 | 現像装置及び現像方法 |
US6664122B1 (en) | 2001-10-19 | 2003-12-16 | Novellus Systems, Inc. | Electroless copper deposition method for preparing copper seed layers |
JP4018958B2 (ja) | 2001-10-30 | 2007-12-05 | 大日本スクリーン製造株式会社 | 基板処理装置 |
US6752442B2 (en) | 2001-11-09 | 2004-06-22 | Speedfam-Ipec Corporation | Workpiece handling end-effector and a method for processing workpieces using a workpiece handling end-effector |
JP3751246B2 (ja) | 2001-11-13 | 2006-03-01 | 大日本スクリーン製造株式会社 | 薄膜形成装置および搬送方法 |
US6682113B2 (en) | 2001-11-16 | 2004-01-27 | Applied Materials, Inc. | Wafer clamping mechanism |
US6811613B2 (en) | 2001-11-26 | 2004-11-02 | Tokyo Electron Limited | Coating film forming apparatus |
JP2003170384A (ja) | 2001-12-04 | 2003-06-17 | Rorze Corp | 平板状物の搬送用スカラ型ロボットおよび平板状物の処理システム |
JP3910054B2 (ja) * | 2001-12-10 | 2007-04-25 | 東京エレクトロン株式会社 | 基板処理装置 |
JP2003257849A (ja) | 2001-12-26 | 2003-09-12 | Dainippon Screen Mfg Co Ltd | 基板の現像処理装置 |
JP4025069B2 (ja) | 2001-12-28 | 2007-12-19 | 大日本スクリーン製造株式会社 | 基板処理装置および基板処理方法 |
US6678581B2 (en) | 2002-01-14 | 2004-01-13 | Taiwan Semiconductor Manufacturing Co. Ltd | Method of calibrating a wafer edge gripping end effector |
US20030131458A1 (en) * | 2002-01-15 | 2003-07-17 | Applied Materials, Inc. | Apparatus and method for improving throughput in a cluster tool for semiconductor wafer processing |
US6758612B1 (en) * | 2002-01-16 | 2004-07-06 | Advanced Micro Devices, Inc. | System and method for developer endpoint detection by reflectometry or scatterometry |
US6451621B1 (en) * | 2002-01-16 | 2002-09-17 | Advanced Micro Devices, Inc. | Using scatterometry to measure resist thickness and control implant |
JP2003215002A (ja) | 2002-01-17 | 2003-07-30 | Dainippon Screen Mfg Co Ltd | 基板処理装置および基板処理方法 |
US7138014B2 (en) | 2002-01-28 | 2006-11-21 | Applied Materials, Inc. | Electroless deposition apparatus |
JP3992601B2 (ja) | 2002-01-31 | 2007-10-17 | 大日本スクリーン製造株式会社 | 薬液処理装置 |
JP4195227B2 (ja) | 2002-02-22 | 2008-12-10 | 東京エレクトロン株式会社 | 被処理体の導入ポート構造 |
US6991710B2 (en) * | 2002-02-22 | 2006-01-31 | Semitool, Inc. | Apparatus for manually and automatically processing microelectronic workpieces |
JP3637898B2 (ja) | 2002-03-05 | 2005-04-13 | セイコーエプソン株式会社 | 表示駆動回路及びこれを備えた表示パネル |
JP3811082B2 (ja) | 2002-03-08 | 2006-08-16 | 大日本スクリーン製造株式会社 | 基板処理装置及び基板処理方法 |
US6796054B2 (en) | 2002-03-12 | 2004-09-28 | Tokyo Electron Limited | Low-pressure dryer and low-pressure drying method |
US6848625B2 (en) * | 2002-03-19 | 2005-02-01 | Tokyo Electron Limited | Process liquid supply mechanism and process liquid supply method |
WO2003080479A2 (en) | 2002-03-20 | 2003-10-02 | Fsi International, Inc. | Systems and methods incorporating an end effector with a rotatable and/or pivotable body and/or an optical sensor having a light path that extends along a length of the end effector |
JP3688264B2 (ja) | 2002-03-20 | 2005-08-24 | 東京エレクトロン株式会社 | 熱処理方法及び熱処理装置 |
JP3939178B2 (ja) * | 2002-03-25 | 2007-07-04 | 大日本スクリーン製造株式会社 | 高圧乾燥装置、高圧乾燥方法および基板処理装置 |
JP4274736B2 (ja) | 2002-03-28 | 2009-06-10 | 大日本スクリーン製造株式会社 | 基板処理装置 |
US6869234B2 (en) | 2002-03-28 | 2005-03-22 | Dainippon Screen Mfg. Co., Ltd. | Developing apparatus and developing method |
US6752544B2 (en) | 2002-03-28 | 2004-06-22 | Dainippon Screen Mfg. Co., Ltd. | Developing apparatus and developing method |
JP4342147B2 (ja) | 2002-05-01 | 2009-10-14 | 大日本スクリーン製造株式会社 | 基板処理装置 |
US7288466B2 (en) * | 2002-05-14 | 2007-10-30 | Kabushiki Kaisha Toshiba | Processing method, manufacturing method of semiconductor device, and processing apparatus |
JP2003347186A (ja) | 2002-05-23 | 2003-12-05 | Dainippon Screen Mfg Co Ltd | 基板処理装置 |
US6678582B2 (en) * | 2002-05-30 | 2004-01-13 | Kuka Roboter Gmbh | Method and control device for avoiding collisions between cooperating robots |
US6645880B1 (en) | 2002-06-10 | 2003-11-11 | Dainippon Screen Mfg. Co., Ltd. | Treating solution applying method |
US6832863B2 (en) | 2002-06-11 | 2004-12-21 | Dainippon Screen Mfg. Co., Ltd. | Substrate treating apparatus and method |
US6807455B2 (en) | 2002-06-26 | 2004-10-19 | Dainippon Screen Mfg. Co. Ltd. | System for and method of processing substrate |
SE523109C2 (sv) | 2002-07-15 | 2004-03-30 | Stock Of Sweden Ab | Anordning för kraftöverföring hos en arbetsmaskin |
JP2004055697A (ja) | 2002-07-17 | 2004-02-19 | Ace:Kk | 基板の移載、搬送装置及び移載方法 |
KR20040013965A (ko) | 2002-08-09 | 2004-02-14 | 삼성전자주식회사 | 멀티 챔버형의 공정설비 |
JP4233285B2 (ja) * | 2002-08-23 | 2009-03-04 | 大日本スクリーン製造株式会社 | 基板処理装置 |
TWI262165B (en) | 2002-10-16 | 2006-09-21 | Sez Ag | Device and method for transporting wafer-shaped articles |
US6885467B2 (en) | 2002-10-28 | 2005-04-26 | Tevet Process Control Technologies Ltd. | Method and apparatus for thickness decomposition of complicated layer structures |
US6946511B2 (en) | 2002-10-29 | 2005-09-20 | Dupont Dow Elastomers, Llc | Plasma resistant elastomer parts |
US7306696B2 (en) * | 2002-11-01 | 2007-12-11 | Applied Materials, Inc. | Interferometric endpoint determination in a substrate etching process |
KR100486690B1 (ko) * | 2002-11-29 | 2005-05-03 | 삼성전자주식회사 | 기판 이송 모듈의 오염을 제어할 수 있는 기판 처리 장치및 방법 |
US6770424B2 (en) | 2002-12-16 | 2004-08-03 | Asml Holding N.V. | Wafer track apparatus and methods for dispensing fluids with rotatable dispense arms |
JP4053906B2 (ja) | 2003-03-14 | 2008-02-27 | 株式会社豊電子工業 | 作業ロボット用ハンド装置 |
US7654596B2 (en) | 2003-06-27 | 2010-02-02 | Mattson Technology, Inc. | Endeffectors for handling semiconductor wafers |
EP1495702A1 (fr) | 2003-07-10 | 2005-01-12 | Nestec S.A. | Dispositif pour l'extraction d'une capsule |
US7100954B2 (en) | 2003-07-11 | 2006-09-05 | Nexx Systems, Inc. | Ultra-thin wafer handling system |
US7207766B2 (en) * | 2003-10-20 | 2007-04-24 | Applied Materials, Inc. | Load lock chamber for large area substrate processing system |
US7226269B2 (en) | 2004-01-15 | 2007-06-05 | Applied Materials, Inc. | Substrate edge grip apparatus |
KR100996102B1 (ko) | 2004-10-27 | 2010-11-22 | 삼성전자주식회사 | 고출력 증폭기의 바이어스 적응 바이어스 제어 장치 및 방법 |
US20060182535A1 (en) | 2004-12-22 | 2006-08-17 | Mike Rice | Cartesian robot design |
US7651306B2 (en) | 2004-12-22 | 2010-01-26 | Applied Materials, Inc. | Cartesian robot cluster tool architecture |
US7798764B2 (en) | 2005-12-22 | 2010-09-21 | Applied Materials, Inc. | Substrate processing sequence in a cartesian robot cluster tool |
US7255747B2 (en) * | 2004-12-22 | 2007-08-14 | Sokudo Co., Ltd. | Coat/develop module with independent stations |
US7819079B2 (en) | 2004-12-22 | 2010-10-26 | Applied Materials, Inc. | Cartesian cluster tool configuration for lithography type processes |
US7699021B2 (en) | 2004-12-22 | 2010-04-20 | Sokudo Co., Ltd. | Cluster tool substrate throughput optimization |
JP4356936B2 (ja) | 2005-01-21 | 2009-11-04 | 東京エレクトロン株式会社 | 塗布、現像装置及びその方法 |
US20060236941A1 (en) | 2005-04-20 | 2006-10-26 | Applied Materials, Inc. | Passive wafer support for particle free wafer acceleration |
US7374391B2 (en) | 2005-12-22 | 2008-05-20 | Applied Materials, Inc. | Substrate gripper for a substrate handling robot |
US20060241813A1 (en) | 2005-04-22 | 2006-10-26 | Applied Materials, Inc. | Optimized cluster tool transfer process and collision avoidance design |
WO2007112454A2 (en) * | 2006-03-28 | 2007-10-04 | Stratusys Inc. | Apparatus and method for processing substrates using one or more vacuum transfer chamber units |
-
2005
- 2005-04-20 US US11/111,154 patent/US7255747B2/en active Active
- 2005-04-20 US US11/111,353 patent/US7396412B2/en active Active
- 2005-04-20 US US11/111,155 patent/US20060130767A1/en not_active Abandoned
- 2005-04-20 US US11/111,156 patent/US7371022B2/en active Active
- 2005-04-22 US US11/112,932 patent/US20060134330A1/en not_active Abandoned
- 2005-04-22 US US11/112,281 patent/US7357842B2/en active Active
- 2005-06-06 US US11/147,037 patent/US20060134536A1/en not_active Abandoned
- 2005-12-21 CN CNA200580048568XA patent/CN101142656A/zh active Pending
- 2005-12-21 CN CN2005800485660A patent/CN101443131B/zh active Active
- 2005-12-21 US US11/316,329 patent/US20060158240A1/en not_active Abandoned
-
2006
- 2006-07-19 US US11/458,664 patent/US7694647B2/en active Active
- 2006-07-19 US US11/458,667 patent/US7925377B2/en not_active Expired - Fee Related
-
2008
- 2008-02-19 US US12/033,837 patent/US20080223293A1/en not_active Abandoned
- 2008-04-21 US US12/106,824 patent/US7743728B2/en active Active
- 2008-06-09 US US12/136,006 patent/US20080296316A1/en not_active Abandoned
- 2008-10-20 US US12/254,750 patent/US8181596B2/en not_active Expired - Fee Related
- 2008-10-20 US US12/254,778 patent/US8146530B2/en not_active Expired - Fee Related
- 2008-10-20 US US12/254,784 patent/US8215262B2/en not_active Expired - Fee Related
-
2011
- 2011-10-11 JP JP2011224043A patent/JP2012069957A/ja active Pending
-
2012
- 2012-03-02 US US13/411,120 patent/US20120180983A1/en not_active Abandoned
- 2012-04-18 JP JP2012094779A patent/JP2012169654A/ja active Pending
- 2012-06-15 US US13/524,854 patent/US8550031B2/en active Active
- 2012-12-20 JP JP2012277640A patent/JP2013093597A/ja active Pending
Patent Citations (7)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPH08293534A (ja) * | 1995-04-20 | 1996-11-05 | Tokyo Electron Ltd | 被処理体の搬送装置 |
JPH10335220A (ja) * | 1997-05-30 | 1998-12-18 | Tokyo Electron Ltd | 処理装置 |
JPH1126550A (ja) * | 1997-07-04 | 1999-01-29 | Tokyo Electron Ltd | 基板搬送装置およびそれを用いた基板処理装置 |
JP2001077176A (ja) * | 1999-07-02 | 2001-03-23 | Tokyo Electron Ltd | 基板処理装置 |
JP2003077828A (ja) * | 2001-07-11 | 2003-03-14 | Samsung Electronics Co Ltd | フォトリソグラフィー工程システム及びフォトリソグラフィー工程方法 |
JP2003037107A (ja) * | 2001-07-25 | 2003-02-07 | Tokyo Electron Ltd | 処理装置及び処理方法 |
JP2003309158A (ja) * | 2002-04-12 | 2003-10-31 | Tokyo Electron Ltd | 処理装置 |
Cited By (6)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
KR101273615B1 (ko) | 2013-04-30 | 2013-06-13 | 마이다스시스템주식회사 | 포토리소그래피 공정에 사용하는 반도체 제조용 미니랩 |
JP2018528471A (ja) * | 2015-08-27 | 2018-09-27 | ズース マイクロテク フォトマスク エクイップメント ゲゼルシャフト ミット ベシュレンクテル ハフツング ウント コンパニー コマンディートゲゼルシャフトSuss MicroTec Photomask Equipment GmbH & Co. KG | Uv放射が照射される液状の媒質を基板に塗布するための装置 |
IT202000030872A1 (it) * | 2020-12-15 | 2022-06-15 | Faspar S P A | Gruppo di supporto e movimentazione per un dispositivo di presa per utensili |
EP4015157A1 (en) | 2020-12-15 | 2022-06-22 | Faspar S.p.A. | Support and movement unit for a tool gripping device |
JP7326647B1 (ja) * | 2022-12-07 | 2023-08-15 | 株式会社荏原製作所 | 搬送装置および基板処理装置 |
WO2024121960A1 (ja) * | 2022-12-07 | 2024-06-13 | 株式会社荏原製作所 | 搬送装置および基板処理装置 |
Also Published As
Similar Documents
Publication | Publication Date | Title |
---|---|---|
JP2013093597A (ja) | 基板を処理するクラスタツールアーキテクチャ | |
JP4990160B2 (ja) | 基板を処理するクラスタツールアーキテクチャ | |
US7699021B2 (en) | Cluster tool substrate throughput optimization | |
US20070274711A1 (en) | Substrate processing apparatus and substrate processing method | |
KR100888301B1 (ko) | 기판처리시스템 및 기판처리장치 | |
US20070172234A1 (en) | Apparatus for and method of processing substrate | |
TWI397148B (zh) | 軌跡微影工具中之晶圓置中情形的偵測方法與系統 | |
US20020009658A1 (en) | Substrate processing apparatus | |
KR20070079565A (ko) | 기판처리장치 | |
US20080212049A1 (en) | Substrate processing apparatus with high throughput development units | |
US20090110532A1 (en) | Method and apparatus for providing wafer centering on a track lithography tool | |
US20070147832A1 (en) | Method of processing substrate, substrate processing system and substrate processing apparatus | |
US20090107519A1 (en) | Method and system for chemically enhanced laser trimming of substrate edges | |
JP4768084B2 (ja) | 共有分配を伴うコート/現像モジュール | |
US20100330273A1 (en) | Substrate processing apparatus and substrate processing method for heat-treating substrate | |
US20080196658A1 (en) | Substrate processing apparatus including a substrate reversing region |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A977 | Report on retrieval |
Free format text: JAPANESE INTERMEDIATE CODE: A971007 Effective date: 20121221 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20130108 |
|
A601 | Written request for extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A601 Effective date: 20130408 |
|
A602 | Written permission of extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A602 Effective date: 20130411 |
|
A601 | Written request for extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A601 Effective date: 20130508 |
|
A602 | Written permission of extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A602 Effective date: 20130513 |
|
A602 | Written permission of extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A602 Effective date: 20130613 |
|
A02 | Decision of refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A02 Effective date: 20130910 |