TW201735325A - 包括限制邏輯閘階層布局架構中之交叉耦合電晶體配置的積體電路、及用以產生其布局的方法、及包括用以產生其布局之指令的資料儲存裝置 - Google Patents

包括限制邏輯閘階層布局架構中之交叉耦合電晶體配置的積體電路、及用以產生其布局的方法、及包括用以產生其布局之指令的資料儲存裝置 Download PDF

Info

Publication number
TW201735325A
TW201735325A TW106120691A TW106120691A TW201735325A TW 201735325 A TW201735325 A TW 201735325A TW 106120691 A TW106120691 A TW 106120691A TW 106120691 A TW106120691 A TW 106120691A TW 201735325 A TW201735325 A TW 201735325A
Authority
TW
Taiwan
Prior art keywords
conductive structure
transistor
gate
electrical connection
conductive
Prior art date
Application number
TW106120691A
Other languages
English (en)
Inventor
T 貝克史考特
Original Assignee
泰拉創新股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=41052712&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=TW201735325(A) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by 泰拉創新股份有限公司 filed Critical 泰拉創新股份有限公司
Publication of TW201735325A publication Critical patent/TW201735325A/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/10Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration
    • H01L27/118Masterslice integrated circuits
    • H01L27/11803Masterslice integrated circuits using field effect technology
    • H01L27/11807CMOS gate arrays
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/392Floor-planning or layout, e.g. partitioning or placement
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/398Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49838Geometry or layout
    • H01L23/49844Geometry or layout for devices being provided for in H01L29/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5386Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/0203Particular design considerations for integrated circuits
    • H01L27/0207Geometrical layout of the components, e.g. computer aided design; custom LSI, semi-custom LSI, standard cell technique
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B10/00Static random access memory [SRAM] devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B10/00Static random access memory [SRAM] devices
    • H10B10/12Static random access memory [SRAM] devices comprising a MOSFET load element
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B99/00Subject matter not provided for in other groups of this subclass
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2119/00Details relating to the type or aim of the analysis or the optimisation
    • G06F2119/18Manufacturability analysis or optimisation for manufacturability
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/10Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration
    • H01L27/118Masterslice integrated circuits
    • H01L27/11803Masterslice integrated circuits using field effect technology
    • H01L27/11807CMOS gate arrays
    • H01L2027/11809Microarchitecture
    • H01L2027/11851Technology used, i.e. design rules
    • H01L2027/11853Sub-micron technology
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/10Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration
    • H01L27/118Masterslice integrated circuits
    • H01L27/11803Masterslice integrated circuits using field effect technology
    • H01L27/11807CMOS gate arrays
    • H01L2027/11868Macro-architecture
    • H01L2027/11874Layout specification, i.e. inner core region
    • H01L2027/11875Wiring region, routing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/10Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration
    • H01L27/118Masterslice integrated circuits
    • H01L27/11803Masterslice integrated circuits using field effect technology
    • H01L27/11807CMOS gate arrays
    • H01L2027/11883Levels of metallisation
    • H01L2027/11887Three levels of metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Theoretical Computer Science (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • Evolutionary Computation (AREA)
  • Architecture (AREA)
  • Manufacturing & Machinery (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Semiconductor Memories (AREA)
  • Semiconductor Integrated Circuits (AREA)

Abstract

以第一與第二閘極各自定義第一P通道(P channel)電晶體與第一N通道(N channel)電晶體。該第二閘極與該第一閘極係電氣相連。以第三與第四閘極各自定義第二P通道電晶體與第二N通道電晶體。該第四閘極與該第三閘極係電氣相連。第一P通道電晶體、第一N通道電晶體、第二P通道電晶體、與第二N通道電晶體中每一者具有與共用節點電氣相連的各自擴散端。第一、第二、第三、與第四閘極中每一者係定義成沿著若干平行指向的閘極軌道中任一個而延伸,不實質接觸與毗鄰的閘極軌道相關之任一邏輯閘階層特徵部布局通道內所定義的邏輯閘階層特徵部。

Description

包括限制邏輯閘階層布局架構中之交叉耦合電晶體配置的積體電路、及用以產生其布局的方法、及包括用以產生其布局之指令的資料儲存裝置
本發明係關於電晶體布局。
更高效能與更小晶粒尺寸推動著半導體產業每兩年就縮減約50%的電路晶片面積。晶片面積的縮減提供經濟效益,用以邁向更新的技術。藉由縮減25%與30%之間的特徵尺寸可完成50%晶片面積的縮減。製造設備與材料的改善而使特徵尺寸縮減。例如,微影處理的改善已使更小的特徵尺寸得以實現,同時化學機械研磨(CMP)的改善已在某種程度上使高層數的互連層變得可能。
在微影技術的演進中,隨著最小特徵尺寸接近用來曝出特徵形狀的光源波長,鄰近特徵部之間會發生非計劃中的交互作用。當今最小特徵尺寸達到了45nm(奈米),而微影處理中所用的光源波長仍在193nm。最小特徵尺寸與微影處理中所用的光源波長之間的差異係定義為微影間隙(lithographic gap)。隨著微影間隙增大,微影處理的解析度能力則會降低。
當光罩上的每一形狀與光線交互作用時,會發生干涉圖形。來自鄰近形狀的干涉圖形會產生建設性或破壞性干涉。就建設性干涉而言,可能無意中產生不必要的形狀。就破壞性干涉而言,可能無意中移除所需的圖形。在任一情況中,以非計劃方式印刷的特定形狀可能會引起裝置失效。如光學鄰近校正(OPC)的修正方法試圖預測來自鄰近形狀的影響,且修正該光罩,俾如所需地製造出印刷形狀。隨著製程幾何拓撲結構(process geometries)縮小與隨著光交互作用變得更複雜,光交互作用預測的品質也隨之每況愈下。
考慮到前述問題,當技術持續向更小的半導體裝置特徵尺寸前進時,需要對付微影間隙問題的解決方案。
在一實施例中,揭露半導體晶片中的交叉耦合電晶體組態。此交叉耦合電晶體組態包括第一P通道電晶體,其具有該晶片之邏輯閘階層中所定義的第一閘極。此交叉耦合電晶體組態也包括第一N通道電晶體,其具有該晶片之邏輯閘階層中所定義的第二閘極。第一N通道電晶體的第二閘極與第一P通道電晶體的第一閘極係電氣相連。此交叉耦合電晶體組態更包括第二P通道電晶體,其具有該晶片之邏輯閘階層中所定義的第三閘極。而且,此交叉耦合電晶體組態包括第二N通道電晶體,其具有該晶片之邏輯閘階層中所定義的第四閘極。第二N通道電晶體的第四閘極與第二P通道電晶體的第三閘極係電氣相連。第一P通道電晶體、第一N通道電晶體、第二P通道電晶體、與第二N通道電晶體中的每一個具有與共用節點電氣相連的各自擴散端。而且,第一、第二、第三、與第四閘極中每一者對應著邏輯閘階層特徵部布局通道內所定義之各自邏輯閘階層特徵部的一部分。每一邏輯閘階層特徵部係定義在其邏輯閘階層特徵部布局通道內,不實體接觸鄰接的邏輯閘階層特徵部布局通道內所定義的另一邏輯閘階層特徵部。
在一實施例中,揭露交叉耦合電晶體布局。此交叉耦合電晶體布局包括第一P通道電晶體,其具有該晶片之邏輯閘階層中所定義的第一閘極。此交叉耦合電晶體布局也包括第一N通道電晶體,其具有該晶片之邏輯閘階層中所定義的第二閘極。第一N通道電晶體的第二閘極與第一P通道電晶體的第一閘極係電氣相連。此交叉耦合電晶體布局更包括第二P通道電晶體,其具有該晶片之邏輯閘階層中所定義的第三閘極。而且,此交叉耦合電晶體布局包括第二N通道電晶體,其具有該晶片之邏輯閘階層中所定義的第四閘極。第二N通道電晶體的第四閘極與第二P通道電晶體的第三閘極係電氣相連。第一P通道電晶體、第一N通道電晶體、第二P通道電晶體、與第二N通道電晶體中的每一個具有與共用節點電氣相連的各自擴散端。而且,第一、第二、第三、與第四閘極中每一者對應著邏輯閘階層特徵部布局通道內所定義之各自邏輯閘階層特徵部的一部分。每一邏輯閘階層特徵部係定義在其邏輯閘階層特徵部布局通道內,不實體接觸鄰接的邏輯閘階層特徵部布局通道內所定義的另一邏輯閘階層特徵部。
在另一實施例中,揭露一半導體晶片。此晶片包括由對應閘極定義的第一P通道電晶體。此晶片也包括由對應閘極定義的第一N通道電晶體。此晶片也包括由對應閘極定義的第二P通道電晶體。此晶片也包括由對應閘極定義的第二N通道電晶體。第一P通道、第一N通道、第二P通道、與第二N通道中的每一個閘極係定義在該晶片的邏輯閘階層內,且與共用擴散區節點電氣相連。第一P通道電晶體的閘極與第一N通道電晶體的閘極係電氣相連。第二P通道電晶體的閘極與第二N通道電晶體的閘極係電氣相連。第一、第二、第三、與第四閘極中每一者對應著邏輯閘階層特徵部布局通道內所定義之各自邏輯閘階層特徵部的一部分。而且,每一邏輯閘階層特徵部係定義在其邏輯閘階層特徵部布局通道內,不實體接觸鄰接的邏輯閘階層特徵部布局通道內所定義的另一邏輯閘階層特徵部。
本發明之其他實施態樣及優點由隨後之舉例說明本發明原理的詳細說明及隨附之相對應圖式當可更加明白。
在下文描述中,為提供本發明之徹底了解而闡明眾多的具體描述。然而,對於熟悉本技藝者,明顯的是,不用這些特定細節的部分或全部即可實行本發明。在其他例子中,為了避免非必要地搞混本發明而沒有詳盡地描述眾所皆知的處理操作。
靜態隨機存取記憶體位元格(SRAM Bit Cell)組態
圖1A顯示依據先前技藝的SRAM(靜態隨機存取記憶體)位元格電路。該SRAM位元格電路包括二交叉耦合(cross-coupled)反相器106與102。具體地說,反相器106的輸出端106B係與反相器102的輸入端102A相接,而反相器102的輸出端102B係與反相器106的輸入端106A相接。該SRAM位元格更包括二NMOS傳輸電晶體(pass transistor)100與104。NMOS傳輸電晶體100係銜接位元線103與節點109,節點109對應著反相器106的輸出端106B與反相器102的輸入端102A。NMOS傳輸電晶體104係銜接位元線105與節點111,節點111對應著反相器102的輸出端102B與反相器106的輸入端106A。而且,NMOS傳輸電晶體100與104的各自閘極每一個係與字元線(word line)107相接,字元線107經NMOS傳輸電晶體100與104控制SRAM位元格的存取。該SRAM位元格需要雙向寫入,其意味當位元線103驅以高壓時,位元線105則驅以低壓,反之亦然。熟悉本技藝者應理解到,節點109與111以互補的方式維持該SRAM位元格中所儲的邏輯狀態。
圖1B顯示圖1A之依據先前技藝的SRAM位元格,其中展開反相器106與102以顯現其各自內部的電晶體組態。反相器106包括數個PMOS電晶體115與NMOS電晶體113。PMOS電晶體115與NMOS電晶體113的各自閘極係相連一起,以形成反相器106的輸入端106A。而且,各個PMOS電晶體115與NMOS電晶體113其各自數端中之一者相連在一起,以形成反相器106的輸出端106B。PMOS電晶體115的另一端係與電源供應器117相連。NMOS電晶體113的另一端係與接地電位119相連。因此,PMOS電晶體115與NMOS電晶體113係以互補方式作動。當高邏輯狀態(high logic state)發生在反相器106的輸入端106A時,開啟NMOS電晶體113且關閉PMOS電晶體115,從而使反相器106的輸出端106B產生低邏輯狀態(low logic state)。當低邏輯狀態發生在反相器106的輸入端106A時,關閉NMOS電晶體113且開啟PMOS電晶體115,從而反相器106的輸出端106B產生高邏輯狀態。
以等同反相器106的方式定義反相器102。反相器102包括PMOS電晶體121與NMOS電晶體123。PMOS電晶體121與NMOS電晶體123的各自閘極係相連一起,以形成反相器102的輸入端102A。而且, 各個PMOS電晶體121與NMOS電晶體123其各自數端中之一者相連在一起,以形成反相器102的輸出端102B。PMOS電晶體121的另一端係與電源供應器117相連。NMOS電晶體123的另一端係與接地電位119相連。因此,PMOS電晶體121與NMOS電晶體123係以互補方式作動。當高邏輯狀態發生在反相器102的輸入端102A時,開啟NMOS電晶體123且關閉PMOS電晶體121,從而使反相器102的輸出端102B產生低邏輯狀態。當低邏輯狀態發生在反相器102的輸入端102A時,關閉NMOS電晶體123且開啟PMOS電晶體121,從而反相器102的輸出端102B產生高邏輯狀態。
交叉耦合電晶體組態
圖2顯示依據本發明之一實施例的交叉耦合電晶體組態。此交叉耦合電晶體組態包括四個電晶體:PMOS電晶體401、NMOS電晶體405、PMOS電晶體403、與NMOS電晶體407。PMOS電晶體401具有與上拉邏輯電路(pull up logic)209A相連的一端,而另一端係與共用節點495相連。NMOS電晶體405具有與下拉邏輯電路(pull down logic)211A相連的一端,而另一端係與共用節點495相連。PMOS電晶體403具有與上拉邏輯電路209B相連的一端,而另一端係與共用節點495相連。NMOS電晶體407具有與下拉邏輯電路211B相連的一端,而另一端係與共用節點495相連。PMOS電晶體401與NMOS電晶體407的各自閘極倆連成閘極節點491。NMOS電晶體405與PMOS電晶體403的各自閘極倆連成閘極節點493。閘極節點491與493也各自被稱為控制節點491與493。再者,共用節點495、閘極節點491、與閘極節點493中的每一個也各自被稱為電連接裝置(electrical connection)495、491、493。
基於前述,交叉耦合電晶體組態包括四個電晶體:1)第一PMOS電晶體、2)第一NMOS電晶體、3)第二PMOS電晶體、與4)第二NMOS電晶體。此外,該交叉耦合電晶體組態包括三個所需的電連接裝置:1)四個電晶體中的每一個其數端中之一者與相同的共用節點相連、2)一PMOS電晶體與一NMOS電晶體的兩閘極與第一閘極節點相連、與3)另一PMOS電晶體與另一NMOS電晶體的兩閘極與第二閘極節點相連。
應理解到,圖2的交叉耦合電晶體組態表示耦合電晶體的基本組態。在其它的實施例中,額外的電路元件可與圖2之交叉耦合電晶體組態內的任一節點相連。再者,在其它的實施例中,在不脫離圖2之交叉耦合電晶體組態的情況下,額外的電路元件可嵌入一或多個耦合電晶體(401、405、403、407)與共用節點495之間。
SRAM位元格與交叉耦合電晶體組態之間的差異
應了解到,圖1A-1B的SRAM位元格不包括交叉耦合電晶體組態。特別應了解到,SRAM位元格內的交叉耦合「反相器」106與102既不表示也不意味交叉耦合「電晶體」組態。如上文討論,該交叉耦合電晶體組態需要四個電晶體中的每一個其數端中之一者與相同的共用節點電氣相連。此未存在於SRAM位元格。
參照圖1B中的SRAM位元格,PMOS電晶體115與NMOS電晶體113之端係相連於節點109處,但PMOS電晶體121與NMOS電晶體123之端係相連於節點111處。更具體地說,PMOS電晶體115與NMOS電晶體113之相連於反相器輸出端106B處的端,係與PMOS電晶體121與NMOS電晶體123中每一個的閘極相連,而非與PMOS電晶體121與NMOS電晶體123之倆端相連。所以,該SRAM位元格不包括四個電晶體(二PMOS與二NMOS),此四個電晶體中的每一個其數端中之一者與相同的共用節點電氣相連。因此,該SRAM位元格不代表或包括如圖2所述的交叉耦合電晶體組態。
限制邏輯閘階層(Gate Level)布局架構
本發明實現部分半導體晶片內的限制邏輯閘階層布局架構。對於該邏輯閘階層,若干平行虛擬線係定義成跨越該布局。當這些平行虛擬線用以指出布局內各種電晶體的閘極配置時,被稱為閘極軌道(gate electrode track)。在一實施例中,藉由等於指定閘極間距間的垂直間隔,而定義形成閘極軌道的平行虛擬線。因此,閘極軌道上之閘極段的配置對應著該指定閘極間距。在另一實施例中,大於或等於指定閘極間距的可變間距分隔了閘極軌道。
圖3A顯示閘極軌道301A-301E的範例,該等閘極軌道係依據本發明之一實施例而被定義在限制邏輯閘階層布局架構內。跨越晶片之邏輯閘階層布局的平行虛擬線,以等於指定閘極間距307間的垂直間隔形成閘極軌道301A-301E。為了說明性的目的,圖3A中顯示互補的擴散區303與305。應了解到,擴散區303與305係定義在邏輯閘階層底下的擴散階層(diffusion level)。而且,應了解到,以舉例的方法提供擴散區303與305,絕不表示擴散區大小、形狀、與/或配置(擴散階層內相對於該限制邏輯閘階層布局架構而言)的任何限制。
在限制邏輯閘階層布局架構內,邏輯閘階層特徵部布局通道(gate level feature layout channel)係定義在既定閘極軌道的周遭,俾使其在毗鄰既定閘極軌道的閘極軌道之間延伸。例如,邏輯閘階層特徵部布局通道310A-1至301E-1係各自定義在閘極軌道301A至301E周遭。應了解到,每一閘極軌道具有對應的邏輯閘階層特徵部布局通道。而且,對於毗鄰指定布局空間之邊緣(如格界)所定位的閘極軌道,如閘極特徵部布局通道301A-1至301E-1所說明的,對應的邏輯閘階層特徵部布局通道宛如該指定布局空間外的虛擬閘極軌道般延伸。應進一步了解到,每一邏輯閘階層特徵部布局通道係定義成沿著其對應的閘極軌道的整個長度而延伸。因此,每一邏輯閘階層特徵部布局通道係定義成跨越晶片中與邏輯閘階層布局相關之部分內的邏輯閘階層布局。
在限制邏輯閘階層布局架構內,與既定閘極軌道相關的邏輯閘階層特徵部係定義在與該既定閘極軌道相關的邏輯閘階層特徵部布局通道內。相鄰的邏輯閘階層特徵部包括兩部分:定義電晶體閘極的部份與未定義電晶體閘極的部份。因此,相鄰的邏輯閘階層特徵部可遍佈下伏晶片階層的擴散區與介電區二區。在一實施例中,邏輯閘階層特徵部之每一形成電晶體閘極的部份係定位成實質定心於既定的閘極軌道。此外,在此實施例中,邏輯閘階層特徵部之未形成電晶體閘極的部份係定位在與既定該閘極軌道相關的邏輯閘階層特徵部布局通道內。因此,只要既定邏輯閘階層特徵部的閘極部分係定心於閘極軌道(對應著既定邏輯閘階層特徵部布局通道),與只要該既定的邏輯閘階層特徵部遵守設計法則間隔需求(相對於在毗鄰的邏輯閘階層特徵部布局通道中的其它邏輯閘階層特徵部),基本上可在該既定邏輯閘階層特徵部布局通道內的任何地方定義該既定的邏輯閘階層特徵部。另外,邏輯閘階層特徵部布局通道中所定義的邏輯閘階層特徵部(與毗鄰的閘極軌道相關)之間禁止實體接觸。
圖3B顯示圖3A的示範性限制邏輯閘階層布局架構,其中依據本發明之一實施例在其中定義若干示範性邏輯閘階層特徵部309-323。邏輯閘階層特徵部309係定義在與閘極軌道301A相關的邏輯閘階層特徵部布局通道301A-1內。邏輯閘階層特徵部309的閘極部分實質定心於閘極軌道301A。而且,邏輯閘階層特徵部309之非閘極部分維持與邏輯閘階層特徵部311與313(定義在毗鄰的邏輯閘階層特徵部布局通道301B-1內)的設計法則間隔需求。同樣地,閘階層特徵部311-323係定義在與其各自邏輯閘階層特徵部布局通道內,且具有實質定心於閘極軌道(對應著各自邏輯閘階層特徵部布局通道)的閘極部分。而且,應理解到,閘階層特徵部311-323中的每一個維持與邏輯閘階層特徵部(定義在毗鄰的邏輯閘階層特徵部布局通道內)的設計法則間隔需求,且避免與另一邏輯閘階層特徵部(定義在毗鄰的邏輯閘階層特徵部布局通道內)實質接觸。
閘極對應著各個邏輯閘階層特徵部之跨越擴散區的部份,其中該各個邏輯閘階層特徵部整體係定義在邏輯閘階層特徵部布局通道內。每一邏輯閘階層特徵部係定義在其邏輯閘階層特徵部布局通道內,不實體接觸另一邏輯閘階層特徵部(定義在鄰接的邏輯閘階層特徵部布局通道內)。如圖3B之示範性邏輯閘階層特徵部布局通道301A-1至301E-1所說明的,每一邏輯閘階層特徵部布局通道係與既定的閘極軌道相關,且對應著一布局區,該布局區沿著該既定閘極軌道,並垂直地以每個相對方向向外延伸(自該既定閘極軌道向最接近的閘極軌道或布局邊界外的虛擬閘極軌道)。
若干邏輯閘階層特徵部可具有一或多個接觸頭部分,其沿著本身長度定義在許多位置處。既定邏輯閘階層特徵部的接觸頭部分係定義為該邏輯閘階層特徵部之具有足夠大小之高度與寬度的一段,以得到閘極接觸點結構,其中在整片基板垂直該既定邏輯閘階層特徵部之閘極軌道的方向上定義「寬度」,且其中在整片基板平行該既定邏輯閘階層特徵部之閘極軌道的方向上定義「高度」。應理解到,當俯瞰時,邏輯閘階層特徵部的接觸頭基本上可由任何布局形狀(包括方形或矩形)所定義。而且,取決於布局需求與電路設計,邏輯閘階層特徵部的既定接觸頭部分不一定具有其上所定義閘極接觸點。
如上文所討論的,本文所揭露之各種實施例的邏輯閘階層係定義為限制邏輯閘階層。邏輯閘階層特徵部的若干部分形成電晶體裝置的閘極。邏輯閘階層特徵部的其它部分則形成在該邏輯閘階層內之兩點間延伸的導電段。而且,邏輯閘階層特徵部的其它部分可不具積體電路操作相關的功能。應了解到,在不實體接觸其它邏輯閘階層特徵部(定義在毗鄰的邏輯閘階層特徵部布局通道內)的情況下,不考慮每一邏輯閘階層特徵部的功能,其係定義成跨越各自邏輯閘階層特徵部布局通道內的邏輯閘階層。
在一實施例中,邏輯閘階層特徵部係定義成提供有限數目之受控的布局形狀間(shape-to-shape)微影交互作用,其可在製造與設計過程中精確地被預知且優化。在此實施例中,該邏輯閘階層特徵部係定義成避免布局形狀間空間關係,該關係會引起布局內不利的微影交互作用,該不利的微影交互作用係無法精確地被預知且很可能無法被緩和掉。然而,應了解到,當對應的微影交互作用係可預測且易控制時,可接受邏輯閘階層特徵部在其邏輯閘階層布局通道內的方向上改變。
應了解到,不考慮每一邏輯閘階層特徵部的功能,其係定義為在不利用非邏輯閘階層特徵部的情況下,沿著既定閘極軌道的邏輯閘階層特徵部係用以於該邏輯閘階層內無法直接與另一邏輯閘階層特徵部(沿著不同閘極軌道而定義的)接觸。再者,邏輯閘階層特徵部(置於與不同閘極軌道相關的不同邏輯閘階層布局通道內)之間的每一接觸點(可定義在較高的互連層)係經一多或多個非邏輯閘階層特徵部而製,即穿過該邏輯閘階層上的一或多層互連層,或藉由該邏輯閘階層處或底下的局部互連特徵部而製。
交叉耦合電晶體布局
如上文所討論的,交叉耦合電晶體組態包括四個電晶體(2 PMOS電晶體與2 NMOS電晶體)。在本發明的各種實施例中,依據限制邏輯閘階層布局架構所定義的閘極係各自用以形成交叉耦合電晶體組態布局的四個電晶體。圖4顯示依據本發明之一實施例之交叉耦合電晶體組態的擴散與邏輯閘階層布局。圖4的交叉耦合電晶體布局包括閘極401A所定義的第一PMOS電晶體401,閘極401A係沿著閘極軌道450延伸且跨過p型擴散區480。第一NMOS電晶體407係由閘極407A定義的,閘極407A係沿著閘極軌道456延伸且跨過n型擴散區486。第二PMOS電晶體403係由閘極403A定義的,閘極403A係沿著閘極軌道456延伸且跨過p型擴散區482。第二NMOS電晶體405係由閘極405A定義的,閘極405A係沿著閘極軌道450延伸且跨過n型擴散區484。
第一PMOS電晶體401與第一NMOS電晶體407的閘極401A與407A各自與第一閘極節點491電氣相連,俾受實質相等的閘極電壓。同樣地,第二PMOS電晶體403與第二NMOS電晶體405的閘極403A與405A各自與第二閘極節點493電氣相連,俾受實質相等的閘極電壓。而且,四個電晶體401、403、405、407中的每一個具有與共用輸出節點495電氣相連的各自擴散端。
在限制邏輯閘階層布局架構內,可以若干不同方法實現交叉耦合電晶體組態。在圖4的示範性實施例中,第一PMOS電晶體401與第二NMOS電晶體405的閘極401A與405A係沿著相同的閘極軌道450安置。同樣地,第二PMOS電晶體403與第一NMOS電晶體407的閘極403A與407A係沿著相同的閘極軌道456安置。因此,圖4的特定實施例可視為二電極軌道上所定義之帶有交叉閘極接觸點的交叉耦合電晶體組態。
圖5顯示圖4之交叉耦合電晶體組態的變體,其中在三條閘極軌道上定義帶有交叉閘極接觸點的交叉耦合電晶體組態。具體地說,第一PMOS電晶體401的閘極401A係定義在閘極軌道450上。第二PMOS電晶體403的閘極403A係定義在閘極軌道456上。第一NMOS電晶體407的閘極407A係定義在在閘極軌道456上。而第二NMOS電晶體405的閘極405A係定義在閘極軌道448上。因此,圖5的特定實施例可視為三電極軌道上所定義之帶有交叉閘極接觸點的交叉耦合電晶體組態。
圖6顯示圖4之交叉耦合電晶體組態的變體,其中在四條閘極軌道上定義帶有交叉閘極接觸點的交叉耦合電晶體組態。具體地說,第一PMOS電晶體401的閘極401A係定義在閘極軌道450上。第二PMOS電晶體403的閘極403A係定義在閘極軌道456上。第一NMOS電晶體407的閘極407A係定義在在閘極軌道458上。而第二NMOS電晶體405的閘極405A係定義在閘極軌道454上。因此,圖6的特定實施例可視為四電極軌道上所定義之帶有交叉閘極接觸點的交叉耦合電晶體組態。
圖7顯示圖4之交叉耦合電晶體組態的變體,其中在二條閘極軌道上定義無交叉閘極接觸點的交叉耦合電晶體組態。具體地說,第一PMOS電晶體401的閘極401A係定義在閘極軌道450上。第一NMOS電晶體407的閘極407A係定義在在閘極軌道450上。第二PMOS電晶體403的閘極403A係定義在閘極軌道456上。而第二NMOS電晶體405的閘極405A係定義在閘極軌道456上。因此,圖7的特定實施例可視為二電極軌道上所定義之無交叉閘極接觸點的交叉耦合電晶體組態。
圖8顯示圖4之交叉耦合電晶體組態的變體,其中在三條閘極軌道上定義無交叉閘極接觸點的交叉耦合電晶體組態。具體地說,第一PMOS電晶體401的閘極401A係定義在閘極軌道450上。第一NMOS電晶體407的閘極407A係定義在在閘極軌道450上。第二PMOS電晶體403的閘極403A係定義在閘極軌道454上,和第二NMOS電晶體405的閘極405A係定義在閘極軌道456上。因此,圖8的特定實施例可視為三電極軌道上所定義之無交叉閘極接觸點的交叉耦合電晶體組態。
圖9顯示圖4之交叉耦合電晶體組態的變體,其中在四條閘極軌道上定義無閘極接觸點的交叉耦合電晶體組態。具體地說,第一PMOS電晶體401的閘極401A係定義在閘極軌道450上。第二PMOS電晶體403的閘極403A係定義在閘極軌道454上。第一NMOS電晶體407的閘極407A係定義在在閘極軌道452上,和第二NMOS電晶體405的閘極405A係定義在閘極軌道456上。因此,圖9的特定實施例可視為四電極軌道上所定義之無交叉閘極接觸點的交叉耦合電晶體組態。
應理解到,儘管圖4-9的交叉耦合電晶體401、403、405與407係描繪為分別具有其各自的擴散區480、482、484與486,其它實施例仍可利用PMOS電晶體401與403之鄰接的p型擴散區,與/或利用NMOS電晶體405與407之鄰接的n型擴散區。再者,僅管圖4-9的示範性布局以垂直對齊的位置描繪p型擴散區480與482,應了解到,在其它實施例中p型擴散區480與482可不用垂直對齊。同樣地,僅管圖4-9的示範性布局以垂直對齊的位置描繪n型擴散區484與486,應了解到,在其它實施例中n型擴散區484與486可不用垂直對齊。
在圖4-9中,由線491與493表示閘極的電氣連接,且由線495表示共用節點的電氣連接。應了解到,在布局空間中,閘極電連接裝置491、493與共用節點電連接裝置495中的每一個可由延伸穿過多晶片階層之若干布局形狀而定義結構。圖10-13顯示在不同實施例中如何定義閘極電連接裝置491、493與共用節點電連接裝置495的範例。應了解到,圖10-13的範例布局係通過舉例的方法而提供,絕不表示用於閘極電連接裝置491、493與共用節點電連接裝置495之可能多階層連接的完備集(exhaustive set)。
圖10顯示多層次布局,包括依據本發明之一實施例在三電極軌道上所定義帶有交叉閘極接觸點的交叉耦合電晶體組態。圖10的布局表示圖5之交叉耦合電晶體實施例的示範性實作。第一PMOS電晶體401的閘極401A與第一NMOS電晶體407的閘極407A之間的電連接裝置491係由多層次連接形成的,包括閘極接觸點1001、(二維的)第一金屬層結構1003、與閘極接觸點1005。第二PMOS電晶體403的閘極403A與第二NMOS電晶體405的閘極405A之間的電連接裝置493係由多層次連接形成的,包括閘極接觸點1007、(二維的)第一金屬層結構1009、與閘極接觸點1011。輸出節點電連接裝置495係由多層次連接形成的,包括擴散區接觸點1013、(二維的)第一金屬層結構1015、擴散區接觸點1017與擴散區接觸點1019。
圖11顯示多層次布局,包括依據本發明之一實施例在四電極軌道上所定義帶有交叉閘極接觸點的交叉耦合電晶體組態。圖11的布局表示圖6之交叉耦合電晶體實施例的示範性實作。第一PMOS電晶體401的閘極401A與第一NMOS電晶體407的閘極407A之間的電連接裝置491係由多層次連接形成的,包括閘極接觸點1101、(二維的)第一金屬層結構1103、與閘極接觸點1105。第二PMOS電晶體403的閘極403A與第二NMOS電晶體405的閘極405A之間的電連接裝置493係由多層次連接形成的,包括閘極接觸點1107、(一維的)第一金屬層結構1109、介層孔1111、(一維的)第二金屬層結構1113、介層孔1115、(一維的)第一金屬層結構1117、與閘極接觸點1119。輸出節點電連接裝置495係由多層次連接形成的,包括擴散區接觸點1121、(二維的)第一金屬層結構1123、擴散區接觸點1125與擴散區接觸點1127。
圖12顯示多層次布局,包括依據本發明之一實施例在二電極軌道上所定義無交叉閘極接觸點的交叉耦合電晶體組態。圖12的布局表示圖7之交叉耦合電晶體實施例的示範性實作。第一PMOS電晶體401與第一NMOS電晶體407的閘極401A與407A各別係由閘極軌道450上所設置之鄰接的邏輯閘階層結構形成的。因此,直接在沿著單一閘極軌道450的邏輯閘階層內製作閘極401A與407A之間的電連接裝置491。同樣地,第二PMOS電晶體403與第二NMOS電晶體405的閘極403A與405A各別係由閘極軌道456上所設置之鄰接的邏輯閘階層結構形成的。因此,直接在沿著單一閘極軌道456的邏輯閘階層內製作閘極403A與405A之間的電連接裝置493。輸出節點電連接裝置495係由多層次連接形成的,包括擴散區接觸點1205、(一維的)第一金屬層結構1207、與擴散區接觸點1209。
進一步考慮圖12,應注意到,當第一PMOS電晶體401與第一NMOS電晶體407的閘極401A與407A各別係由鄰接的邏輯閘階層結構形成時,及當第二PMOS電晶體403與第二NMOS電晶體405的閘極403A與405A各別係由鄰接的邏輯閘階層結構形成時,對應的交叉耦合電晶體布局可包括擴散區之間的電連接裝置(與四個交叉耦合電晶體401、407、403、405相關),該等電連接裝置於布局空間中交錯而彼此無電氣通信。例如,PMOS電晶體403的擴散區1220與NMOS電晶體407的擴散區1222係電氣相連(由電連接裝置1224標示),及PMOS電晶體401的擴散區1230與NMOS電晶體405的擴散區1232係電氣相連(由電連接裝置1234標示),其中電連接裝置1224與電連接裝置1234於布局空間中交錯而彼此無電氣通信。
圖13顯示多層次布局,包括依據本發明之一實施例在三電極軌道上所定義無交叉閘極接觸點的交叉耦合電晶體組態。圖13的布局表示圖8之交叉耦合電晶體實施例的示範性實作。第一PMOS電晶體401與第一NMOS電晶體407的閘極401A與407A各別由閘極軌道450上所設置之鄰接的邏輯閘階層結構形成的。因此,直接在沿著單一閘極軌道450的邏輯閘階層內製作閘極401A與407A之間的電連接裝置491。第二PMOS電晶體403的閘極403A與第二NMOS電晶體405的閘極405A之間的電連接裝置493係由多層次連接形成的,包括閘極接觸點1303、(一維的)第一金屬層結構1305、與閘極接觸點1307。輸出節點電連接裝置495係由多層次連接形成的,包括擴散區接觸點1311、(一維的)第一金屬層結構1313、與擴散區接觸點1315。
在一實施例中,使用一或多個局部互連導體(定義在邏輯閘階層處或其底下)製作交叉耦合電晶體之擴散區至共用節點495的電連接裝置。此實施例也可藉由接觸孔與/或介層孔將局部互連導體與高階層(於邏輯閘階層之上)中的導體結合,以製作交叉耦合電晶體之擴散區至共用節點495的電連接裝置。另外,在各種實施例中,用以使交叉耦合電晶體之擴散區與共用節點495電氣連接的傳導路徑,可定義成必要時基本上可橫越晶片之任何區域,以提供晶片路徑選擇的解決方案。
而且,應理解到,因為n型與p型擴散區實質上係分開的,且因為交叉耦合電晶體中二PMOS電晶體的p型擴散區實質上係分開的,及因為交叉耦合電晶體中二NMOS電晶體的n型擴散區實質上係分開的,故在各種實施例中可能使四個交叉耦合電晶體中的每一個彼此相對地置於布局中的任意位置。因此,除非電氣性能與其它布局影響條件的需要,否則無需使該四個交叉耦合電晶體彼此靠近地坐落於布局內。儘管如此,仍未排除交叉耦合電晶體彼此靠近地坐落,且此舉在某些電路布局中仍係可取的。
在此所揭露的示範性實施例,應了解到,擴散區的大小不受限制。換言之,必要時可任意地縮放任一既定的擴散區,以滿足電性與/或布局需求。另外,必要時可任意地塑造任一既定擴散區的形狀,以滿足電性與/或布局需求。而且,應了解到,如依據限制邏輯閘階層布局架構所定義的,交叉耦合電晶體組態的四個電晶體不需為同尺尺寸。在不同的實施例中,取決於適用的電性與/或布局需求,交叉耦合電晶體組態的四個電晶體可在尺寸上(電晶體寬度或電晶體閘極長度)變化或具有相同大小。
另外,應了解到,儘管在若干實施例中交叉耦合電晶體組態的四個電晶體係被緊密地放置,但不需彼此靠近地放置。更具體地說,因為可經至少一較高的互連階層的路徑選擇而製作交叉耦合電晶體組態之電晶體的連接,故可自由地彼此相對放置該交叉耦合電晶體組態的四個電晶體。儘管如此,應了解到,在某些實施例中,仍可藉由電性與/或布局需求,而決定交叉耦合電晶體組態之四個電晶體的接近程度。
應理解到,如關於圖2-13與/或其變體所描述的,使用限制邏輯閘階層布局架構所實現的交叉耦合電晶體組態與對應的布局可用以形成許多不同的電路。例如,部分現代半導體晶片可能包括若干多工器電路(multiplexer circuit)與/或鎖存電路(latch circuit)。如本文所揭露的,可使用依據限制邏輯閘階層布局架構之交叉耦合電晶體組態與對應的布局而定義如此的多工器與/或鎖存電路。關於圖14A-17C係描述示範性多工器電路實施例,其使用限制邏輯閘階層布局架構與對應的交叉耦合電晶體組態而實現。關於圖18A-22C係描述示範性鎖存電路實施例,其使用限制邏輯閘階層布局架構與對應的交叉耦合電晶體組態而實現。應了解到,關於圖14A-22C所描述的多工器電路與鎖存電路實施例係通過舉例的方法而提供,並不表示可能多工器電路與鎖存電路實施例的完備集。
示範性多工器電路實施例
圖14A顯示依據本發明之一實施例的一般多工器電路,其中四個交叉耦合電晶體401、405、403、407皆直接與共用節點495相連。如先前討論的,第一PMOS電晶體401與第一NMOS電晶體407的閘極係電氣相連(如電連接裝置491所示)。同樣地,第二PMOS電晶體403與第二NMOS電晶體405的閘極係電氣相連(如電連接裝置493所示)。上拉邏輯電路(Pull up logic)1401在相對於共用節點495之端與第一PMOS電晶體401電氣相連。下拉邏輯電路(Pull down logic)1403在相對於共用節點495之端與第二NMOS電晶體405電氣相連。同樣地,上拉邏輯電路1405與第二PMOS電晶體403在相對於共用節點495之端電氣相連。下拉邏輯電路1407與第一NMOS電晶體407在相對於共用節點495之端電氣相連。
圖14B顯示依據本發明之一實施例之多工器電路(圖14A)的示範性實作,其帶有上拉邏輯電路(1401與1405)及下拉邏輯電路(1403與1407)的詳細視圖。PMOS電晶體1401A定義上拉邏輯電路1401,PMOS電晶體1401A銜接電源供應器(VDD)與第一PMOS電晶體401之相對於共用節點495之端1411。NMOS電晶體1403A定義下拉邏輯電路1403,NMOS電晶體1403A銜接接地電位(GND)與第二NMOS電晶體405之相對於共用節點495之端1413。PMOS電晶體1401A與NMOS電晶體1403A的各自閘極在節點1415處相接。PMOS電晶體1405A定義上拉邏輯電路1405,PMOS電晶體1405A銜接電源供應器(VDD)與第二PMOS電晶體403之相對於共用節點495之端1417。NMOS電晶體1407A定義下拉邏輯電路1407,NMOS電晶體1407A銜接接地電位(GND)與第一NMOS電晶體407之相對於共用節點495之端1419。PMOS電晶體1405A與NMOS電晶體1407A的各自閘極在節點1421處相接。應了解到,如圖14B所示之上拉邏輯電路(1401與1405)及下拉邏輯電路(1403與1407)的實作係示範性的。在其它實施例中,可使用異於圖14B所示之邏輯電路的邏輯電路,以實現上拉邏輯電路(1401與1405)及下拉邏輯電路(1403與1407)。
圖14C顯示依據本發明之一實施例之多工器電路(圖14B)的多層次布局,其使用限制邏輯閘階層布局架構的交叉耦合電晶體布局而實現。藉由多層次連接(包括閘極接觸點1445、(二維的)第一金屬層結構1447與閘極接觸點1449)形成第一PMOS電晶體401的閘極401A與第一NMOS電晶體407的閘極407A之間的電連接裝置491。藉由多層次連接(包括閘極接觸點1431、(一維的)第一金屬層結構1433、介層孔1435、(一維的)第二金屬層結構1436、介層孔1437、(一維的)第一金屬層結構1439、與閘極接觸點1441)形成第二PMOS電晶體403的閘極403A與第二NMOS電晶體405的閘極405A之間的電連接裝置493。藉由多層次連接(包括擴散區接觸點1451、(一維的)第一金屬層結構1453、介層孔1455、(一維的)第二金屬層結構1457、介層孔1459、(一維的)第一金屬層結構1461、與擴散區接觸點1463)形成共用節點電連接裝置495。PMOS電晶體1401A與NMOS電晶體1403A的各自閘極係藉由閘極接觸點1443與節點1415相連。而且,PMOS電晶體1405A與NMOS電晶體1407A的各自閘極係藉由閘極接觸點1465與節點1421相連。
圖15A顯示依據本發明之一實施例之圖14A的多工器電路,其中二交叉耦合電晶體401與405仍直接與共用節點495相連,且其中二交叉耦合電晶體403與407各自相對於共用節點495而置在上拉邏輯電路1405與下拉邏輯電路1407的外側。上拉邏輯電路1405使第二PMOS電晶體403與共用節點495電氣相連。下拉邏輯電路1407使第一NMOS電晶體407與共用節點495電氣相連。除了PMOS/NMOS電晶體403/407相對於共用節點495而置在其上拉/下拉邏輯電路1405/1407外側之外,圖15A的電路與圖14A的電路相同。
圖15B顯示依據本發明之一實施例之多工器電路(圖15A)的示範性實作,其帶有上拉邏輯電路(1401與1405)及下拉邏輯電路(1403與1407)的詳細視圖。如先前關於圖14B的討論,藉由PMOS電晶體1401A定義上拉邏輯電路1401,PMOS電晶體1401A銜接VDD與第一PMOS電晶體401之相對於共用節點495之端1411。而且,NMOS電晶體1403A定義下拉邏輯電路1403,NMOS電晶體1403A銜接GND與第二NMOS電晶體405之相對於共用節點495之端1413。PMOS電晶體1401A與NMOS電晶體1403A的各自閘極在節點1415處相接。PMOS電晶體1405A定義上拉邏輯電路1405,PMOS電晶體1405A銜接第二PMOS電晶體403與共用節點495。NMOS電晶體1407A定義下拉邏輯電路1407,NMOS電晶體1407A銜接第一NMOS電晶體407與共用節點495。PMOS電晶體1405A與NMOS電晶體1407A的各自閘極在節點1421處相接。應了解到,如圖15B所示之上拉邏輯電路(1401與1405)及下拉邏輯電路(1403與1407)的實作係示範性的。在其它實施例中,可用異於圖15B所示之邏輯電路的邏輯電路,以實現上拉邏輯電路(1401與1405)及下拉邏輯電路(1403與1407)。
圖15C顯示依據本發明之一實施例之多工器電路(圖15B)的多層次布局,其使用限制邏輯閘階層布局架構的交叉耦合電晶體布局而實現。藉由多層次連接(包括閘極接觸點1501、(一維的)第一金屬層結構1503、介層孔1505、(一維的)第二金屬層結構1507、介層孔1509、(一維的)第一金屬層結構1511、與閘極接觸點1513)形成第一PMOS電晶體401的閘極401A與第一NMOS電晶體407的閘極407A之間的電連接裝置491。藉由多層次連接(包括閘極接觸點1515、(二維的)第一金屬層結構1517、與閘極接觸點1519)形成第二PMOS電晶體403的閘極403A與第二NMOS電晶體405的閘極405A之間的電連接裝置493。藉由多層次連接(包括擴散區接觸點1521、(一維的)第一金屬層結構1523、介層孔1525、(一維的)第二金屬層結構1527、介層孔1529、(一維的)第一金屬層結構1531、與擴散區接觸點1533)形成共用節點電連接裝置495。PMOS電晶體1401A與NMOS電晶體1403A的各自閘極係藉由閘極接觸點1535與節點1415相連。同樣地,PMOS電晶體1405A與NMOS電晶體1407A的各自閘極係藉由閘極接觸點1539與節點1421相連。
圖16A顯示依據本發明之一實施例的一般多工器電路,其中連接交叉耦合電晶體(401、403、405、407)以形成連接共用節點495的二傳輸閘1602與1604。如先前所討論的,第一PMOS電晶體401與第一NMOS電晶體407的閘極係電氣相連(如電連接裝置491所示)。而且,第二PMOS電晶體403與第二NMOS電晶體405的閘極係電氣相連(如電連接裝置493所示)。連接第一PMOS電晶體401及第二NMOS電晶體405以形成連接共用節點495的第一傳輸閘1602。連接第二PMOS電晶體403及第一NMOS電晶體407以形成連接共用節點495的第二傳輸閘1604。主動邏輯電路1601在相對於共用節點495之端與第一PMOS電晶體401及第二NMOS電晶體405兩者電氣相連。主動邏輯電路1603在相對共用於節點495之端與第二PMOS電晶體403及第一NMOS電晶體407兩者電氣相連。
圖16B顯示依據本發明之一實施例之多工器電路(圖16A)的示範性實作,其帶有主動邏輯電路1601與1603的詳細視圖。在圖16B的實施例中,反相器1601A定義主動邏輯電路1601,且反相器1603A定義主動邏輯電路1603。然而,應了解到,在其它實施例中,主動邏輯電路1601與1603可由任何邏輯函數定義,例如二輸入NOR閘極(two input NOR gate)、二輸入NAND閘極(two input NAND gate)、AND-OR邏輯、OR-AND邏輯等等。
圖16C顯示依據本發明之一實施例之多工器電路(圖16B)的多層次布局,其使用限制邏輯閘階層布局架構的交叉耦合電晶體布局而實現。藉由多層次連接(包括閘極接觸點1619、(二維的)第一金屬層結構1621、與閘極接觸點1623)形成第一PMOS電晶體401的閘極401A與第一NMOS電晶體407的閘極407A之間的電連接裝置491。藉由多層次連接(包括閘極接觸點1605、(一維的)第一金屬層結構1607、介層孔1609、(一維的)第二金屬層結構1611、介層孔1613、(一維的)第一金屬層結構1615、與閘極接觸點1617)形成第二PMOS電晶體403的閘極403A與第二NMOS電晶體405的閘極405A之間的電連接裝置493。藉由多層次連接(包括擴散區接觸點1625、(一維的)第一金屬層結構1627、介層孔1629、(一維的)第二金屬層結構1631、介層孔1633、(一維的)第一金屬層結構1635、與擴散區接觸點1637)形成共用節點電連接裝置495。形成反相器1601A的電晶體顯示在虛線1601AL所圍的區域內。形成反相器1603A的電晶體顯示在虛線1603AL所圍的區域內。
圖17A顯示依據本發明之一實施例的一般多工器電路,其中使四交叉耦合電晶體中的二電晶體(403、407)相連,以形成連接共用節點495的傳輸閘1702。如先前所討論的,第一PMOS電晶體401與第一NMOS電晶體407的閘極係電氣相連(如電連接裝置491所示)。而且,第二PMOS電晶體403與第二NMOS電晶體405的閘極係電氣相連(如電連接裝置493所示)。連接第二PMOS電晶體403及第一NMOS電晶體407,以形成連接共用節點495的傳輸閘1702。主動邏輯電路1701在相對於共用節點495之端與第二PMOS電晶體403及第一NMOS電晶體407兩者電氣相連。上拉邏輯電路1703在相對於共用節點495之端與第一PMOS電晶體401電氣相連。而且,下拉邏輯電路1705在相對於共用節點495之端與第二NMOS電晶體405電氣相連。
圖17B顯示依據本發明之一實施例之多工器電路(圖17A)的示範性實作,其帶有主動邏輯電路1701、1703與1705的詳細視圖。主動邏輯電路1701係由反相器1701A定義的。VDD與第一PMOS電晶體401之間所連接的PMOS電晶體1703A定義上拉主動邏輯電路1703。GND與第二NMOS電晶體405之間所連接的NMOS電晶體1705A定義下拉主動邏輯電路1705。PMOS電晶體1703A與NMOS電晶體1705A的各自閘極在節點1707處相接。應了解到,圖17B所示之主動邏輯電路1701、1703與1705的實作係示範性的。在其它實施例中,可使用異於圖17B所示之邏輯電路的邏輯電路,以實現主動邏輯電路1701、1703與1705。
圖17C顯示依據本發明之一實施例之多工器電路(圖17B)的多層次布局,其使用限制邏輯閘階層布局架構的交叉耦合電晶體布局而實現。藉由多層次連接(包括閘極接觸點1723、(二維的)第一金屬層結構1725、與閘極接觸點1727)形成第一PMOS電晶體401的閘極401A與第一NMOS電晶體407的閘極407A之間的電連接裝置491。藉由多層次連接(包括閘極接觸點1709、(一維的)第一金屬層結構1711、介層孔1713、(一維的)第二金屬層結構1715、介層孔1717、(一維的)第一金屬層結構1719、與閘極接觸點1721)形成第二PMOS電晶體403的閘極403A與第二NMOS電晶體405的閘極405A之間的電連接裝置493。藉由多層次連接(包括擴散區接觸點1729、(一維的)第一金屬層結構1731、介層孔1733、(一維的)第二金屬層結構1735、介層孔1737、(一維的)第一金屬層結構1739、與擴散區接觸點1741)形成共用節點電連接裝置495。形成反相器1701A的電晶體顯示在虛線1701AL所圍的區域內。PMOS電晶體1703A與NMOS電晶體1705A的各自閘極係藉由閘極接觸點1743與節點1707相連。
示範性鎖存電路實施例
圖18A顯示依據本發明一實施例之使用交叉耦合電晶體組態的一般鎖存電路。第一PMOS電晶體401與第一NMOS電晶體407的閘極電氣相連(如電連接裝置491所示)。第二PMOS電晶體403與第二NMOS電晶體405的閘極係電氣相連(如電連接裝置493所示)。四個交叉耦合電晶體中的每一個係與共用節點495電氣相連。應了解到,共用節點495在此鎖存電路中係作為儲存節點。上拉主動邏輯電路1805在相對於共用節點495之端與第二PMOS電晶體403電氣相連。下拉主動邏輯電路1807在相對於共用節點495之端與第一NMOS電晶體407電氣相連。上拉反饋邏輯電路(Pull up feedback logic)1809在相對於共用節點495之端與第一PMOS電晶體401電氣相連。下拉反饋邏輯電路(Pull down feedback logic)1811在相對於共用節點495之端與第二NMOS電晶體405電氣相連。另外,共用節點495與反相器1801的輸入端相連。反相器1801的輸出端係與回饋節點(feedback node)1803電氣相連。應了解到,在其它實施例中,反相器1801可由任何邏輯函數取代,例如二輸入NOR閘極、二輸入NAND閘極等等,或由任何複雜邏輯函數取代。
圖18B顯示依據本發明之一實施例之鎖存電路(圖18A)的示範性實作,其帶有上拉主動邏輯電路1805、下拉主動邏輯電路1807、上拉反饋邏輯電路1809、與下拉反饋邏輯電路1811的詳細視圖。上拉主動邏輯電路1805係由PMOS電晶體1805A定義的,PMOS電晶體1805A銜接VDD與第二PMOS電晶體403之相對於共用節點495之端。下拉主動邏輯電路1807係由NMOS電晶體1807A定義的,NMOS電晶體1807A銜接GND與第一NMOS電晶體407之相對於共用節點495之端。PMOS電晶體1805A與NMOS電晶體1807A的各自閘極係在節點1804處相連一起。上拉反饋邏輯電路1809係由PMOS電晶體1809A定義的,PMOS電晶體1809A銜接VDD與第一PMOS電晶體401之相對於共用節點495之端。下拉反饋邏輯電路1811係由NMOS電晶體1811A定義的,NMOS電晶體1811A銜接GND與第二NMOS電晶體405之相對於共用節點495之端。PMOS電晶體1809A與NMOS電晶體1811A的各自閘極係在節點1803處相連一起。應了解到,圖18B所示之上拉主動邏輯電路1805、下拉主動邏輯電路1807、上拉反饋邏輯電路1809、與下拉反饋邏輯電路1811的實作係示範性的。在其它實施例中,可使用異於圖18B所示之邏輯電路的邏輯電路,以實現上拉主動邏輯電路1805、下拉主動邏輯電路1807、上拉反饋邏輯電路1809、與下拉反饋邏輯電路1811。
圖18C顯示依據本發明之一實施例之鎖存電路(圖18B)的多層次布局,其使用限制邏輯閘階層布局架構的交叉耦合電晶體布局而實現。藉由多層次連接(包括閘極接觸點1813、(一維的)第一金屬層結構1815、介層孔1817、(一維的)第二金屬層結構1819、介層孔1821、(一維的)第一金屬層結構1823、與閘極接觸點1825)形成第一PMOS電晶體401的閘極401A與第一NMOS電晶體407的閘極407A之間的電連接裝置491。藉由多層次連接(包括閘極接觸點1827、(二維的)第一金屬層結構1829、與閘極接觸點1831)形成第二PMOS電晶體403的閘極403A與第二NMOS電晶體405的閘極405A之間的電連接裝置493。藉由多層次連接(包括擴散區接觸點1833、(一維的)第一金屬層結構1835、介層孔1837、(一維的)第二金屬層結構1839、介層孔1841、(二維的)第一金屬層結構1843、與擴散區接觸點1845)形成共用節點電連接裝置495。形成反相器1801的電晶體顯示在虛線1801L所圍的區域內。
圖19A顯示依據本發明之一實施例之圖18A的鎖存電路,其中二交叉耦合電晶體401與405仍直接與輸出節點495相連,且其中二交叉耦合電晶體403與407各自相對於共用節點495,而置在上拉主動邏輯電路1805與下拉主動邏輯電路1807的外側。上拉主動邏輯電路1805使第二PMOS電晶體403與共用節點495電氣相連。下拉主動邏輯電路1807使第一NMOS電晶體407與共用節點495電氣相連。除了PMOS/NMOS電晶體403/407相對於共用節點495而置在其上拉/下拉主動邏輯電路1805/1807外側之外,圖19A的電路與圖18A的電路相同。
圖19B顯示依據本發明之一實施例之鎖存電路(圖19A)的示範性實作,其帶有上拉主動邏輯電路1805、下拉主動邏輯電路1807、上拉反饋邏輯電路1809、與下拉反饋邏輯電路1811的詳細視圖。如先前關於圖18B所討論的,上拉反饋邏輯電路1809係由PMOS電晶體1809A定義的,PMOS電晶體1809A銜接VDD與第一PMOS電晶體401之相對於共用節點495之端。而且,下拉反饋邏輯電路1811係由NMOS電晶體1811A定義的,NMOS電晶體1811A銜接GND與第二NMOS電晶體405之相對於共用節點495之端。PMOS電晶體1809A與NMOS電晶體1811A的各自閘極係在回饋節點1803處相連一起。上拉主動邏輯電路1805係由PMOS電晶體1805A定義的,PMOS電晶體1805A銜接第二PMOS電晶體403與共用節點495。下拉主動邏輯電路1807係由NMOS電晶體1807A定義的,NMOS電晶體1807A銜接第一NMOS電晶體407與共用節點495。PMOS電晶體1805A與電晶體1807A的各自閘極係在節點1804處相連一起。應了解到,圖19B所示之上拉主動邏輯電路1805、下拉主動邏輯電路1807、上拉反饋邏輯電路1809、與下拉反饋邏輯電路1811的實作係示範性的。在其它實施例中,可使用異於圖19B所示之邏輯電路的邏輯電路,以實現上拉主動邏輯電路1805、下拉主動邏輯電路1807、上拉反饋邏輯電路1809、與下拉反饋邏輯電路1811。
圖19C顯示依據本發明之一實施例之鎖存電路(圖19B)的多層次布局,其使用限制邏輯閘階層布局架構的交叉耦合電晶體布局而實現。藉由多層次連接(包括閘極接觸點1901、(一維的)第一金屬層結構1903、介層孔1905、(一維的)第二金屬層結構1907、介層孔1909、(一維的)第一金屬層結構1911、與閘極接觸點1913)形成第一PMOS電晶體401的閘極401A與第一NMOS電晶體407的閘極407A之間的電連接裝置491。藉由多層次連接(包括閘極接觸點1915、(二維的)第一金屬層結構1917、與閘極接觸點1919)形成第二PMOS電晶體403的閘極403A與第二NMOS電晶體405的閘極405A之間的電連接裝置493。藉由多層次連接(包括擴散區接觸點1921、(一維的)第一金屬層結構1923、介層孔1925、(一維的)第二金屬層結構1927、介層孔1929、(二維的)第一金屬層結構1931、與擴散區接觸點1933)形成共用節點電連接裝置495。形成反相器1801的電晶體顯示在虛線1801L所圍的區域內。
圖20A顯示依據本發明之一實施例之圖18A的鎖存電路,其中二交叉耦合電晶體403與407仍直接與輸出節點495相連,且其中二交叉耦合電晶體401與405各自相對於於共用節點495,而置在上拉反饋邏輯電路1809與下拉反饋邏輯電路1811的外側。上拉反饋邏輯電路1809使第一PMOS電晶體401與共用節點495電氣相連。下拉反饋邏輯電路1811使第二NMOS電晶體405與共用節點495電氣相連。除了PMOS/NMOS電晶體401/405相對於共用節點495而置在其上拉/下拉反饋邏輯電路1809/1811外側之外,圖20A的電路與圖18A的電路相同。
圖20B顯示依據本發明之一實施例之鎖存電路(圖20A)的示範性實作,其帶有上拉主動邏輯電路1805、下拉主動邏輯電路1807、上拉反饋邏輯電路1809、與下拉反饋邏輯電路1811的詳細視圖。上拉反饋邏輯電路1809係由PMOS電晶體1809A定義的,PMOS電晶體1809A銜接第一PMOS電晶體401與共用節點495。而且,下拉反饋邏輯電路1811係由NMOS電晶體1811A定義的,NMOS電晶體1811A銜接第二NMOS電晶體405與共用節點495。PMOS電晶體1809A與NMOS電晶體1811A的各自閘極係在回饋節點1803處相連一起。上拉主動邏輯電路1805係由PMOS電晶體1805A定義的,PMOS電晶體1805A銜接VDD與第二PMOS電晶體403。下拉主動邏輯電路1807係由NMOS電晶體1807A定義的,NMOS電晶體1807A銜接GND與第一NMOS電晶體407。PMOS電晶體1805A與電晶體1807A的各自閘極係在節點1804處相連一起。應了解到,圖20B所示之上拉主動邏輯電路1805、下拉主動邏輯電路1807、上拉反饋邏輯電路1809、與下拉反饋邏輯電路1811的實作係示範性的。在其它實施例中,可使用異於圖20B所示之邏輯電路的邏輯電路,以實現上拉主動邏輯電路1805、下拉主動邏輯電路1807、上拉反饋邏輯電路1809、與下拉反饋邏輯電路1811。
圖20C顯示依據本發明之一實施例之鎖存電路(圖20B)的多層次布局,其使用限制邏輯閘階層布局架構的交叉耦合電晶體布局而實現。藉由多層次連接(包括閘極接觸點2001、(一維的)第一金屬層結構2003、介層孔2005、(一維的)第二金屬層結構2007、介層孔2009、(一維的)第一金屬層結構2011、與閘極接觸點2013)形成第一PMOS電晶體401的閘極401A與第一NMOS電晶體407的閘極407A之間的電連接裝置491。藉由多層次連接(包括閘極接觸點2015、(一維的)第一金屬層結構2017、與閘極接觸點2019)形成第二PMOS電晶體403的閘極403A與第二NMOS電晶體405的閘極405A之間的電連接裝置493。藉由多層次連接(包括擴散區接觸點2021、(二維的)第一金屬層結構2023、與擴散區接觸點2025)形成共用節點電連接裝置495。形成反相器1801的電晶體顯示在虛線1801L所圍的區域內。
圖21A顯示依據本發明之一實施例的一般鎖存電路,其中連接交叉耦合電晶體(401、403、405、407),以形成連接共用節點495的二傳輸閘2103與2105。如先前所討論的,第一PMOS電晶體401與第一NMOS電晶體407的閘極係電氣相連(如電連接裝置491所示)。而且,第二PMOS電晶體403與第二NMOS電晶體405的閘極係電氣相連(如電連接裝置493所示)。第一PMOS電晶體401及第二NMOS電晶體405相連,以形成連接共用節點495的第一傳輸閘2103。第二PMOS電晶體403及第一NMOS電晶體407相連,以形成連接共用節點495的第二傳輸閘2105。反饋邏輯電路2109在相對於共用節點495之端與第一PMOS電晶體401及第二NMOS電晶體405兩者電氣相連。主動邏輯電路2107在相對於共用節點495之端與第二PMOS電晶體403及第一NMOS電晶體407兩者電氣相連。另外,共用節點495與反相器1801的輸入端相連。反相器1801的輸出端係與反饋節點2101電氣相連。應了解到,在其它實施例中,反相器1801可由任何邏輯函數取代,例如二輸入NOR閘極、二輸入NAND閘極等等,或由任何複雜邏輯函數取代。
圖21B顯示依據本發明之一實施例之鎖存電路(圖21A)的示範性實作,其帶有主動邏輯電路2107與反饋邏輯電路2109的詳細視圖。主動邏輯電路2107係由反相器2107A定義的。同樣地,反饋邏輯電路2109係由反相器2109A定義的。應了解到,在其它實施例中,可用異於反相器的邏輯電路定義動邏輯電路2107與/或反饋邏輯電路2109。
圖21C顯示依據本發明之一實施例之鎖存電路(圖21B)的多層次布局,其使用限制邏輯閘階層布局架構的交叉耦合電晶體布局而實現。藉由多層次連接(包括閘極接觸點2111、(一維的)第一金屬層結構2113、介層孔2115、(一維的)第二金屬層結構2117、介層孔2119、(一維的)第一金屬層結構2121、與閘極接觸點2123)形成第一PMOS電晶體401的閘極401A與第一NMOS電晶體407的閘極407A之間的電連接裝置491。藉由多層次連接(包括閘極接觸點2125、(二維的)第一金屬層結構2127、與閘極接觸點2129)形成第二PMOS電晶體403的閘極403A與第二NMOS電晶體405的閘極405A之間的電連接裝置493。藉由多層次連接(包括擴散區接觸點2131、(一維的)第一金屬層結構2133、介層孔2135、(一維的)第二金屬層結構2137、介層孔2139、(二維的)第一金屬層結構2141、與擴散區接觸點2143)形成共用節點電連接裝置495。形成反相器2107A的電晶體顯示在虛線2107AL所圍的區域內。形成反相器2109A的電晶體顯示在虛線2109AL所圍的區域內。形成反相器1801的電晶體顯示在虛線1801L所圍的區域內。
圖22A顯示依據本發明一實施例的一般鎖存電路,其中連接四個交叉耦合電晶體中的二電晶體(403與407),以形成連接共用節點495的傳輸閘2105。如先前所討論的,第一PMOS電晶體401與第一NMOS電晶體407的閘極電氣相連(如電連接裝置491所示)。而且,第二PMOS電晶體403與第二NMOS電晶體405的閘極係電氣相連(如電連接裝置493所示)。連接第二PMOS電晶體403及第一NMOS電晶體407,以形成連接共用節點495的第二傳輸閘2105。主動邏輯電路2201在相對於共用節點495之端與第二PMOS電晶體403及第一NMOS電晶體407兩者電氣相連。上拉反饋邏輯電路2203在相對於共用節點495之端與第一PMOS電晶體401電氣相連。而且,下拉反饋邏輯電路2205在相對於共用節點495之端與第二NMOS電晶體405電氣相連。
圖22B顯示依據本發明之一實施例之鎖存電路(圖22A)的示範性實作,其帶有主動邏輯電路2201、上拉反饋邏輯電路2203、與下拉反饋邏輯電路2205的詳細視圖。主動邏輯電路2201係由反相器2201A定義的。上拉反饋邏輯電路2203係由PMOS電晶體2203A定義的,PMOS電晶體2203A銜接VDD與第一PMOS電晶體401。下拉反饋邏輯電路2205係由NMOS電晶體2205A定義的,PMOS電晶體2205A銜接GND與第二NMOS電晶體405。PMOS電晶體2203A與NMOS電晶體2205A的各自閘極在反饋節點2101處相連一起。應了解到,在其它實施例中,可使用異於反相器的邏輯電路定義主動邏輯電路2201。而且,應了解到,在其它實施例中,可使用異於圖22B所示之邏輯電路的邏輯電路,以實現上拉反饋邏輯電路2203與/或下拉反饋邏輯電路2205。
圖22C顯示依據本發明之一實施例之鎖存電路(圖22B)的多層次布局,其使用限制邏輯閘階層布局架構的交叉耦合電晶體布局而實現。藉由多層次連接(包括閘極接觸點2207、(一維的)第一金屬層結構2209、介層孔2211、(一維的)第二金屬層結構2213、介層孔2215、(一維的)第一金屬層結構2217、與閘極接觸點2219)形成第一PMOS電晶體401的閘極401A與第一NMOS電晶體407的閘極407A之間的電連接裝置491。藉由多層次連接(包括閘極接觸點2221、(二維的)第一金屬層結構2223、與閘極接觸點2225)形成第二PMOS電晶體403的閘極403A與第二NMOS電晶體405的閘極405A之間的電連接裝置493。藉由多層次連接(包括擴散區接觸點2227、(一維的)第一金屬層結構2229、介層孔2231、(一維的)第二金屬層結構2233、介層孔2235、(二維的)第一金屬層結構2237、與擴散區接觸點2239)形成共用節點電連接裝置495。形成反相器2201A的電晶體顯示在虛線2201AL所圍的區域內。形成反相器1801的電晶體顯示在虛線1801L所圍的區域內。
示範性實施例
在一實施例中,交叉耦合電晶體組態係定義在半導體晶片內。關於圖2已部分地說明此實施例。在此實施例中,第一P通道電晶體(401)係定義成包括第一閘極(401A),其定義在該晶片的邏輯閘階層內。而且,第一N通道電晶體(407)係定義成包括第二閘極(407A),其定義在該晶片的邏輯閘階層內。第一N通道電晶體(407)的第二閘極(407A)係與第一P通道電晶體(401)的第一閘極(401A)係電氣相連。此外,第二P通道電晶體(403)係定義成包括第三閘極(403A),其定義在該晶片的邏輯閘階層內。而且,第二N通道電晶體(405)係定義成包括第四閘極(405A),其定義在該晶片的邏輯閘階層內。第二N通道電晶體(405)的第四閘極(405A)係與第二P通道電晶體(403)的第三閘極(403A)係電氣相連。另外,第一P通道電晶體(401)、第一N通道電晶體(407)、第二P通道電晶體(403)、與第二N通道電晶體(405)中的每一個各自具有與共用節點495電氣相連的擴散端。
應了解到,在若干實施例中,可用若干並聯的電晶體分別實現一個以上的第一P通道電晶體(401)、第一N通道電晶體(407)、第二P通道電晶體(403)、與第二N通道電晶體(405)。在這種情況下,並聯的電晶體可被認為是一裝置,對應著第一P通道電晶體(401)、第一N通道電晶體(407)、第二P通道電晶體(403)、與第二N通道電晶體(405)中的任一個。應了解到,可利用形成交叉耦合電晶體組態之既定電晶體的多個並聯電晶體之電性連接,以完成該既定電晶體之所需的驅動強度(drive strength)。
在一實施例中,第一(401A)、第二(407A)、第三(403A)、與第四(405A)閘極中的每一個,係定義成如關於圖3所述般沿著若干閘極軌道中任一個而延伸。該若干閘極軌道以相對彼此之平行指向跨越晶片的邏輯閘階層而延伸。而且,應了解到,第一(401A)、第二(407A)、第三(403A)、與第四(405A)閘極中的任一個,對應著各自邏輯閘階層特徵部(定義在邏輯閘階層特徵部布局通道內)的一部分。每一邏輯閘階層特徵部係定義在其邏輯閘階層特徵部布局通道內,不實體接觸鄰接的邏輯閘階層特徵部布局通道內所定義的另一邏輯閘階層特徵部。如關於圖3B所述的,每一邏輯閘階層特徵部布局通道係與既定閘極軌道相關,且對應著一布局區,該布局區沿著該既定閘極軌道,並垂直地以每個相對方向向外延伸(自該既定閘極軌道向毗鄰的閘極軌道或向布局邊界外的虛擬閘極軌道)。
在上述實施例的各種實作中,如圖10、11、14C、15C、16C、17C、18C、19C、20C、21C、22C的示範性布局,第二閘極(407A)係經至少一導電體與第一閘極(401A)電氣相連,該至少一導電體係定義在非邏輯閘階層的晶片階層內。而且,第四閘極(405A)經至少一導電體與第三閘極(403A)電氣相連,該至少一導電體係定義在非邏輯閘階層的晶片階層內。
在上述實施例的各種實作中,如圖13的示範性布局,第二閘極(407A)與第一閘極(401A)兩者係由相同邏輯閘階層特徵部布局通道內所定義的單一邏輯閘階層特徵部形成的,該邏輯閘階層特徵部布局通道沿著單一閘極軌道跨越p型擴散區與n型擴散區兩者。而且,第四閘極(405A)經至少一導電體與第三閘極(403A)電氣相連,該至少一導電體係定義在非邏輯閘階層的晶片階層內。
在上述實施例的各種實作中,如圖12的示範性布局,第二閘極(407A)與第一閘極(401A)兩者係由第一邏輯閘階層特徵部布局通道內所定義的第一邏輯閘階層特徵部形成的,第一邏輯閘階層特徵部布局通道通道沿著第一閘極軌道跨越p型擴散區與n型擴散區兩者。而且,第四閘極(405A)與第三閘極(403A)兩者係由第二邏輯閘階層特徵部布局通道內所定義的第二邏輯閘階層特徵部形成的,第二邏輯閘階層特徵部布局通道通道沿著第二閘極軌道跨越p型擴散區與n型擴散區兩者。
在一實施例中,使用上述閘極交叉耦合電晶體組態,以實現具有無傳輸閘的多工器電路。關於圖14-15已部分地說明此實施例。在此實施例中,上拉邏輯電路(1401)的第一組態與第一P通道電晶體(401)電氣相連、下拉邏輯電路(1407)的第一組態與第一N通道電晶體(407)電氣相連、上拉邏輯電路(1405)的第二組態與第二P通道電晶體(403)電氣相連、及下拉邏輯電路(1403)的第二組態與第二N通道電晶體(405)電氣相連。
在圖14B與15B的特定實施例中,第三P通道電晶體(1401A)定義上拉邏輯電路(1401)的第一組態,及第三N通道電晶體(1403A)定義下拉邏輯電路(1403)的第二組態。第三P通道電晶體(1401A)與第三N通道電晶體(1403A)的各自閘極係電氣相連一起,俾接收實質等價的電子信號。再者,第四N通道電晶體(1407A)定義下拉拉邏輯電路(1407)的第一組態,及第四P通道電晶體(1405A)定義上拉邏輯電路(1405)的第二組態。第四P通道電晶體(1405A)與第四N通道電晶體(1407A)的各自閘極係電氣相連,俾接收實質等價的電子信號。
在一實施例中,使用上述閘極交叉耦合電晶體組態,以實現具有一傳輸閘的多工器電路。關於圖17已部分地說明此實施例。在此實施例中,上拉邏輯電路(1703)的第一組態與第一P通道電晶體(401)電氣相連、下拉邏輯電路(1705)的第一組態與第二N通道電晶體(405)電氣相連、與多工主動邏輯電路(1701)與第二P通道電晶體(403)及第一N通道電晶體(407)電氣相連。
在圖17B的示範性實施例中,第三P通道電晶體(1703A)定義上拉邏輯電路(1703)的第一組態,及第三N通道電晶體(1705A)定義下拉邏輯電路(1705)的第一組態。第三P通道電晶體(1703A)與第三N通道電晶體(1705A)的各自閘極係電氣相連一起,俾接收實質等價的電子信號。而且,多工主動邏輯電路(1701)係由反相器(1701A)定義的。
在一實施例中,使用上述閘極交叉耦合電晶體組態,以實現具有無傳輸閘的鎖存電路。關於圖18-20已部分地說明此實施例。在此實施例中,上拉邏輯電路(1805)與第二P通道電晶體(403)電氣相連、下拉邏輯電路(1807)與第一N通道電晶體(407)電氣相連、上拉反饋邏輯電路(1809)與第一P通道電晶體(401)電氣相連、及下拉反饋邏輯電路(1811)與第二N通道電晶體(405)電氣相連。而且,該鎖存電路包括反相器(1801),其具有與共用節點(495)相連的輸入端,及與回饋節點(1803)相連的輸出端。上拉反饋邏輯電路(1809)與下拉反饋邏輯電路(1811)中的每一個係與回饋節點(1803)相連。
在圖18B、19B、與20B的示範性實施例中,第三P通道電晶體(1805A)定義上拉主動邏輯電路(1805),及第三N通道電晶體(1807A)定義下拉主動邏輯電路(1807)。第三P通道電晶體(1805A)與第三N通道電晶體(1807A)的各自閘極係電氣相連一起,俾接收實質等價的電子信號。另外,第四P通道電晶體(1809A)定義上拉反饋邏輯電路(1809),及第四N通道電晶體(1811A)定義下拉反饋邏輯電路(1811)。第四P通道電晶體(1809A)與第四N通道電晶體(1811A)的各自閘極於回饋節點(1803)處電氣相連一起。
在一實施例中,使用上述閘極交叉耦合電晶體組態,以實現具有二傳輸閘的鎖存電路。關於圖21已部分地說明此實施例。在此實施例中,主動邏輯電路(2107)與第二P通道電晶體(403)及第一N通道電晶體(407)二者電氣相連。而且,反饋邏輯電路(2109)與第一P通道電晶體(401)及第二N通道電晶體(405)電氣相連。該鎖存電路包括第一反相器(1801),其具有與共用節點(495)相連的輸入端,及與回饋節點(2101)相連的輸出端。反饋邏輯電路(2109)係與回饋節點(2101)電氣相連。在圖21B的示範性實施例中,第二反相器(2107A)定義主動邏輯電路(2107),及第三反相器(2109A)定義反饋邏輯電路(2109)。
在一實施例中,使用上述閘極交叉耦合電晶體組態,以實現具有一傳輸閘的鎖存電路。關於圖22已部分地說明此實施例。在此實施例中,主動邏輯電路(2201)與第二P通道電晶體(403)及第一N通道電晶體(407)二者電氣相連。而且,上拉反饋邏輯電路(2203)與第一P通道電晶體(401)電氣相連,及下拉反饋邏輯電路(2205)與第二N通道電晶體(405)電氣相連。該鎖存電路包括第一反相器(1801),其具有與共用節點(495)相連的輸入端,及與回饋節點(2101)相連的輸出端。上拉反饋邏輯電路(2203)及下拉反饋邏輯電路(2205)二者係與回饋節點(2101)電氣相連。在圖22B的示範性實施例中,第二反相器(2201A)定義主動邏輯電路(2201)。而且,第三P通道電晶體(2203A)定義上拉反饋邏輯電路(2203),第三P通道電晶體(2203A)使第一P通道電晶體(401)與回饋節點(2101)電氣相連。第三N通道電晶體(2205A)定義下拉反饋邏輯電路(2205),第三N通道電晶體(2205A)使第二N通道電晶體(405)與回饋節點(2101)電氣相連。
應了解到,如本文所述之限制邏輯閘階層布局架構內所實現的交叉耦合電晶體布局可以有形形式儲存,如電腦可讀式媒體上的數位格式。而且,本文所述的發明可體現為電腦可讀式媒體上的電腦可讀式編碼。該電腦可讀式媒體係任一數據儲存裝置,其所存數據之後能由電腦系統讀取。電腦可讀取媒體的例子包括硬碟、網路磁碟機(network attached storage ,NAS)、唯讀記憶體、隨機存取記憶體、CD-ROMs、CR-Rs、CD-RWs、磁帶及其它光學與非光學數據儲存元件。此電腦可讀取媒介也可以分佈在網絡耦合的電腦系統,使電腦可讀式編碼以一種分佈的方式儲存和執行。
任何在此描述能構成本發明之一部分的操作是有用的機械操作。本發明也與能執行這些操作的元件或設備有關。此設備可以是為所需目的,如特殊用途電腦,而特別建構。當定義為特殊用途電腦時,該電腦可執行非特殊用途之部分的其它處理、程式執行或例行工作,同時還能夠為特殊用途而操作。或者,該操作可由一般用途的電腦處理,藉儲存在電腦記憶體、高速緩衝存儲器或自網路所獲得的一或多個電腦程式來選擇性啟動或架構。當自網路獲得數據時,該數據可由網路(如計算資源的叢集)上的其它電腦處理。
本發明的實施例也可定義為使數據自一狀態轉成另一狀態的機器。該數據表示物件,其可表為電子訊號與電子操縱數據。在若干情形中,此已轉換的數據可直觀地顯於顯示器上,表示由數據轉換引起的實體物件。此已轉換的數據可以一般或特定形式(其可建構或描繪實體與有形物件)儲於儲存器。在若干實施例中,可由處理器執行該操縱。在如此範例中,該處理器因此使數據自一物轉換成另一物。更進一步,此方法可由一或多個機器或連接在網上的處理器處理。每一機器可使數據自一狀態轉換成另一狀態,且也可處理數據、使數據儲於儲存器、在網上遞送數據、顯示結果、或與另一機器傳達結果。
雖然本發明已藉由數個實施例敘述,應理解熟悉本技藝者研讀先前詳述及研究圖式時可在其中做各種各樣替換、增加、變更及等價動作。因此,意味著本發明包含落入本發明的真實精神及範圍內之所有如替代、增加、變更及等價動作。
100‧‧‧NMOS傳輸電晶體
102‧‧‧反相器
102A‧‧‧輸入端
102B‧‧‧輸出端
103‧‧‧位元線
104‧‧‧NMOS傳輸電晶體
105‧‧‧位元線
106‧‧‧反相器
106A‧‧‧輸入端
106B‧‧‧輸出端
107‧‧‧字元線
109‧‧‧節點
111‧‧‧節點
113‧‧‧NMOS電晶體
115 ‧‧‧PMOS電晶體
117‧‧‧電源供應器
119‧‧‧接地電位
121‧‧‧PMOS電晶體
123‧‧‧NMOS電晶體
209A‧‧‧上拉邏輯電路
209B‧‧‧上拉邏輯電路
211A‧‧‧下拉邏輯電路
211B‧‧‧下拉邏輯電路
301A‧‧‧閘極軌道
301A-1‧‧‧邏輯閘階層特徵部布局通道
301B‧‧‧閘極軌道
301B-1‧‧‧邏輯閘階層特徵部布局通道
301C‧‧‧閘極軌道
301C-1‧‧‧邏輯閘階層特徵部布局通道
301D‧‧‧閘極軌道
301D-1‧‧‧邏輯閘階層特徵部布局通道
301E‧‧‧閘極軌道
301E-1‧‧‧邏輯閘階層特徵部布局通道
303‧‧‧擴散區
305‧‧‧擴散區
307‧‧‧閘極間距
309‧‧‧邏輯閘階層特徵部
311‧‧‧邏輯閘階層特徵部
313‧‧‧邏輯閘階層特徵部
315‧‧‧邏輯閘階層特徵部
317‧‧‧邏輯閘階層特徵部
319‧‧‧邏輯閘階層特徵部
321‧‧‧邏輯閘階層特徵部
323‧‧‧邏輯閘階層特徵部
401‧‧‧PMOS電晶體/第一PMOS電晶體
401A‧‧‧閘極
403‧‧‧PMOS電晶體/第二PMOS電晶體
403A‧‧‧閘極
405‧‧‧NMOS電晶體/第二NMOS電晶體
405A‧‧‧閘極
407‧‧‧NMOS電晶體/第一NMOS電晶體
407A‧‧‧閘極
448‧‧‧閘極軌道
450‧‧‧閘極軌道
452‧‧‧閘極軌道
454‧‧‧閘極軌道
456‧‧‧閘極軌道
458‧‧‧閘極軌道
480‧‧‧p型擴散區
482‧‧‧p型擴散區
484‧‧‧n型擴散區
486‧‧‧n型擴散區
491‧‧‧閘極節點/控制節點/第一閘極節點/線/電連接裝置
493‧‧‧閘極節點/控制節點/第二閘極節點/線/電連接裝置
495‧‧‧共用節點/共用輸出節點/線/電連接裝置
1001‧‧‧閘極接觸點
1003‧‧‧第一金屬層結構
1005‧‧‧閘極接觸點
1007‧‧‧閘極接觸點
1009‧‧‧第一金屬層結構
1011‧‧‧閘極接觸點
1013‧‧‧擴散區接觸點
1015‧‧‧第一金屬層結構
1017‧‧‧擴散區接觸點
1019‧‧‧擴散區接觸點
1101‧‧‧閘極接觸點
1103‧‧‧第一金屬層結構
1105‧‧‧閘極接觸點
1107‧‧‧閘極接觸點
1109‧‧‧第一金屬層結構
1111‧‧‧介層孔
1113‧‧‧第二金屬層結構
1115‧‧‧介層孔
1117‧‧‧第一金屬層結構
1119‧‧‧閘極接觸點
1121‧‧‧擴散區接觸點
1123‧‧‧第一金屬層結構
1125‧‧‧擴散區接觸點
1127‧‧‧擴散區接觸點
1205‧‧‧擴散區接觸點
1207‧‧‧第一金屬層結構
1209‧‧‧擴散區接觸點
1220‧‧‧擴散區
1222‧‧‧擴散區
1224‧‧‧電連接裝置
1230‧‧‧擴散區
1232‧‧‧擴散區
1234‧‧‧電連接裝置
1303‧‧‧閘極接觸點
1305‧‧‧第一金屬層結構
1307‧‧‧閘極接觸點
1311‧‧‧擴散區接觸點
1313‧‧‧第一金屬層結構
1315‧‧‧擴散區接觸點
1401‧‧‧上拉邏輯電路
1401A‧‧‧PMOS電晶體
1403‧‧‧下拉邏輯電路
1403A‧‧‧NMOS電晶體
1405‧‧‧上拉邏輯電路
1405A‧‧‧PMOS電晶體
1407‧‧‧下拉邏輯電路
1407A‧‧‧NMOS電晶體
1411‧‧‧端
1413‧‧‧端
1415‧‧‧節點
1417‧‧‧端
1419‧‧‧端
1421‧‧‧節點
1431‧‧‧閘極接觸點
1433‧‧‧第一金屬層結構
1435‧‧‧介層孔
1436‧‧‧第二金屬層結構
1437‧‧‧介層孔
1439‧‧‧第一金屬層結構
1441‧‧‧閘極接觸點
1443‧‧‧閘極接觸點
1445‧‧‧閘極接觸點
1447‧‧‧第一金屬層結構
1449‧‧‧閘極接觸點
1451‧‧‧擴散區接觸點
1453‧‧‧第一金屬層結構
1455‧‧‧介層孔
1457‧‧‧第二金屬層結構
1459‧‧‧介層孔
1461‧‧‧第一金屬層結構
1463‧‧‧擴散區接觸點
1465‧‧‧閘極接觸點
1501‧‧‧閘極接觸點
1503‧‧‧第一金屬層結構
1505‧‧‧介層孔
1507‧‧‧第二金屬層結構
1509‧‧‧介層孔
1511‧‧‧第一金屬層結構
1513‧‧‧閘極接觸點
1515‧‧‧閘極接觸點
1517‧‧‧第一金屬層結構
1519‧‧‧閘極接觸點
1521‧‧‧擴散區接觸點
1523‧‧‧第一金屬層結構
1525‧‧‧介層孔
1527‧‧‧第二金屬層結構
1529‧‧‧介層孔
1531‧‧‧第一金屬層結構
1533‧‧‧擴散區接觸點
1535‧‧‧閘極接觸點
1539‧‧‧閘極接觸點
1601‧‧‧主動邏輯電路
1601A‧‧‧反相器
1601AL‧‧‧虛線
1602‧‧‧第一傳輸閘
1603‧‧‧主動邏輯電路
1603A‧‧‧反相器
1603AL‧‧‧虛線
1604‧‧‧第二傳輸閘
1605‧‧‧閘極接觸點
1607‧‧‧第一金屬層結構
1609‧‧‧介層孔
1611‧‧‧第二金屬層結構
1613‧‧‧介層孔
1615‧‧‧第一金屬層結構
1617‧‧‧閘極接觸點
1619‧‧‧閘極接觸點
1621‧‧‧第一金屬層結構
1623‧‧‧閘極接觸點
1625‧‧‧擴散區接觸點
1627‧‧‧第一金屬層結構
1629‧‧‧介層孔
1631‧‧‧第二金屬層結構
1633‧‧‧介層孔
1635‧‧‧第一金屬層結構
1637‧‧‧擴散區接觸點
1701‧‧‧主動邏輯電路
1701A‧‧‧反相器
1701AL‧‧‧虛線
1702‧‧‧傳輸閘
1703‧‧‧上拉邏輯電路
1703A‧‧‧PMOS電晶體
1705‧‧‧下拉邏輯電路
1705A‧‧‧NMOS電晶體
1707‧‧‧節點
1709‧‧‧閘極接觸點
1711‧‧‧第一金屬層結構
1713‧‧‧介層孔
1715‧‧‧第二金屬層結構
1717‧‧‧介層孔
1719‧‧‧第一金屬層結構
1721‧‧‧閘極接觸點
1723‧‧‧閘極接觸點
1725‧‧‧第一金屬層結構
1727‧‧‧閘極接觸點
1729‧‧‧擴散區接觸點
1731‧‧‧第一金屬層結構
1733‧‧‧介層孔
1735‧‧‧第二金屬層結構
1737‧‧‧介層孔
1739‧‧‧第一金屬層結構
1741‧‧‧擴散區接觸點
1743‧‧‧閘極接觸點
1801‧‧‧反相器
1801L ‧‧‧虛線
1803‧‧‧回饋節點
1804‧‧‧節點
1805‧‧‧上拉主動邏輯電路
1805A‧‧‧PMOS電晶體
1807‧‧‧下拉主動邏輯電路
1807A‧‧‧NMOS電晶體
1809‧‧‧上拉反饋邏輯電路
1809A‧‧‧PMOS電晶體
1811‧‧‧下拉反饋邏輯電路
1811A‧‧‧NMOS電晶體
1813‧‧‧閘極接觸點
1815‧‧‧第一金屬層結構
1817‧‧‧介層孔
1819‧‧‧第二金屬層結構
1821‧‧‧介層孔
1823‧‧‧第一金屬層結構
1825‧‧‧閘極接觸點
1827‧‧‧閘極接觸點
1829‧‧‧第一金屬層結構
1831‧‧‧閘極接觸點
1833‧‧‧擴散區接觸點
1835‧‧‧第一金屬層結構
1837‧‧‧介層孔
1839‧‧‧第二金屬層結構
1841‧‧‧介層孔
1843‧‧‧第一金屬層結構
1845‧‧‧擴散區接觸點
1901‧‧‧閘極接觸點
1903‧‧‧第一金屬層結構
1905‧‧‧介層孔
1907‧‧‧第二金屬層結構
1909‧‧‧介層孔
1911‧‧‧第一金屬層結構
1913‧‧‧閘極接觸點
1915‧‧‧閘極接觸點
1917‧‧‧第一金屬層結構
1919‧‧‧閘極接觸點
1921‧‧‧擴散區接觸點
1923‧‧‧第一金屬層結構
1925‧‧‧介層孔
1927‧‧‧第二金屬層結構
1929‧‧‧介層孔
1931‧‧‧第一金屬層結構
1933‧‧‧擴散區接觸點
2001‧‧‧閘極接觸點
2003‧‧‧第一金屬層結構
2005‧‧‧介層孔
2007‧‧‧第二金屬層結構
2009‧‧‧介層孔
2011‧‧‧第一金屬層結構
2013‧‧‧閘極接觸點
2015‧‧‧閘極接觸點
2017‧‧‧第一金屬層結構
2019‧‧‧閘極接觸點
2021‧‧‧擴散區接觸點
2023‧‧‧第一金屬層結構
2025‧‧‧擴散區接觸點
2101‧‧‧反饋節點
2103‧‧‧傳輸閘
2105‧‧‧傳輸閘
2107‧‧‧主動邏輯電路
2107A‧‧‧反相器
2107AL‧‧‧虛線
2109‧‧‧反饋邏輯電路
2109A‧‧‧反相器
2109AL‧‧‧虛線
2111‧‧‧閘極接觸點
2113‧‧‧第一金屬層結構
2115‧‧‧介層孔
2117‧‧‧第二金屬層結構
2119‧‧‧介層孔
2121‧‧‧第一金屬層結構
2123‧‧‧閘極接觸點
2125‧‧‧閘極接觸點
2127‧‧‧第一金屬層結構
2129‧‧‧閘極接觸點
2131‧‧‧擴散區接觸點
2133‧‧‧第一金屬層結構
2135‧‧‧介層孔
2137‧‧‧第二金屬層結構
2139‧‧‧介層孔
2141‧‧‧第一金屬層結構
2143‧‧‧擴散區接觸點
2201‧‧‧主動邏輯電路
2201A‧‧‧反相器
2201AL‧‧‧虛線
2203‧‧‧上拉反饋邏輯電路
2203A‧‧‧PMOS電晶體
2205‧‧‧下拉反饋邏輯電路
2205A‧‧‧NMOS電晶體
2207‧‧‧閘極接觸點
2209‧‧‧第一金屬層結構
2211‧‧‧介層孔
2213‧‧‧第二金屬層結構
2215‧‧‧介層孔
2217‧‧‧第一金屬層結構
2219‧‧‧閘極接觸點
2221‧‧‧閘極接觸點
2223‧‧‧第一金屬層結構
2225‧‧‧閘極接觸點
2227‧‧‧擴散區接觸點
2229‧‧‧第一金屬層結構
2231‧‧‧介層孔
2233‧‧‧第二金屬層結構
2235‧‧‧介層孔
2237‧‧‧第一金屬層結構
2239‧‧‧擴散區接觸點
VDD‧‧‧電源供應器
GND‧‧‧接地電位
圖1A顯示依據先前技藝的SRAM位元格電路。
圖1B顯示圖1A之依據先前技藝的SRAM位元格,其中展開反相器以顯現其各自內部的電晶體組態。
圖2顯示依據本發明之一實施例的交叉耦合電晶體組態。
圖3A顯示數個閘極軌道的範例,該等閘極軌道係依據本發明之一實施例而被定義在限制邏輯閘階層布局架構內。
圖3B顯示圖3A的示範性限制邏輯閘階層布局架構,其中依據本發明之一實施例在其中定義若干示範性邏輯閘階層特徵部。
圖4顯示依據本發明之一實施例之交叉耦合電晶體組態的擴散與邏輯閘階層布局。
圖5顯示圖4之交叉耦合電晶體組態的變體,其中在三條閘極軌道上定義帶有交叉閘極接觸點的交叉耦合電晶體組態。
圖6顯示圖4之交叉耦合電晶體組態的變體,其中在四條閘極軌道上定義帶有交叉閘極接觸點的交叉耦合電晶體組態。
圖7顯示圖4之交叉耦合電晶體組態的變體,其中在二條閘極軌道上定義無交叉閘極接觸點的交叉耦合電晶體組態。
圖8顯示圖4之交叉耦合電晶體組態的變體,其中在三條閘極軌道上定義無交叉閘極接觸點的交叉耦合電晶體組態。
圖9顯示圖4之交叉耦合電晶體組態的變體,其中在四條閘極軌道上定義無閘極接觸點的交叉耦合電晶體組態。
圖10顯示多層次布局,包括依據本發明之一實施例在三電極軌道上所定義帶有交叉閘極接觸點的交叉耦合電晶體組態。
圖11顯示多層次布局,包括依據本發明之一實施例在四電極軌道上所定義之帶有交叉閘極接觸點的交叉耦合電晶體組態。
圖12顯示多層次布局,包括依據本發明之一實施例在二電極軌道上所定義無交叉閘極接觸點的交叉耦合電晶體組態。
圖13顯示多層次布局,包括依據本發明之一實施例在三電極軌道上所定義無交叉閘極接觸點的交叉耦合電晶體組態。
圖14A顯示依據本發明之一實施例的一般多工器電路,其中四個交叉耦合電晶體皆直接與共用節點相連。
圖14B顯示依據本發明之一實施例之多工器電路(圖14A)的示範性實作,其帶有上拉邏輯電路及下拉邏輯電路的詳細視圖。
圖14C顯示依據本發明之一實施例之多工器電路(圖14B)的多層次布局,其使用限制邏輯閘階層布局架構的交叉耦合電晶體布局而實現。
圖15A顯示依據本發明之一實施例之圖14A的多工器電路,其中二交叉耦合電晶體仍直接與共用節點相連,且其中二交叉耦合電晶體各自相對於於共用節點,而置在上拉邏輯電路與下拉邏輯電路外側。
圖15B顯示依據本發明之一實施例之多工器電路(圖15A)的示範性實作,其帶有上拉邏輯電路及下拉邏輯電路的詳細視圖。
圖15C顯示依據本發明之一實施例之多工器電路(圖15B)的多層次布局,其使用限制邏輯閘階層布局架構的交叉耦合電晶體布局而實現。
圖16A顯示依據本發明之一實施例的一般多工器電路,其中連接交叉耦合電晶體,以形成連接共用節點的二傳輸閘。
圖16B顯示依據本發明之一實施例之多工器電路(圖16A)的示範性實作,其帶有主動邏輯電路的詳細視圖。
圖16C顯示依據本發明之一實施例之多工器電路(圖16B)的多層次布局,其使用限制邏輯閘階層布局架構的交叉耦合電晶體布局而實現。
圖17A顯示依據本發明之一實施例的一般多工器電路,其中使四交叉耦合電晶體中的二電晶體相連,以形成連接共用節點的傳輸閘。
圖17B顯示依據本發明之一實施例之多工器電路(圖17A)的示範性實作,其帶有主動邏輯電路的詳細視圖。
圖17C顯示依據本發明之一實施例之多工器電路(圖17B)的多層次布局,其使用限制邏輯閘階層布局架構的交叉耦合電晶體布局而實現。
圖18A顯示依據本發明一實施例之使用交叉耦合電晶體組態的一般鎖存電路。
圖18B顯示依據本發明之一實施例之鎖存電路(圖18A)的示範性實作,其帶有上拉主動邏輯電路、下拉主動邏輯電路、上拉反饋邏輯電路、與下拉反饋邏輯電路的詳細視圖。
圖18C顯示依據本發明之一實施例之鎖存電路(圖18B)的多層次布局,其使用限制邏輯閘階層布局架構的交叉耦合電晶體布局而實現。
圖19A顯示依據本發明之一實施例之圖18A的鎖存電路,其中二交叉耦合電晶體仍直接與共用節點相連,且其中二交叉耦合電晶體各自相對於於共用節點,而置在上拉主動邏輯電路與下拉主動邏輯電路外側。
圖19B顯示依據本發明之一實施例之鎖存電路(圖19A)的示範性實作,其帶有上拉主動邏輯電路、下拉主動邏輯電路、上拉反饋邏輯電路、與下拉反饋邏輯電路的詳細視圖。
圖19C顯示依據本發明之一實施例之鎖存電路(圖19B)的多層次布局,其使用限制邏輯閘階層布局架構的交叉耦合電晶體布局而實現。
圖20A顯示依據本發明之一實施例之圖18A的鎖存電路,其中二交叉耦合電晶體仍直接與共用節點相連,且其中二交叉耦合電晶體各自相對於於共用節點,而置在上拉反饋邏輯電路與下拉反饋邏輯電路外側。
圖20B顯示依據本發明之一實施例之鎖存電路(圖20A)的示範性實作,其帶有上拉主動邏輯電路、下拉主動邏輯電路、上拉反饋邏輯電路、與下拉反饋邏輯電路的詳細視圖。
圖20C顯示依據本發明之一實施例之鎖存電路(圖20B)的多層次布局,其使用限制邏輯閘階層布局架構的交叉耦合電晶體布局而實現。
圖21A顯示依據本發明之一實施例的一般鎖存電路,其中連接交叉耦合電晶體,以形成連接共用節點的二傳輸閘。
圖21B顯示依據本發明之一實施例之鎖存電路(圖21A)的示範性實作,其帶有主動邏輯電路與反饋邏輯電路的詳細視圖。
圖21C顯示依據本發明之一實施例之鎖存電路(圖21B)的多層次布局,其使用限制邏輯閘階層布局架構的交叉耦合電晶體布局而實現。
圖22A顯示依據本發明一實施例的一般鎖存電路,其中使四個交叉耦合電晶體中的二電晶體相連,以形成連接共用節點的傳輸閘。
圖22B顯示依據本發明之一實施例之鎖存電路(圖22A)的示範性實作,其帶有主動邏輯電路、上拉反饋邏輯電路、與下拉反饋邏輯電路的詳細視圖。
圖22C顯示依據本發明之一實施例之鎖存電路(圖22B)的多層次布局,其使用限制邏輯閘階層布局架構的交叉耦合電晶體布局而實現。
209A‧‧‧上拉邏輯電路
209B‧‧‧上拉邏輯電路
211A‧‧‧下拉邏輯電路
211B‧‧‧下拉邏輯電路
401‧‧‧PMOS電晶體/第一PMOS電晶體
403‧‧‧PMOS電晶體/第二PMOS電晶體
405‧‧‧NMOS電晶體/第二NMOS電晶體
407‧‧‧NMOS電晶體/第一NMOS電晶體
491‧‧‧閘極節點/控制節點/第一閘極節點/線/電連接裝置
493‧‧‧閘極節點/控制節點/第二閘極節點/線/電連接裝置
495‧‧‧共用節點/共用輸出節點/線/電連接裝置

Claims (30)

  1. 一種半導體晶片,包含: 一區域,包含複數電晶體,該區域中的該複數電晶體其中每一者形成與一或更多邏輯函數之執行相關的一數位邏輯電路之部份,該區域包含形成於該半導體晶片內的至少五導電結構,該至少五導電結構其中一些者形成至少一電晶體閘極, 該至少五導電結構其中每一者分別具有對應的一頂表面,其中對應的該頂表面之週邊的整體係由對應的一第一端、對應的一第二端、對應的一第一邊緣、及對應的一第二邊緣所定義,使得沿著對應的該頂表面之週邊的整體的一總距離等於沿著對應的該第一邊緣的一總距離與沿著對應的該第二邊緣的一總距離與沿著對應的該第一端的一總距離與沿著對應的該第二端的一總距離之總和, 其中沿著對應的該第一邊緣的該總距離大於沿著對應的該第一端的該總距離之兩倍, 其中沿著對應的該第一邊緣的該總距離大於沿著對應的該第二端的該總距離之兩倍, 其中沿著對應的該第二邊緣的該總距離大於沿著對應的該第一端的該總距離之兩倍, 其中沿著對應的該第二邊緣的該總距離大於沿著對應的該第二端的該總距離之兩倍, 其中對應的該第一端從對應的該第一邊緣延伸至對應的該第二邊緣且實質上位於在對應的該第一邊緣與對應的該第二邊緣之間的空間內, 其中對應的該第二端從對應的該第一邊緣延伸至對應的該第二邊緣且實質上位於在對應的該第一邊緣與對應的該第二邊緣之間的空間內, 該至少五導電結構的該等頂表面彼此係共平面, 該至少五導電結構其中每一者具有沿著其頂表面定向在一第一方向上且從其第一端延伸至其第二端之對應的一縱向中線, 該至少五導電結構其中每一者具有沿著其縱向中線從其第一端量測至其第二端的一長度, 其中該至少五導電結構其中每一者的該第一邊緣係實質上為直的, 其中該至少五導電結構其中每一者的該第二邊緣係實質上為直的, 該至少五導電結構其中每一者其第一邊緣及其第二邊緣皆定向為實質上平行於其縱向中線, 該至少五導電結構其中每一者具有在其縱向中線之中點於垂直於該第一方向的一第二方向上所量測的一寬度, 該第一方向及該第二方向其中每一者係定向為實質上平行於該至少五導電結構之共平面的該等頂表面, 其中該至少五導電結構包含一第一導電結構,該第一導電結構包含形成一第一電晶體類型的一第一電晶體的一閘極之一部分,該第一導電結構亦包含形成一第二電晶體類型的一第一電晶體的一閘極之一部分, 其中該至少五導電結構包含一第二導電結構,該第二導電結構包含形成該第一電晶體類型的一第二電晶體的一閘極之一部分,其中任何由該第二導電結構所形成之閘極係該第一電晶體類型, 其中該至少五導電結構包含一第三導電結構,該第三導電結構包含形成該第二電晶體類型的一第二電晶體的一閘極之一部分,其中任何由該第三導電結構所形成之閘極係該第二電晶體類型, 其中該第一導電結構係以垂直於該第一方向的該第二方向設置在該第二導電結構與該第三導電結構之間, 其中該第一電晶體類型的一第三電晶體的一閘極係由該至少五導電結構其中一者形成, 其中該第二電晶體類型的一第三電晶體的一閘極係由該至少五導電結構其中一者形成, 其中該第一電晶體類型的一第四電晶體的一閘極係由該至少五導電結構其中一者形成, 其中該第二電晶體類型的一第四電晶體的一閘極係由該至少五導電結構其中一者形成, 其中具有由該至少五導電結構其中任何者所形成之閘極的該第一電晶體類型的每一電晶體係包含在一第一電晶體群集中,且其中具有由該至少五導電結構其中任何者所形成之閘極的該第二電晶體類型的每一電晶體係包含在一第二電晶體群集中,其中該第一電晶體群集與該第二電晶體群集係藉由該區域的一內部子區域而分隔,其中該內部子區域不包含任何電晶體之源極或汲極, 其中該第一電晶體類型的該第一電晶體及該第二電晶體係設置為彼此毗鄰, 其中該第一電晶體類型的該第一電晶體包含一第一擴散端,且該第一電晶體類型的該第二電晶體包含一第一擴散端,該第一電晶體類型的該第一電晶體的該第一擴散端物理且電連接至該第一電晶體類型的該第二電晶體的該第一擴散端,該第一電晶體類型的該第一電晶體的該第一擴散端亦電連接至一共用節點,該第一電晶體類型的該第二電晶體的該第一擴散端亦電連接至該共用節點, 其中該第二電晶體類型的該第一電晶體及該第二電晶體係設置為彼此毗鄰, 其中該第二電晶體類型的該第一電晶體包含一第一擴散端,且該第二電晶體類型的該第二電晶體包含一第一擴散端,該第二電晶體類型的該第一電晶體的該第一擴散端物理且電連接至該第二電晶體類型的該第二電晶體的該第一擴散端,該第二電晶體類型的該第一電晶體的該第一擴散端亦電連接至該共用節點,該第二電晶體類型的該第二電晶體的該第一擴散端亦電連接至該共用節點, 其中該第一電晶體類型的該第一電晶體包含一第二擴散端,且該第一電晶體類型的該第三電晶體包含一第一擴散端,該第一電晶體類型的該第三電晶體的該第一擴散端電連接至該第一電晶體類型的該第一電晶體的該第二擴散端, 其中該第一電晶體類型的該第二電晶體包含一第二擴散端,且該第一電晶體類型的該第四電晶體包含一第一擴散端,該第一電晶體類型的該第四電晶體的該第一擴散端電連接至該第一電晶體類型的該第二電晶體的該第二擴散端, 其中該第二電晶體類型的該第一電晶體包含一第二擴散端,且該第二電晶體類型的該第三電晶體包含一第一擴散端,該第二電晶體類型的該第三電晶體的該第一擴散端電連接至該第二電晶體類型的該第一電晶體的該第二擴散端, 其中該第二電晶體類型的該第二電晶體包含一第二擴散端,且該第二電晶體類型的該第四電晶體包含一第一擴散端,該第二電晶體類型的該第四電晶體的該第一擴散端電連接至該第二電晶體類型的該第二電晶體的該第二擴散端, 其中該第一電晶體類型的該第三電晶體的該閘極係電連接至該第二電晶體類型的該第四電晶體的該閘極, 其中該第二電晶體類型的該第三電晶體的該閘極電連接至該第一電晶體類型的該第四電晶體的該閘極, 其中該第一導電結構包含與該數位邏輯電路之另一導電結構物理連接的一電連接區域,該第一導電結構的該電連接區域為該第一導電結構在該半導體晶片之基板上方與該數位邏輯電路之另一導電結構物理連接的唯一部分, 其中該第二導電結構包含與該數位邏輯電路之另一導電結構物理連接的一電連接區域,該第二導電結構的該電連接區域為該第二導電結構在該半導體晶片之該基板上方與該數位邏輯電路之另一導電結構物理連接的唯一部分, 其中該第三導電結構包含與該數位邏輯電路之另一導電結構物理連接的一電連接區域,該第三導電結構的該電連接區域為該第三導電結構在該半導體晶片之該基板上方與該數位邏輯電路之另一導電結構物理連接的唯一部分, 其中與該第二導電結構的該電連接區域及該第三導電結構的該電連接區域其中一者或兩者物理接觸的任何導電結構形成在該第二導電結構與該第三導電結構之間的一電連接之部分; 一第一互連導電結構,位於該半導體晶片的一第一互連晶片階層內,該第一互連晶片階層係形成於該半導體晶片之包含該至少五導電結構的一階層上方,該第一互連導電結構的一部分係設置在該第一導電結構的該電連接區域上方,該第一互連導電結構的該部分係電連接至該第一導電結構; 一第二互連導電結構,位於該半導體晶片的該第一互連晶片階層內,該第二互連導電結構的一部分係設置在該第二導電結構的該電連接區域上方,該第二互連導電結構的該部分係電連接至該第二導電結構;及 一第三互連導電結構,位於該半導體晶片的該第一互連晶片階層內,該第三互連導電結構的一部分係設置在該第三導電結構的該電連接區域上方,該第三互連導電結構的該部分係電連接至該第三導電結構。
  2. 如申請專利範圍第1項之半導體晶片,其中該至少五導電結構包含一第四導電結構,該第四導電結構包含形成該第一電晶體類型的該第三電晶體的該閘極之一部分,且其中該第四導電結構亦包含形成該第二電晶體類型的該第四電晶體的該閘極之一部分, 其中該至少五導電結構包含一第五導電結構,該第五導電結構包含形成該第一電晶體類型的該第四電晶體的該閘極之一部分,且其中該第五導電結構亦包含形成該第二電晶體類型的該第三電晶體的該閘極之一部分, 其中該第一導電結構的該縱向中線與該第二導電結構的該縱向中線係藉由於該第二方向上所量測的一第一間距而間隔, 其中該第一導電結構的該縱向中線與該第三導電結構的該縱向中線係藉由於該第二方向上所量測的該第一間距而間隔, 其中該第二導電結構的該縱向中線與該第五導電結構的該縱向中線係藉由於該第二方向上所量測的該第一間距而間隔, 其中該第三導電結構的該縱向中線與該第四導電結構的該縱向中線係藉由於該第二方向上所量測的該第一間距而間隔, 其中該第一電晶體類型的該第二電晶體的該第二擴散端係物理連接至該第一電晶體類型的該第四電晶體的該第一擴散端, 其中該第二電晶體類型的該第二電晶體的該第二擴散端係物理連接至該第二電晶體類型的該第四電晶體的該第一擴散端, 其中該第一導電結構的該縱向中線與該第四導電結構的該縱向中線係藉由於該第二方向上所量測的一第二間距而間隔,該第二間距等於該第一間距的兩倍, 其中該第一導電結構的該縱向中線與該第五導電結構的該縱向中線係藉由於該第二方向上所量測的該第二間距而間隔,且 其中該第一導電結構、該第二導電結構、該第三導電結構、該第四導電結構、及該第五導電結構其中每一者於該第二方向上所量測之寬度係小於193奈米。
  3. 如申請專利範圍第2項之半導體晶片,其中下述條件中至少一者成立,該等條件為: A) 該第一電晶體類型的該第三電晶體的該第一擴散端係透過形成於在該半導體晶片的一擴散階層上方之該半導體晶片的一階層中之至少一導電結構而電連接至該第一電晶體類型的該第一電晶體的該第二擴散端,或 B) 該第二電晶體類型的該第三電晶體的該第一擴散端係透過形成於在該半導體晶片的該擴散階層上方之該半導體晶片的一階層中之至少一導電結構而電連接至該第二電晶體類型的該第一電晶體的該第二擴散端,或 A)及B)皆成立。
  4. 如申請專利範圍第3項之半導體晶片,其中該至少五導電結構包含不形成任何電晶體之閘極的一第六導電結構, 其中該第六導電結構係以與該至少五導電結構其中多個毗鄰設置者並排之方式設置,使得在該第六導電結構的該縱向中線與該至少五導電結構其中該多個毗鄰設置者其中每一者之縱向中線之間於該第二方向上所量測到的一距離係等於該第一間距, 其中該第六導電結構的該寬度係實質上等於該至少五導電結構其中該多個毗鄰設置者其中至少一者之寬度, 其中該至少五導電結構其中該多個毗鄰設置者其中至少一者形成一電晶體的至少一閘極,且 其中下述條件中至少一者成立,該等條件為: C) 該第六導電結構的該第一端係實質上設置於在該第二方向上延伸的一第一線上,且形成該第一電晶體類型的一電晶體的一閘極之該至少五導電結構其中另一者的一端亦係實質上設置於在該第二方向上延伸的該第一線上,或 D) 該第六導電結構的該第二端係實質上設置於在該第二方向上延伸的一第二線上,且形成該第二電晶體類型的一電晶體的一閘極之該至少五導電結構其中另一者的一端亦係實質上設置於在該第二方向上延伸的該第二線上,或 C)及D)皆成立。
  5. 如申請專利範圍第4項之半導體晶片,其中該共用節點包含了若干導電結構,該若干導電結構包含在該半導體晶片的該第一互連晶片階層中之至少一互連導電結構, 其中該第一導電結構的該電連接區域延伸通過於該第一方向上量測的一第一距離,該第一距離的一中點對應於該第一導電結構的該電連接區域的一第一方向中點,該第一導電結構的該電連接區域具有於該第二方向上延伸穿過該第一導電結構的該電連接區域的該第一方向中點之一第二方向定向之中線, 其中該第二導電結構的該電連接區域延伸通過於該第一方向上量測的一第二距離,該第二距離的一中點對應於該第二導電結構的該電連接區域的一第一方向中點,該第二導電結構的該電連接區域具有於該第二方向上延伸穿過該第二導電結構的該電連接區域的該第一方向中點之一第二方向定向之中線, 其中該第三導電結構的該電連接區域延伸通過於該第一方向上量測的一第三距離,該第三距離的一中點對應於該第三導電結構的該電連接區域的一第一方向中點,該第三導電結構的該電連接區域具有於該第二方向上延伸穿過該第三導電結構的該電連接區域的該第一方向中點之一第二方向定向之中線,且 其中該第二導電結構的該電連接區域的該第二方向定向之中線係實質上對齊於該第三導電結構的該電連接區域的該第二方向定向之中線。
  6. 如申請專利範圍第5項之半導體晶片,其中該第二導電結構的該長度係實質上等於該第一導電結構的該長度。
  7. 如申請專利範圍第6項之半導體晶片,其中該數位邏輯電路包含由若干互連晶片階層其中一或更多者內之多個互連導電結構其中一或更多者所形成的複數電連接,該若干互連晶片階層包含該第一互連晶片階層及在該第一互連晶片階層上方的任何互連晶片階層, 其中形成該數位邏輯電路內的任何電連接之部分的該多個互連導電結構其中每一者係配置成具有對應的一上表面,其中對應的該上表面之週邊的整體係由對應的一第一端、對應的一第二端、對應的一第一邊緣、及對應的一第二邊緣所定義,使得沿著對應的該上表面之週邊的整體的一總距離等於沿著對應的該第一邊緣的一總距離與沿著對應的該第二邊緣的一總距離與沿著對應的該第一端的一總距離與沿著對應的該第二端的一總距離之總和,其中對應的該第一端從對應的該第一邊緣延伸至對應的該第二邊緣且實質上位於在對應的該第一邊緣與對應的該第二邊緣之間的空間內,其中對應的該第二端從對應的該第一邊緣延伸至對應的該第二邊緣且實質上位於在對應的該第一邊緣與對應的該第二邊緣之間的該空間內,其中對應的該第一邊緣係實質上為直的,且其中對應的該第二邊緣係實質上為直的,且 其中形成該數位邏輯電路內的任何電連接之部分的該多個互連導電結構其中每一者係配置成具有沿其上表面從其第一端延伸至其第二端且定向為實質上平行於其第一邊緣及其第二邊緣之對應的一縱向中線。
  8. 如申請專利範圍第7項之半導體晶片,更包含: 一第一閘極接觸點,與該第一導電結構的該電連接區域物理連接,該第一閘極接觸點係配置成從該第一導電結構的該電連接區域延伸穿過一介電材料以物理接觸位於該第一導電結構的該電連接區域上方之另一導電結構的一部分; 一第二閘極接觸點,與該第二導電結構的該電連接區域物理連接,該第二閘極接觸點係配置成從該第二導電結構的該電連接區域延伸穿過一介電材料以物理接觸位於該第二導電結構的該電連接區域上方之另一導電結構的一部分;及 一第三閘極接觸點,與該第三導電結構的該電連接區域物理連接,該第三閘極接觸點係配置成從該第三導電結構的該電連接區域延伸穿過一介電材料以物理接觸位於該第三導電結構的該電連接區域上方之另一導電結構的一部分。
  9. 如申請專利範圍第4項之半導體晶片,其中該第四導電結構包含與該數位邏輯電路之另一導電結構物理連接的一電連接區域,該第四導電結構的該電連接區域為該第四導電結構在該半導體晶片之該基板上方與該數位邏輯電路之另一導電結構物理連接的唯一部分, 其中該第五導電結構包含與該數位邏輯電路之另一導電結構物理連接的一電連接區域,該第五導電結構的該電連接區域為該第五導電結構在該半導體晶片之該基板上方與該數位邏輯電路之另一導電結構物理連接的唯一部分, 其中該第二導電結構的該長度係實質上等於該第一導電結構的該長度,且 其中該數位邏輯電路係包含在一單一布局格(single layout cell)中。
  10. 如申請專利範圍第9項之半導體晶片,更包含: 一第一閘極接觸點,與該第一導電結構的該電連接區域物理連接,該第一閘極接觸點係配置成從該第一導電結構的該電連接區域延伸穿過一介電材料以物理接觸位於該第一導電結構的該電連接區域上方之另一導電結構的一部分; 一第二閘極接觸點,與該第二導電結構的該電連接區域物理連接,該第二閘極接觸點係配置成從該第二導電結構的該電連接區域延伸穿過一介電材料以物理接觸位於該第二導電結構的該電連接區域上方之另一導電結構的一部分;及 一第三閘極接觸點,與該第三導電結構的該電連接區域物理連接,該第三閘極接觸點係配置成從該第三導電結構的該電連接區域延伸穿過一介電材料以物理接觸位於該第三導電結構的該電連接區域上方之另一導電結構的一部分。
  11. 如申請專利範圍第4項之半導體晶片,其中該第一導電結構的該寬度係小於34奈米, 其中該第二導電結構的該寬度係小於34奈米, 其中該第三導電結構的該寬度係小於34奈米, 其中該第四導電結構的該寬度係小於34奈米,且 其中該第五導電結構的該寬度係小於34奈米。
  12. 如申請專利範圍第1項之半導體晶片,其中該至少五導電結構包含一第四導電結構,該第四導電結構包含形成該第一電晶體類型的該第三電晶體的該閘極之一部分,且其中該第四導電結構亦包含形成該第二電晶體類型的該第四電晶體的該閘極之一部分,其中該第四導電結構包含與該數位邏輯電路之另一導電結構物理連接的一電連接區域,該第四導電結構的該電連接區域為該第四導電結構在該半導體晶片之該基板上方與該數位邏輯電路之另一導電結構物理連接的唯一部分, 其中該至少五導電結構包含一第五導電結構,該第五導電結構包含形成該第一電晶體類型的該第四電晶體的該閘極之一部分,且其中該第五導電結構亦包含形成該第二電晶體類型的該第三電晶體的該閘極之一部分,其中該第五導電結構包含與該數位邏輯電路之另一導電結構物理連接的一電連接區域,該第五導電結構的該電連接區域為該第五導電結構在該半導體晶片之該基板上方與該數位邏輯電路之另一導電結構物理連接的唯一部分, 其中該第一、第二、第三、第四、及第五導電結構其中任何者之縱向中線係藉由在該第二方向上量所量測的一固定間距的一整數倍數而與該第一、第二、第三、第四、及第五導電結構其中任何其他者之縱向中線分隔, 其中該第一導電結構的該寬度係小於193奈米, 其中該第二導電結構的該寬度係小於193奈米, 其中該第三導電結構的該寬度係小於193奈米, 其中該第四導電結構的該寬度係小於193奈米, 其中該第五導電結構的該寬度係小於193奈米, 該半導體晶片包含一第一閘極接觸點,該第一閘極接觸點與該第一導電結構的該電連接區域物理連接,該第一閘極接觸點係配置成從該第一導電結構的該電連接區域延伸穿過一介電材料以物理接觸位於該第一導電結構的該電連接區域上方之另一導電結構的一部分, 該半導體晶片包含一第二閘極接觸點,該第二閘極接觸點與該第二導電結構的該電連接區域物理連接,該第二閘極接觸點係配置成從該第二導電結構的該電連接區域延伸穿過一介電材料以物理接觸位於該第二導電結構的該電連接區域上方之另一導電結構的一部分, 該半導體晶片包含一第三閘極接觸點,該第三閘極接觸點與該第三導電結構的該電連接區域物理連接,該第三閘極接觸點係配置成從該第三導電結構的該電連接區域延伸穿過一介電材料以物理接觸位於該第三導電結構的該電連接區域上方之另一導電結構的一部分, 該半導體晶片包含一第四閘極接觸點,該第四閘極接觸點與該第四導電結構的該電連接區域物理連接,該第四閘極接觸點係配置成從該第四導電結構的該電連接區域延伸穿過一介電材料以物理接觸位於該第四導電結構的該電連接區域上方之另一導電結構的一部分,且 該半導體晶片包含一第五閘極接觸點,該第五閘極接觸點與該第五導電結構的該電連接區域物理連接,該第五閘極接觸點係配置成從該第五導電結構的該電連接區域延伸穿過一介電材料以物理接觸位於該第五導電結構的該電連接區域上方之另一導電結構的一部分。
  13. 如申請專利範圍第12項之半導體晶片,其中該至少五導電結構包含不形成任何電晶體之閘極的一第六導電結構, 其中該第六導電結構係以與該至少五導電結構其中多個毗鄰設置者並排之方式設置,使得在該第六導電結構的該縱向中線與該至少五導電結構其中該多個毗鄰設置者其中每一者之縱向中線之間於該第二方向上所量測到的一距離係等於該第一間距, 其中該第六導電結構的該寬度係實質上等於該至少五導電結構其中該多個毗鄰設置者其中至少一者之寬度, 其中該至少五導電結構其中該多個毗鄰設置者其中至少一者形成一電晶體的至少一閘極,且 其中下述條件中至少一者成立,該等條件為: A) 該第六導電結構的該第一端係實質上設置於在該第二方向上延伸的一第一線上,且形成該第一電晶體類型的一電晶體的一閘極之該至少五導電結構其中另一者的一端亦係實質上設置於在該第二方向上延伸的該第一線上,或 B) 該第六導電結構的該第二端係實質上設置於在該第二方向上延伸的一第二線上,且形成該第二電晶體類型的一電晶體的一閘極之該至少五導電結構其中另一者的一端亦係實質上設置於在該第二方向上延伸的該第二線上,或 A)及B)皆成立。
  14. 如申請專利範圍第13項之半導體晶片,其中該數位邏輯電路包含由若干互連晶片階層其中一或更多者內之多個互連導電結構其中一或更多者所形成的複數電連接,該若干互連晶片階層包含該第一互連晶片階層及在該第一互連晶片階層上方的任何互連晶片階層, 其中形成該數位邏輯電路內的任何電連接之部分的該多個互連導電結構其中每一者係配置成具有對應的一上表面,其中對應的該上表面之週邊的整體係由對應的一第一端、對應的一第二端、對應的一第一邊緣、及對應的一第二邊緣所定義,使得沿著對應的該上表面之週邊的整體的一總距離等於沿著對應的該第一邊緣的一總距離與沿著對應的該第二邊緣的一總距離與沿著對應的該第一端的一總距離與沿著對應的該第二端的一總距離之總和,其中對應的該第一端從對應的該第一邊緣延伸至對應的該第二邊緣且實質上位於在對應的該第一邊緣與對應的該第二邊緣之間的空間內,其中對應的該第二端從對應的該第一邊緣延伸至對應的該第二邊緣且實質上位於在對應的該第一邊緣與對應的該第二邊緣之間的該空間內,其中對應的該第一邊緣係實質上為直的,且其中對應的該第二邊緣係實質上為直的,且 其中形成該數位邏輯電路內的任何電連接之部分的該多個互連導電結構其中每一者係配置成具有沿其上表面從其第一端延伸至其第二端且定向為實質上平行於其第一邊緣及其第二邊緣之對應的一縱向中線。
  15. 如申請專利範圍第1項之半導體晶片,其中該至少五導電結構包含一第一延伸類型的至少一導電結構,用以形成該第一電晶體類型的至少一電晶體的至少一閘極,其中具有由該第一延伸類型的該至少一導電結構所形成之閘極的任何電晶體係該第一電晶體類型,其中該第一延伸類型的該至少一導電結構在該第一方向上縱向延伸穿過該區域的該內部子區域並完全通過該第二電晶體類型之至少一電晶體之一擴散端,且 其中該至少五導電結構包含一第二延伸類型的至少一導電結構,用以形成該第二電晶體類型的至少一電晶體的至少一閘極,其中具有由該第二延伸類型的該至少一導電結構所形成之閘極的任何電晶體係該第二電晶體類型,其中該第二延伸類型的該至少一導電結構在該第一方向上縱向延伸穿過該區域的該內部子區域且完全通過該第一電晶體類型之至少一電晶體之一擴散端。
  16. 如申請專利範圍第15項之半導體晶片,其中該第一延伸類型之該至少一導電結構係該第二導電結構,或該第二延伸類型之該至少一導電結構係該第三導電結構。
  17. 如申請專利範圍第16項之半導體晶片,其中該第一延伸類型的該至少一導電結構在該第一方向上縱向延伸於該第二電晶體類型之電晶體的至少二擴散端之間,或 其中該第二延伸類型的該至少一導電結構在該第一方向上縱向延伸於該第一電晶體類型之電晶體的至少二擴散端之間,或 其中該第一延伸類型的該至少一導電結構在該第一方向上縱向延伸於該第二電晶體類型之電晶體的至少二擴散端之間且該第二延伸類型的該至少一導電結構在該第一方向上縱向延伸於該第一電晶體類型之電晶體的至少二擴散端之間。
  18. 如申請專利範圍第17項之半導體晶片,其中該第一延伸類型之該至少一導電結構係該第二導電結構,該第二導電結構在該第一方向上縱向延伸於該第二電晶體類型之電晶體的至少二擴散端之間,且 其中該第二延伸類型之該至少一導電結構係該第三導電結構,該第三導電結構在該第一方向上縱向延伸於該第一電晶體類型之電晶體的至少二擴散端之間。
  19. 如申請專利範圍第18項之半導體晶片,其中該至少五導電結構包含一第四導電結構,該第四導電結構包含形成該第一電晶體類型的該第三電晶體的該閘極之一部分,且其中該第四導電結構亦包含形成該第二電晶體類型的該第四電晶體的該閘極之一部分, 其中該至少五導電結構包含一第五導電結構,該第五導電結構包含形成該第一電晶體類型的該第四電晶體的該閘極之一部分,且其中該第五導電結構亦包含形成該第二電晶體類型的該第三電晶體的該閘極之一部分, 其中該第一導電結構的該縱向中線與該第二導電結構的該縱向中線係藉由於該第二方向上所量測的一第一間距而間隔, 其中該第一導電結構的該縱向中線與該第三導電結構的該縱向中線係藉由於該第二方向上所量測的該第一間距而間隔, 其中該第二導電結構的該縱向中線與該第五導電結構的該縱向中線係藉由於該第二方向上所量測的該第一間距而間隔, 其中該第三導電結構的該縱向中線與該第四導電結構的該縱向中線係藉由於該第二方向上所量測的該第一間距而間隔, 其中該第一電晶體類型的該第二電晶體的該第二擴散端係物理連接至該第一電晶體類型的該第四電晶體的該第一擴散端, 其中該第二電晶體類型的該第二電晶體的該第二擴散端係物理連接至該第二電晶體類型的該第四電晶體的該第一擴散端, 其中該第一導電結構的該縱向中線與該第四導電結構的該縱向中線係藉由於該第二方向上所量測的一第二間距而間隔,該第二間距等於該第一間距的兩倍, 其中該第一導電結構的該縱向中線與該第五導電結構的該縱向中線係藉由於該第二方向上所量測的該第二間距而間隔,且 其中該第一導電結構、該第二導電結構、該第三導電結構、該第四導電結構、及該第五導電結構其中每一者於該第二方向上所量測之寬度係小於193奈米。
  20. 如申請專利範圍第19項之半導體晶片,其中該數位邏輯電路包含由若干互連晶片階層其中一或更多者內之多個互連導電結構其中一或更多者所形成的複數電連接,該若干互連晶片階層包含該第一互連晶片階層及在該第一互連晶片階層上方的任何互連晶片階層, 其中形成該數位邏輯電路內的任何電連接之部分的該多個互連導電結構其中每一者係配置成具有對應的一上表面,其中對應的該上表面之週邊的整體係由對應的一第一端、對應的一第二端、對應的一第一邊緣、及對應的一第二邊緣所定義,使得沿著對應的該上表面之週邊的整體的一總距離等於沿著對應的該第一邊緣的一總距離與沿著對應的該第二邊緣的一總距離與沿著對應的該第一端的一總距離與沿著對應的該第二端的一總距離之總和,其中對應的該第一端從對應的該第一邊緣延伸至對應的該第二邊緣且實質上位於在對應的該第一邊緣與對應的該第二邊緣之間的空間內,其中對應的該第二端從對應的該第一邊緣延伸至對應的該第二邊緣且實質上位於在對應的該第一邊緣與對應的該第二邊緣之間的該空間內,其中對應的該第一邊緣係實質上為直的,且其中對應的該第二邊緣係實質上為直的,且 其中形成該數位邏輯電路內的任何電連接之部分的該多個互連導電結構其中每一者係配置成具有沿其上表面從其第一端延伸至其第二端且定向為實質上平行於其第一邊緣及其第二邊緣之對應的一縱向中線。
  21. 如申請專利範圍第19項之半導體晶片,更包含 一第一閘極接觸點,與該第一導電結構的該電連接區域物理連接,該第一閘極接觸點係配置成從該第一導電結構的該電連接區域延伸穿過一介電材料以物理接觸位於該第一導電結構的該電連接區域上方之另一導電結構的一部分; 一第二閘極接觸點,與該第二導電結構的該電連接區域物理連接,該第二閘極接觸點係配置成從該第二導電結構的該電連接區域延伸穿過一介電材料以物理接觸位於該第二導電結構的該電連接區域上方之另一導電結構的一部分;及 一第三閘極接觸點,與該第三導電結構的該電連接區域物理連接,該第三閘極接觸點係配置成從該第三導電結構的該電連接區域延伸穿過一介電材料以物理接觸位於該第三導電結構的該電連接區域上方之另一導電結構的一部分。
  22. 如申請專利範圍第16項之半導體晶片,其中該至少五導電結構包含一第四導電結構,該第四導電結構包含形成該第一電晶體類型的該第三電晶體的該閘極之一部分,且其中該第四導電結構亦包含形成該第二電晶體類型的該第四電晶體的該閘極之一部分, 其中該至少五導電結構包含一第五導電結構,該第五導電結構包含形成該第一電晶體類型的該第四電晶體的該閘極之一部分,且其中該第五導電結構亦包含形成該第二電晶體類型的該第三電晶體的該閘極之一部分, 其中該第一導電結構的該縱向中線與該第二導電結構的該縱向中線係藉由於該第二方向上所量測的一第一間距而間隔, 其中該第一導電結構的該縱向中線與該第三導電結構的該縱向中線係藉由於該第二方向上所量測的該第一間距而間隔, 其中該第二導電結構的該縱向中線與該第五導電結構的該縱向中線係藉由於該第二方向上所量測的該第一間距而間隔, 其中該第三導電結構的該縱向中線與該第四導電結構的該縱向中線係藉由於該第二方向上所量測的該第一間距而間隔, 其中該第一電晶體類型的該第二電晶體的該第二擴散端係物理連接至該第一電晶體類型的該第四電晶體的該第一擴散端, 其中該第二電晶體類型的該第二電晶體的該第二擴散端係物理連接至該第二電晶體類型的該第四電晶體的該第一擴散端, 其中該第一導電結構的該縱向中線與該第四導電結構的該縱向中線係藉由於該第二方向上所量測的一第二間距而間隔,該第二間距等於該第一間距的兩倍, 其中該第一導電結構的該縱向中線與該第五導電結構的該縱向中線係藉由於該第二方向上所量測的該第二間距而間隔,且 其中該第一導電結構、該第二導電結構、該第三導電結構、該第四導電結構、及該第五導電結構其中每一者於該第二方向上所量測之寬度係小於193奈米。
  23. 如申請專利範圍第22項之半導體晶片,其中該共用節點包含若干導電結構,該若干導電結構包含在該半導體晶片之該第一互連晶片階層內的至少一互連導電結構。
  24. 如申請專利範圍第23項之半導體晶片,其中該第一導電結構的該電連接區域延伸通過於該第一方向上量測的一第一距離,該第一距離的一中點對應於該第一導電結構的該電連接區域的一第一方向中點,該第一導電結構的該電連接區域具有於該第二方向上延伸穿過該第一導電結構的該電連接區域的該第一方向中點之一第二方向定向之中線, 其中該第二導電結構的該電連接區域延伸通過於該第一方向上量測的一第二距離,該第二距離的一中點對應於該第二導電結構的該電連接區域的一第一方向中點,該第二導電結構的該電連接區域具有於該第二方向上延伸穿過該第二導電結構的該電連接區域的該第一方向中點之一第二方向定向之中線, 其中該第三導電結構的該電連接區域延伸通過於該第一方向上量測的一第三距離,該第三距離的一中點對應於該第三導電結構的該電連接區域的一第一方向中點,該第三導電結構的該電連接區域具有於該第二方向上延伸穿過該第三導電結構的該電連接區域的該第一方向中點之一第二方向定向之中線,且 其中該第二導電結構的該電連接區域的該第二方向定向之中線係實質上對齊於該第三導電結構的該電連接區域的該第二方向定向之中線。
  25. 如申請專利範圍第24項之半導體晶片,更包含: 一第一閘極接觸點,與該第一導電結構的該電連接區域物理連接,該第一閘極接觸點係配置成從該第一導電結構的該電連接區域延伸穿過一介電材料以物理接觸位於該第一導電結構的該電連接區域上方之另一導電結構的一部分; 一第二閘極接觸點,與該第二導電結構的該電連接區域物理連接,該第二閘極接觸點係配置成從該第二導電結構的該電連接區域延伸穿過一介電材料以物理接觸位於該第二導電結構的該電連接區域上方之另一導電結構的一部分;及 一第三閘極接觸點,與該第三導電結構的該電連接區域物理連接,該第三閘極接觸點係配置成從該第三導電結構的該電連接區域延伸穿過一介電材料以物理接觸位於該第三導電結構的該電連接區域上方之另一導電結構的一部分。
  26. 如申請專利範圍第24項之半導體晶片,其中該數位邏輯電路包含由若干互連晶片階層其中一或更多者內之多個互連導電結構其中一或更多者所形成的複數電連接,該若干互連晶片階層包含該第一互連晶片階層及在該第一互連晶片階層上方的任何互連晶片階層, 其中形成該數位邏輯電路內的任何電連接之部分的該多個互連導電結構其中每一者係配置成具有對應的一上表面,其中對應的該上表面之週邊的整體係由對應的一第一端、對應的一第二端、對應的一第一邊緣、及對應的一第二邊緣所定義,使得沿著對應的該上表面之週邊的整體的一總距離等於沿著對應的該第一邊緣的一總距離與沿著對應的該第二邊緣的一總距離與沿著對應的該第一端的一總距離與沿著對應的該第二端的一總距離之總和,其中對應的該第一端從對應的該第一邊緣延伸至對應的該第二邊緣且實質上位於在對應的該第一邊緣與對應的該第二邊緣之間的空間內,其中對應的該第二端從對應的該第一邊緣延伸至對應的該第二邊緣且實質上位於在對應的該第一邊緣與對應的該第二邊緣之間的該空間內,其中對應的該第一邊緣係實質上為直的,且其中對應的該第二邊緣係實質上為直的,且 其中形成該數位邏輯電路內的任何電連接之部分的該多個互連導電結構其中每一者係配置成具有沿其上表面從其第一端延伸至其第二端且定向為實質上平行於其第一邊緣及其第二邊緣之對應的一縱向中線。
  27. 如申請專利範圍第26項之半導體晶片,更包含: 一第一閘極接觸點,與該第一導電結構的該電連接區域物理連接,該第一閘極接觸點係配置成從該第一導電結構的該電連接區域延伸穿過一介電材料以物理接觸位於該第一導電結構的該電連接區域上方之另一導電結構的一部分; 一第二閘極接觸點,與該第二導電結構的該電連接區域物理連接,該第二閘極接觸點係配置成從該第二導電結構的該電連接區域延伸穿過一介電材料以物理接觸位於該第二導電結構的該電連接區域上方之另一導電結構的一部分;及 一第三閘極接觸點,與該第三導電結構的該電連接區域物理連接,該第三閘極接觸點係配置成從該第三導電結構的該電連接區域延伸穿過一介電材料以物理接觸位於該第三導電結構的該電連接區域上方之另一導電結構的一部分。
  28. 如申請專利範圍第27項之半導體晶片,其中該數位邏輯電路係包含在一單一布局格(single layout cell)中。
  29. 一種製造半導體晶片的方法,包含: 於該半導體晶片的一區域內形成複數電晶體,該區域中的該複數電晶體其中每一者形成與一或更多邏輯函數之執行相關的一數位邏輯電路之部份,該複數電晶體具有由存在於該區域中的至少五導電結構其中一些者所形成之分別的複數閘極, 其中該形成該複數電晶體之步驟包含將該至少五導電結構其中每一者形成為分別具有對應的一頂表面,其中對應的該頂表面之週邊的整體係由對應的一第一端、對應的一第二端、對應的一第一邊緣、及對應的一第二邊緣所定義,使得沿著對應的該頂表面之週邊的整體的一總距離等於沿著對應的該第一邊緣的一總距離與沿著對應的該第二邊緣的一總距離與沿著對應的該第一端的一總距離與沿著對應的該第二端的一總距離之總和, 其中沿著對應的該第一邊緣的該總距離大於沿著對應的該第一端的該總距離之兩倍, 其中沿著對應的該第一邊緣的該總距離大於沿著對應的該第二端的該總距離之兩倍, 其中沿著對應的該第二邊緣的該總距離大於沿著對應的該第一端的該總距離之兩倍, 其中沿著對應的該第二邊緣的該總距離大於沿著對應的該第二端的該總距離之兩倍, 其中對應的該第一端從對應的該第一邊緣延伸至對應的該第二邊緣且實質上位於在對應的該第一邊緣與對應的該第二邊緣之間的空間內, 其中對應的該第二端從對應的該第一邊緣延伸至對應的該第二邊緣且實質上位於在對應的該第一邊緣與對應的該第二邊緣之間的該空間內, 該至少五導電結構的該等頂表面彼此係共平面, 該至少五導電結構其中每一者具有沿著其頂表面定向在一第一方向上且從其第一端延伸至其第二端之對應的一縱向中線, 該至少五導電結構其中每一者具有沿著其縱向中線從其第一端量測至其第二端的一長度, 其中該至少五導電結構其中每一者的該第一邊緣係實質上為直的, 其中該至少五導電結構其中每一者的該第二邊緣係實質上為直的, 該至少五導電結構其中每一者其第一邊緣及其第二邊緣皆定向為實質上平行於其縱向中線, 該至少五導電結構其中每一者具有在其縱向中線之中點於垂直於該第一方向的一第二方向上所量測的一寬度, 該第一方向及該第二方向其中每一者係定向為實質上平行於該至少五導電結構之共平面的該等頂表面, 其中該至少五導電結構包含一第一導電結構,該第一導電結構包含形成一第一電晶體類型的一第一電晶體的一閘極之一部分,該第一導電結構亦包含形成一第二電晶體類型的一第一電晶體的一閘極之一部分, 其中該至少五導電結構包含一第二導電結構,該第二導電結構包含形成該第一電晶體類型的一第二電晶體的一閘極之一部分,其中任何由該第二導電結構所形成之閘極係該第一電晶體類型, 其中該至少五導電結構包含一第三導電結構,該第三導電結構包含形成該第二電晶體類型的一第二電晶體的一閘極之一部分,其中任何由該第三導電結構所形成之閘極係該第二電晶體類型, 其中該至少五導電結構包含一第四導電結構,該第四導電結構包含形成該第一電晶體類型的一第三電晶體的一閘極之一部分,且其中該第四導電結構亦包含形成該第二電晶體類型的一第四電晶體的一閘極之一部分, 其中該至少五導電結構包含一第五導電結構,該第五導電結構包含形成該第一電晶體類型的一第四電晶體的一閘極之一部分,且其中該第五導電結構亦包含形成該第二電晶體類型的一第三電晶體的一閘極之一部分, 其中該第一導電結構的該縱向中線與該第二導電結構的該縱向中線係藉由於該第二方向上所量測的一第一間距而間隔, 其中該第一導電結構的該縱向中線與該第三導電結構的該縱向中線係藉由於該第二方向上所量測的該第一間距而間隔, 其中該第二導電結構的該縱向中線與該第五導電結構的該縱向中線係藉由於該第二方向上所量測的該第一間距而間隔, 其中該第三導電結構的該縱向中線與該第四導電結構的該縱向中線係藉由於該第二方向上所量測的該第一間距而間隔, 其中該第一導電結構的該縱向中線與該第四導電結構的該縱向中線係藉由於該第二方向上所量測的一第二間距而間隔,該第二間距等於該第一間距的兩倍, 其中該第一導電結構的該縱向中線與該第五導電結構的該縱向中線係藉由於該第二方向上所量測的該第二間距而間隔, 其中具有由該至少五導電結構其中任何者所形成之閘極的該第一電晶體類型的每一電晶體係包含在一第一電晶體群集中,且其中具有由該至少五導電結構其中任何者所形成之閘極的該第二電晶體類型的每一電晶體係包含在一第二電晶體群集中,其中該第一電晶體群集與該第二電晶體群集係藉由該區域的一內部子區域而分隔,其中該內部子區域不包含任何電晶體之源極或汲極, 其中該形成該複數電晶體之步驟包含以垂直於該第一方向的該第二方向將該第一導電結構設置於該第二導電結構與該第三導電結構之間, 其中該形成該複數電晶體之步驟包含將該第一電晶體類型的該第一電晶體及該第二電晶體設置為彼此毗鄰, 其中該第一電晶體類型的該第一電晶體包含一第一擴散端,且該第一電晶體類型的該第二電晶體包含一第一擴散端,該第一電晶體類型的該第一電晶體的該第一擴散端物理且電連接至該第一電晶體類型的該第二電晶體的該第一擴散端,該第一電晶體類型的該第一電晶體的該第一擴散端亦電連接至一共用節點,該第一電晶體類型的該第二電晶體的該第一擴散端亦電連接至該共用節點, 其中該形成該複數電晶體之步驟包含將該第二電晶體類型的該第一電晶體及該第二電晶體設置為彼此毗鄰, 其中該第二電晶體類型的該第一電晶體包含一第一擴散端,且該第二電晶體類型的該第二電晶體包含一第一擴散端,該第二電晶體類型的該第一電晶體的該第一擴散端物理且電連接至該第二電晶體類型的該第二電晶體的該第一擴散端,該第二電晶體類型的該第一電晶體的該第一擴散端亦電連接至該共用節點,該第二電晶體類型的該第二電晶體的該第一擴散端亦電連接至該共用節點, 其中該第一電晶體類型的該第一電晶體包含一第二擴散端,且該第一電晶體類型的該第三電晶體包含一第一擴散端,該第一電晶體類型的該第三電晶體的該第一擴散端電連接至該第一電晶體類型的該第一電晶體的該第二擴散端, 其中該第一電晶體類型的該第二電晶體包含一第二擴散端,且該第一電晶體類型的該第四電晶體包含一第一擴散端,該第一電晶體類型的該第四電晶體的該第一擴散端物理且電連接至該第一電晶體類型的該第二電晶體的該第二擴散端, 其中該第二電晶體類型的該第一電晶體包含一第二擴散端,且該第二電晶體類型的該第三電晶體包含一第一擴散端,該第二電晶體類型的該第三電晶體的該第一擴散端電連接至該第二電晶體類型的該第一電晶體的該第二擴散端, 其中該第二電晶體類型的該第二電晶體包含一第二擴散端,且該第二電晶體類型的該第四電晶體包含一第一擴散端,該第二電晶體類型的該第四電晶體的該第一擴散端物理且電連接至該第二電晶體類型的該第二電晶體的該第二擴散端, 其中該第一電晶體類型的該第三電晶體的該閘極係電連接至該第二電晶體類型的該第四電晶體的該閘極, 其中該第二電晶體類型的該第三電晶體的該閘極電連接至該第一電晶體類型的該第四電晶體的該閘極, 其中該第一導電結構包含與該數位邏輯電路之另一導電結構物理連接的一電連接區域,該第一導電結構的該電連接區域為該第一導電結構在該半導體晶片之基板上方與該數位邏輯電路之另一導電結構物理連接的唯一部分, 其中該第二導電結構包含與該數位邏輯電路之另一導電結構物理連接的一電連接區域,該第二導電結構的該電連接區域為該第二導電結構在該半導體晶片之該基板上方與該數位邏輯電路之另一導電結構物理連接的唯一部分, 其中該第三導電結構包含與該數位邏輯電路之另一導電結構物理連接的一電連接區域,該第三導電結構的該電連接區域為該第三導電結構在該半導體晶片之該基板上方與該數位邏輯電路之另一導電結構物理連接的唯一部分, 其中與該第二導電結構的該電連接區域及該第三導電結構的該電連接區域其中一者或兩者物理接觸的任何導電結構形成在該第二導電結構與該第三導電結構之間的一電連接之部分; 其中該第四導電結構包含與該數位邏輯電路之另一導電結構物理連接的一電連接區域,該第四導電結構的該電連接區域為該第四導電結構在該半導體晶片之該基板上方與該數位邏輯電路之另一導電結構物理連接的唯一部分, 其中該第五導電結構包含與該數位邏輯電路之另一導電結構物理連接的一電連接區域,該第五導電結構的該電連接區域為該第五導電結構在該半導體晶片之該基板上方與該數位邏輯電路之另一導電結構物理連接的唯一部分, 其中該第一導電結構、該第二導電結構、該第三導電結構、該第四導電結構、及該第五導電結構其中每一者於該第二方向上所量測之寬度係小於193奈米, 其中該至少五導電結構包含不形成任何電晶體之閘極的一第六導電結構, 其中該第六導電結構係以與該至少五導電結構其中多個毗鄰設置者並排之方式設置,使得在該第六導電結構的該縱向中線與該至少五導電結構其中該多個毗鄰設置者其中每一者之縱向中線之間於該第二方向上所量測到的一距離係等於該第一間距, 其中該第六導電結構的該寬度係實質上等於該至少五導電結構其中該多個毗鄰設置者其中至少一者之寬度, 其中該至少五導電結構其中該多個毗鄰設置者其中至少一者形成一電晶體的至少一閘極,且 其中下述條件中至少一者成立,該等條件為: A) 該第六導電結構的該第一端係實質上設置於在該第二方向上延伸的一第一線上,且形成該第一電晶體類型的一電晶體的一閘極之該至少五導電結構其中另一者的一端亦係實質上設置於在該第二方向上延伸的該第一線上,或 B) 該第六導電結構的該第二端係實質上設置於在該第二方向上延伸的一第二線上,且形成該第二電晶體類型的一電晶體的一閘極之該至少五導電結構其中另一者的一端亦係實質上設置於在該第二方向上延伸的該第二線上,或 A)及B)皆成立; 於該半導體晶片的一第一互連晶片階層內形成一第一互連導電結構,該第一互連晶片階層係形成於包含該至少五導電結構的該半導體晶片之一階層上方,該第一互連導電結構的一部分係設置在該第一導電結構的該電連接區域上方,該第一互連導電結構的該部分電連接至該第一導電結構; 形成位於該半導體晶片的該第一互連晶片階層內的一第二互連導電結構,該第二互連導電結構的一部分係設置在該第二導電結構的該電連接區域上方,該第二互連導電結構的該部分電連接至該第二導電結構;及 形成位於該半導體晶片的該第一互連晶片階層內的一第三互連導電結構,該第三互連導電結構的一部分係設置在該第三導電結構的該電連接區域上方,該第三互連導電結構的該部分電連接至該第三導電結構, 其中該數位邏輯電路係包含在一單一布局格(single layout cell)中。
  30. 如申請專利範圍第29項之製造半導體晶片的方法,其中該第一導電結構、該第二導電結構、該第三導電結構、該第四導電結構、該第五導電結構、及該第六導電結構其中每一者於該第二方向上所測量之寬度係小於34奈米。
TW106120691A 2008-03-13 2009-03-13 包括限制邏輯閘階層布局架構中之交叉耦合電晶體配置的積體電路、及用以產生其布局的方法、及包括用以產生其布局之指令的資料儲存裝置 TW201735325A (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US3646008P 2008-03-13 2008-03-13
US4270908P 2008-04-04 2008-04-04
US4595308P 2008-04-17 2008-04-17
US5013608P 2008-05-02 2008-05-02
US12/402,465 US7956421B2 (en) 2008-03-13 2009-03-11 Cross-coupled transistor layouts in restricted gate level layout architecture

Publications (1)

Publication Number Publication Date
TW201735325A true TW201735325A (zh) 2017-10-01

Family

ID=41052712

Family Applications (5)

Application Number Title Priority Date Filing Date
TW106120691A TW201735325A (zh) 2008-03-13 2009-03-13 包括限制邏輯閘階層布局架構中之交叉耦合電晶體配置的積體電路、及用以產生其布局的方法、及包括用以產生其布局之指令的資料儲存裝置
TW105116633A TWI599019B (zh) 2008-03-13 2009-03-13 包括限制邏輯閘階層布局架構中之交叉耦合電晶體配置的積體電路、及用以產生其布局的方法、及包括用以產生其布局之指令的資料儲存裝置
TW104128178A TWI546939B (zh) 2008-03-13 2009-03-13 包括限制邏輯閘階層布局架構中之交叉耦合電晶體配置的積體電路、及用以產生其布局的方法、及包括用以產生其布局之指令的資料儲存裝置
TW102132447A TWI511274B (zh) 2008-03-13 2009-03-13 包括限制邏輯閘階層布局架構中之交叉耦合電晶體配置的積體電路、及用以產生其布局的方法、及包括用以產生其布局之指令的資料儲存裝置
TW098108259A TWI416710B (zh) 2008-03-13 2009-03-13 限制邏輯閘階層布局架構中之交叉耦合電晶體布局

Family Applications After (4)

Application Number Title Priority Date Filing Date
TW105116633A TWI599019B (zh) 2008-03-13 2009-03-13 包括限制邏輯閘階層布局架構中之交叉耦合電晶體配置的積體電路、及用以產生其布局的方法、及包括用以產生其布局之指令的資料儲存裝置
TW104128178A TWI546939B (zh) 2008-03-13 2009-03-13 包括限制邏輯閘階層布局架構中之交叉耦合電晶體配置的積體電路、及用以產生其布局的方法、及包括用以產生其布局之指令的資料儲存裝置
TW102132447A TWI511274B (zh) 2008-03-13 2009-03-13 包括限制邏輯閘階層布局架構中之交叉耦合電晶體配置的積體電路、及用以產生其布局的方法、及包括用以產生其布局之指令的資料儲存裝置
TW098108259A TWI416710B (zh) 2008-03-13 2009-03-13 限制邏輯閘階層布局架構中之交叉耦合電晶體布局

Country Status (6)

Country Link
US (52) US7956421B2 (zh)
JP (7) JP5628050B2 (zh)
MY (2) MY170141A (zh)
SG (2) SG189680A1 (zh)
TW (5) TW201735325A (zh)
WO (1) WO2009114680A2 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI775289B (zh) * 2021-01-22 2022-08-21 力晶積成電子製造股份有限公司 用以定義閘介電層的光罩的布局設計方法

Families Citing this family (139)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7448012B1 (en) 2004-04-21 2008-11-04 Qi-De Qian Methods and system for improving integrated circuit layout
US9563733B2 (en) 2009-05-06 2017-02-07 Tela Innovations, Inc. Cell circuit and layout with linear finfet structures
US7763534B2 (en) 2007-10-26 2010-07-27 Tela Innovations, Inc. Methods, structures and designs for self-aligning local interconnects used in integrated circuits
US8541879B2 (en) 2007-12-13 2013-09-24 Tela Innovations, Inc. Super-self-aligned contacts and method for making the same
US7956421B2 (en) 2008-03-13 2011-06-07 Tela Innovations, Inc. Cross-coupled transistor layouts in restricted gate level layout architecture
US8658542B2 (en) 2006-03-09 2014-02-25 Tela Innovations, Inc. Coarse grid design methods and structures
US8448102B2 (en) 2006-03-09 2013-05-21 Tela Innovations, Inc. Optimizing layout of irregular structures in regular layout context
US8839175B2 (en) 2006-03-09 2014-09-16 Tela Innovations, Inc. Scalable meta-data objects
US9035359B2 (en) 2006-03-09 2015-05-19 Tela Innovations, Inc. Semiconductor chip including region including linear-shaped conductive structures forming gate electrodes and having electrical connection areas arranged relative to inner region between transistors of different types and associated methods
US8653857B2 (en) 2006-03-09 2014-02-18 Tela Innovations, Inc. Circuitry and layouts for XOR and XNOR logic
US9009641B2 (en) 2006-03-09 2015-04-14 Tela Innovations, Inc. Circuits with linear finfet structures
US9230910B2 (en) 2006-03-09 2016-01-05 Tela Innovations, Inc. Oversized contacts and vias in layout defined by linearly constrained topology
US7446352B2 (en) 2006-03-09 2008-11-04 Tela Innovations, Inc. Dynamic array architecture
US8667443B2 (en) 2007-03-05 2014-03-04 Tela Innovations, Inc. Integrated circuit cell library for multiple patterning
US7888705B2 (en) 2007-08-02 2011-02-15 Tela Innovations, Inc. Methods for defining dynamic array section with manufacturing assurance halo and apparatus implementing the same
US8453094B2 (en) 2008-01-31 2013-05-28 Tela Innovations, Inc. Enforcement of semiconductor structure regularity for localized transistors and interconnect
US7939443B2 (en) 2008-03-27 2011-05-10 Tela Innovations, Inc. Methods for multi-wire routing and apparatus implementing same
KR101903975B1 (ko) 2008-07-16 2018-10-04 텔라 이노베이션스, 인코포레이티드 동적 어레이 아키텍쳐에서의 셀 페이징과 배치를 위한 방법 및 그 구현
US9122832B2 (en) 2008-08-01 2015-09-01 Tela Innovations, Inc. Methods for controlling microloading variation in semiconductor wafer layout and fabrication
JP5580981B2 (ja) * 2008-11-21 2014-08-27 ラピスセミコンダクタ株式会社 半導体素子及び半導体装置
GB2466313A (en) * 2008-12-22 2010-06-23 Cambridge Silicon Radio Ltd Radio Frequency CMOS Transistor
US8661392B2 (en) 2009-10-13 2014-02-25 Tela Innovations, Inc. Methods for cell boundary encroachment and layouts implementing the Same
US8456939B2 (en) * 2009-12-11 2013-06-04 Arm Limited Voltage regulation circuitry
JP5364015B2 (ja) * 2010-03-05 2013-12-11 パナソニック株式会社 半導体装置
US7969199B1 (en) 2010-05-10 2011-06-28 Pdf Solutions, Inc. Pattern controlled IC layout
JP2011242541A (ja) * 2010-05-17 2011-12-01 Panasonic Corp 半導体集積回路装置、および標準セルの端子構造
JP5531848B2 (ja) * 2010-08-06 2014-06-25 富士通セミコンダクター株式会社 半導体装置、半導体集積回路装置、SRAM、Dt−MOSトランジスタの製造方法
US9159627B2 (en) 2010-11-12 2015-10-13 Tela Innovations, Inc. Methods for linewidth modification and apparatus implementing the same
US8735857B2 (en) * 2010-12-22 2014-05-27 Easic Corporation Via-configurable high-performance logic block architecture
US8610176B2 (en) * 2011-01-11 2013-12-17 Qualcomm Incorporated Standard cell architecture using double poly patterning for multi VT devices
JP5699826B2 (ja) * 2011-06-27 2015-04-15 富士通セミコンダクター株式会社 レイアウト方法及び半導体装置の製造方法
JP5776413B2 (ja) * 2011-07-28 2015-09-09 富士通株式会社 回路設計支援装置、回路設計支援方法および回路設計支援プログラム
US9123562B2 (en) * 2011-09-19 2015-09-01 Texas Instruments Incorporated Layout method to minimize context effects and die area
US8482314B2 (en) * 2011-11-08 2013-07-09 Taiwan Semiconductor Manufacturing Co., Ltd. Method and apparatus for improved multiplexing using tri-state inverter
US8581348B2 (en) * 2011-12-13 2013-11-12 GlobalFoundries, Inc. Semiconductor device with transistor local interconnects
US9355910B2 (en) * 2011-12-13 2016-05-31 GlobalFoundries, Inc. Semiconductor device with transistor local interconnects
US8887106B2 (en) * 2011-12-28 2014-11-11 Taiwan Semiconductor Manufacturing Company, Ltd. Method of generating a bias-adjusted layout design of a conductive feature and method of generating a simulation model of a predefined fabrication process
JP2013161878A (ja) * 2012-02-02 2013-08-19 Renesas Electronics Corp 半導体装置、および半導体装置の製造方法
US8779592B2 (en) * 2012-05-01 2014-07-15 Taiwan Semiconductor Manufacturing Company, Ltd. Via-free interconnect structure with self-aligned metal line interconnections
US20130320451A1 (en) 2012-06-01 2013-12-05 Taiwan Semiconductor Manufacturing Company, Ltd., ("Tsmc") Semiconductor device having non-orthogonal element
US8964453B2 (en) 2012-06-28 2015-02-24 Synopsys, Inc. SRAM layouts
KR101991711B1 (ko) * 2012-08-16 2019-06-24 에스케이하이닉스 주식회사 비트라인 센스앰프 및 레이아웃 방법
US10629550B2 (en) * 2012-10-31 2020-04-21 Delta Electronics (Shanghai) Co., Ltd Power integrated module
US20140197463A1 (en) * 2013-01-15 2014-07-17 Altera Corporation Metal-programmable integrated circuits
US8799834B1 (en) * 2013-01-30 2014-08-05 Taiwan Semiconductor Manufacturing Company Limited Self-aligned multiple patterning layout design
TWI569376B (zh) * 2013-02-23 2017-02-01 聯華電子股份有限公司 靜態隨機存取記憶體單元結構以及靜態隨機存取記憶體單元佈局結構
US8719759B1 (en) * 2013-02-27 2014-05-06 Taiwan Semiconductor Manufacturing Co., Ltd. Area optimized series gate layout structure for FINFET array
US8977988B2 (en) * 2013-04-09 2015-03-10 United Microelectronics Corp. Method of optical proximity correction for modifying line patterns and integrated circuits with line patterns modified by the same
US9263279B2 (en) * 2013-04-17 2016-02-16 Qualcomm Incorporated Combining cut mask lithography and conventional lithography to achieve sub-threshold pattern features
US9082739B2 (en) 2013-05-16 2015-07-14 Samsung Electronics Co., Ltd. Semiconductor device having test structure
US8971096B2 (en) 2013-07-29 2015-03-03 Qualcomm Incorporated Wide range multiport bitcell
US9391056B2 (en) * 2013-08-16 2016-07-12 Taiwan Semiconductor Manufacturing Company, Ltd. Mask optimization for multi-layer contacts
KR102152772B1 (ko) 2013-11-18 2020-09-08 삼성전자 주식회사 레이아웃 디자인 시스템, 레이아웃 디자인 방법, 및 이를 이용하여 제조된 반도체 장치
CN104701297B (zh) * 2013-12-05 2017-12-29 中芯国际集成电路制造(北京)有限公司 互连结构及其形成方法
US9236342B2 (en) * 2013-12-18 2016-01-12 Intel Corporation Self-aligned via and plug patterning with photobuckets for back end of line (BEOL) interconnects
JP2015122398A (ja) * 2013-12-24 2015-07-02 セイコーエプソン株式会社 半導体集積回路装置及びそのレイアウト設計方法
US9177634B1 (en) * 2014-02-04 2015-11-03 Xilinx, Inc. Two gate pitch FPGA memory cell
ES2526106B1 (es) * 2014-02-21 2016-05-06 Armando MEDINA RIVERO Dispositivo para probar líquidos de cigarrillos electrónicos
US20150263039A1 (en) * 2014-03-12 2015-09-17 Paramjeet Singh Standard cell layout for logic gate
US9767243B2 (en) * 2014-05-27 2017-09-19 Taiwan Semiconductor Manufacturing Company, Ltd. System and method of layout design for integrated circuits
AU2015278810B2 (en) 2014-06-23 2018-12-20 Pet Novations Ltd. Animal feeding system
KR102088200B1 (ko) 2014-07-01 2020-03-13 삼성전자주식회사 반도체 소자 및 그 제조방법
KR102423878B1 (ko) * 2014-09-18 2022-07-22 삼성전자주식회사 다수의 소자 측정이 가능한 테스트용 반도체 장치 및 그것의 제조 방법 및 테스트 방법
US9811626B2 (en) 2014-09-18 2017-11-07 Samsung Electronics Co., Ltd. Method of designing layout of semiconductor device
US9767248B2 (en) 2014-09-18 2017-09-19 Samsung Electronics, Co., Ltd. Semiconductor having cross coupled structure and layout verification method thereof
US10095825B2 (en) 2014-09-18 2018-10-09 Samsung Electronics Co., Ltd. Computer based system for verifying layout of semiconductor device and layout verify method thereof
US9704862B2 (en) 2014-09-18 2017-07-11 Samsung Electronics Co., Ltd. Semiconductor devices and methods for manufacturing the same
US10026661B2 (en) 2014-09-18 2018-07-17 Samsung Electronics Co., Ltd. Semiconductor device for testing large number of devices and composing method and test method thereof
US9431381B2 (en) 2014-09-29 2016-08-30 Taiwan Semiconductor Manufacturing Company, Ltd. System and method of processing cutting layout and example switching circuit
US9589955B2 (en) 2014-10-01 2017-03-07 Samsung Electronics Co., Ltd. System on chip
KR102288869B1 (ko) 2014-10-01 2021-08-10 삼성전자주식회사 시스템 온 칩
US20160163634A1 (en) * 2014-10-03 2016-06-09 Edward Seymour Power reduced computing
KR102254031B1 (ko) 2014-10-10 2021-05-20 삼성전자주식회사 반도체 소자 및 이의 제조 방법
KR102296062B1 (ko) * 2014-11-06 2021-08-31 삼성전자주식회사 반도체 집적 회로 및 그 제조 방법
US9748246B2 (en) * 2014-11-06 2017-08-29 Samsung Electronics Co., Ltd. Semiconductor integrated circuits having contacts spaced apart from active regions
US9418896B2 (en) 2014-11-12 2016-08-16 Samsung Electronics Co., Ltd. Semiconductor device and fabricating method thereof
KR102150942B1 (ko) * 2014-12-01 2020-09-03 삼성전자주식회사 핀펫을 구비하는 반도체 장치
US9691750B2 (en) * 2015-01-30 2017-06-27 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device and layout method thereof
US9646960B2 (en) 2015-02-26 2017-05-09 Samsung Electronics Co., Ltd. System-on-chip devices and methods of designing a layout therefor
US9583493B2 (en) 2015-04-08 2017-02-28 Samsung Electronics Co., Ltd. Integrated circuit and semiconductor device
US9690896B2 (en) 2015-04-09 2017-06-27 Samsung Electronics Co., Ltd. Method for manufacturing a semiconductor device and semiconductor device manufactured by the same
US9698056B2 (en) 2015-04-09 2017-07-04 Samsung Electronics., Ltd. Method for designing layout of semiconductor device and method for manufacturing semiconductor device using the same
KR102321605B1 (ko) * 2015-04-09 2021-11-08 삼성전자주식회사 반도체 장치의 레이아웃 설계 방법 및 그를 이용한 반도체 장치의 제조 방법
US10204920B2 (en) 2015-04-09 2019-02-12 Samsung Electronics Co., Ltd. Semiconductor device including polygon-shaped standard cell
US9773772B2 (en) 2015-04-09 2017-09-26 Samsung Electronics Co., Ltd. Semiconductor device and method of fabricating the same
US9461045B1 (en) * 2015-06-25 2016-10-04 Micron Technology, Inc. Semiconductor devices
US9853112B2 (en) 2015-07-17 2017-12-26 Qualcomm Incorporated Device and method to connect gate regions separated using a gate cut
KR102342851B1 (ko) 2015-08-17 2021-12-23 삼성전자주식회사 반도체 칩, 테스트 시스템 및 반도체 칩의 테스트 방법
US10163879B2 (en) 2015-10-05 2018-12-25 Samsung Electronics Co., Ltd. Semiconductor device having jumper pattern
US9793211B2 (en) * 2015-10-20 2017-10-17 Taiwan Semiconductor Manufacturing Co., Ltd. Dual power structure with connection pins
US10169515B2 (en) * 2015-11-16 2019-01-01 Taiwan Semiconductor Manufacturing Company Ltd. Layout modification method and system
TWI571760B (zh) * 2015-12-09 2017-02-21 英業達股份有限公司 佈局檢查系統及其方法
US10032782B2 (en) * 2016-03-02 2018-07-24 Taiwan Semiconductor Manufacturing Co., Ltd. Static random access memory and manufacturing method thereof
US10128365B2 (en) 2016-03-17 2018-11-13 Cree, Inc. Bypassed gate transistors having improved stability
US9786660B1 (en) 2016-03-17 2017-10-10 Cree, Inc. Transistor with bypassed gate structure field
US9947616B2 (en) 2016-03-17 2018-04-17 Cree, Inc. High power MMIC devices having bypassed gate transistors
US9762237B1 (en) * 2016-06-24 2017-09-12 Qualcomm Incorporated Constant impedance transmitter with variable output voltage limits
US9923051B1 (en) * 2016-09-21 2018-03-20 Xilinx, Inc. Substrate noise isolation structures for semiconductor devices
KR102517568B1 (ko) 2016-09-28 2023-04-03 삼성전자주식회사 반도체 장치
KR102633138B1 (ko) 2016-10-17 2024-02-02 삼성전자주식회사 집적 회로 및 반도체 장치
CN108008933B (zh) * 2016-11-02 2022-02-08 中芯国际集成电路制造(上海)有限公司 一种用于产生芯片的随机序列号的电路及包括该电路的芯片
US10740531B2 (en) * 2016-11-29 2020-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit, system for and method of forming an integrated circuit
KR102633141B1 (ko) 2016-12-07 2024-02-02 삼성전자주식회사 집적회로 소자
KR20180069465A (ko) 2016-12-15 2018-06-25 삼성전자주식회사 수직형 트랜지스터를 구비하는 집적 회로 및 이를 포함하는 반도체 장치
US9972571B1 (en) 2016-12-15 2018-05-15 Taiwan Semiconductor Manufacturing Co., Ltd. Logic cell structure and method
KR20180120870A (ko) * 2017-04-27 2018-11-07 삼성전자주식회사 반도체 소자
US10489548B2 (en) * 2017-05-26 2019-11-26 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit and method for manufacturing the same
US10790272B2 (en) * 2017-08-02 2020-09-29 Qualcomm Incorporated Manufacturability (DFM) cells in extreme ultra violet (EUV) technology
US10497692B2 (en) * 2017-08-29 2019-12-03 Globalfoundries Inc. SRAM structure with alternate gate pitches
KR102362016B1 (ko) 2017-09-19 2022-02-10 삼성전자주식회사 마스터 슬레이브 플립 플롭
DE102018123548A1 (de) * 2017-09-28 2019-03-28 Taiwan Semiconductor Manufacturing Company, Ltd. Integrierte schaltung und verfahren zur herstellung derselben
US10734321B2 (en) 2017-09-28 2020-08-04 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit and method of manufacturing same
US10559558B2 (en) 2017-09-29 2020-02-11 Taiwan Semiconductor Manufacturing Co., Ltd. Pin modification for standard cells
US10727835B2 (en) * 2017-10-10 2020-07-28 Tacho Holdings, Llc Three-dimensional logic circuit
US11750191B2 (en) * 2017-10-10 2023-09-05 Tacho Holdings, Llc Three-dimensional logic circuit
US11152347B2 (en) 2018-04-13 2021-10-19 Qualcomm Incorporated Cell circuits formed in circuit cells employing offset gate cut areas in a non-active area for routing transistor gate cross-connections
US10522542B1 (en) 2018-06-28 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Double rule integrated circuit layouts for a dual transmission gate
US10483352B1 (en) 2018-07-11 2019-11-19 Cree, Inc. High power transistor with interior-fed gate fingers
US10763334B2 (en) 2018-07-11 2020-09-01 Cree, Inc. Drain and/or gate interconnect and finger structure
US10878165B2 (en) * 2018-07-16 2020-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. Method for generating layout diagram including protruding pin cell regions and semiconductor device based on same
US10600746B2 (en) 2018-07-19 2020-03-24 Cree, Inc. Radio frequency transistor amplifiers and other multi-cell transistors having gaps and/or isolation structures between groups of unit cell transistors
KR20200011367A (ko) * 2018-07-24 2020-02-03 삼성전자주식회사 크로스-커플(cross-couple) 구조를 갖는 래치를 포함하는 수직 전계 효과 트랜지스터(vfet) 장치
US11188703B2 (en) * 2018-09-28 2021-11-30 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit, system, and method of forming the same
JP7065007B2 (ja) * 2018-10-01 2022-05-11 ルネサスエレクトロニクス株式会社 半導体装置
US10770415B2 (en) 2018-12-04 2020-09-08 Cree, Inc. Packaged transistor devices with input-output isolation and methods of forming packaged transistor devices with input-output isolation
US10411708B1 (en) * 2018-12-20 2019-09-10 Micron Technology, Inc. Apparatuses and methods including configurable logic circuits and layout thereof
KR20200092020A (ko) 2019-01-24 2020-08-03 삼성전자주식회사 멀티-하이트 스탠다드 셀을 포함하는 집적 회로 및 그 설계 방법
US11417746B2 (en) 2019-04-24 2022-08-16 Wolfspeed, Inc. High power transistor with interior-fed fingers
US11459846B2 (en) 2019-08-14 2022-10-04 Terves, Llc Temporary well isolation device
US10854604B1 (en) * 2019-09-20 2020-12-01 Qualcomm Incorporated Offset gate contact
KR20210077189A (ko) 2019-12-17 2021-06-25 삼성전자주식회사 반도체 집적 회로
US11735592B2 (en) * 2019-12-20 2023-08-22 Samsung Electronics Co., Ltd. Integrated circuit including integrated standard cell structure
US11651133B2 (en) 2020-03-05 2023-05-16 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit and method of forming same
DE102020125839A1 (de) 2020-03-05 2021-09-09 Taiwan Semiconductor Manufacturing Co., Ltd. Integrierter schaltkreis und verfahren zu seiner bildung
US11456209B2 (en) * 2020-07-31 2022-09-27 Taiwan Semiconductor Manufacturing Co., Ltd. Spacers for semiconductor devices including a backside power rails
KR20220124767A (ko) 2021-02-05 2022-09-14 창신 메모리 테크놀로지즈 아이엔씨 표준 셀 레이아웃 템플릿 및 반도체 구조물
KR20220128040A (ko) * 2021-03-12 2022-09-20 삼성전자주식회사 반도체 장치
US11797745B2 (en) * 2021-06-28 2023-10-24 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device with reduced power and method of manufacturing the same
US20230308099A1 (en) * 2022-03-28 2023-09-28 Mediatek Inc. Buffer circuits and semiconductor structures thereof

Family Cites Families (765)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US512186A (en) * 1894-01-02 Augustus w
US648003A (en) 1899-09-06 1900-04-24 George S Ullom Shield for cultivators.
US3521242A (en) * 1967-05-02 1970-07-21 Rca Corp Complementary transistor write and ndro for memory cell
US4069493A (en) 1970-10-02 1978-01-17 Thomson-Csf Novel integrated circuit and method of manufacturing same
US4197555A (en) * 1975-12-29 1980-04-08 Fujitsu Limited Semiconductor device
JPS5746536A (en) 1980-09-04 1982-03-17 Matsushita Electric Ind Co Ltd Gate circuit
US4424460A (en) * 1981-07-14 1984-01-03 Rockwell International Corporation Apparatus and method for providing a logical exclusive OR/exclusive NOR function
JPS5877065U (ja) * 1981-11-18 1983-05-24 日本電気株式会社 集積回路装置
JPS5943824B2 (ja) 1982-03-03 1984-10-24 三菱電機株式会社 半導体集積回路装置
JPS58182242A (ja) 1982-04-19 1983-10-25 Nec Corp 半導体集積回路装置
JPS58182242U (ja) 1982-05-28 1983-12-05 パイオニア株式会社 スライドロツク付プツシユボタン
JPS58215827A (ja) 1982-06-09 1983-12-15 Toshiba Corp 論理回路
JPS5943548A (ja) 1982-09-06 1984-03-10 Hitachi Ltd 半導体集積回路装置
US4613940A (en) 1982-11-09 1986-09-23 International Microelectronic Products Method and structure for use in designing and building electronic systems in integrated circuits
JPS6035532A (ja) 1983-07-29 1985-02-23 Fujitsu Ltd マスタスライス集積回路装置
US4575648A (en) 1983-12-23 1986-03-11 At&T Bell Laboratories Complementary field effect transistor EXCLUSIVE OR logic gates
JPS60257542A (ja) * 1984-06-04 1985-12-19 Hitachi Ltd 半導体集積回路装置
US5121186A (en) 1984-06-15 1992-06-09 Hewlett-Packard Company Integrated circuit device having improved junction connections
KR940002772B1 (ko) * 1984-08-31 1994-04-02 가부시기가이샤 히다찌세이사꾸쇼 반도체 집적회로 장치 및 그 제조방법
US5545904A (en) 1986-01-17 1996-08-13 Quick Technologies Ltd. Personalizable gate array devices
JPH0695570B2 (ja) 1985-02-07 1994-11-24 三菱電機株式会社 半導体集積回路装置
JPS61202451A (ja) 1985-03-05 1986-09-08 Nec Corp 半導体集積回路の配線構体
US4804636A (en) * 1985-05-01 1989-02-14 Texas Instruments Incorporated Process for making integrated circuits having titanium nitride triple interconnect
US4975756A (en) 1985-05-01 1990-12-04 Texas Instruments Incorporated SRAM with local interconnect
US4657628A (en) 1985-05-01 1987-04-14 Texas Instruments Incorporated Process for patterning local interconnects
JPH0216605Y2 (zh) 1985-05-02 1990-05-08
US4602270A (en) 1985-05-17 1986-07-22 United Technologies Corporation Gate array with reduced isolation
US4627152A (en) * 1985-05-24 1986-12-09 International Business Machines Corporation Automatic layout for cascode voltage switch logic
JPS6247148A (ja) 1985-08-27 1987-02-28 Toshiba Corp 半導体集積回路装置
JPS62169472A (ja) * 1986-01-22 1987-07-25 Hitachi Ltd 半導体集積回路装置
US5097422A (en) 1986-10-10 1992-03-17 Cascade Design Automation Corporation Method and apparatus for designing integrated circuits
US4804626A (en) * 1986-10-22 1989-02-14 The General Hospital Corporation Immunometric assay for the detection of human chorionic gonadotropin
US4745084A (en) 1986-11-12 1988-05-17 Vlsi Technology, Inc. Method of making a customized semiconductor integrated device
US4884115A (en) 1987-02-27 1989-11-28 Siemens Aktiengesellschaft Basic cell for a gate array arrangement in CMOS Technology
US4801986A (en) * 1987-04-03 1989-01-31 General Electric Company Vertical double diffused metal oxide semiconductor VDMOS device with increased safe operating area and method
JP2742052B2 (ja) * 1987-06-12 1998-04-22 日本電信電話株式会社 相補型misマスタスライス論理集積回路
JPH067345B2 (ja) 1987-06-24 1994-01-26 株式会社 エイ・ティ・ア−ル自動翻訳電話研究所 ベクトル量子化を用いた音声認識方式
US5119313A (en) 1987-08-04 1992-06-02 Texas Instruments Incorporated Comprehensive logic circuit layout system
KR100212098B1 (ko) 1987-09-19 1999-08-02 가나이 쓰도무 반도체 집적회로 장치 및 그 제조 방법과 반도체 집적 회로 장치의 배선기판 및 그 제조 방법
US5068603A (en) 1987-10-07 1991-11-26 Xilinx, Inc. Structure and method for producing mask-programmed integrated circuits which are pin compatible substitutes for memory-configured logic arrays
US4812688A (en) * 1987-12-30 1989-03-14 International Business Machines Corporation Transistor delay circuits
JPS63296240A (ja) * 1988-04-22 1988-12-02 Nec Corp 半導体集積回路装置
JPH01284115A (ja) 1988-05-11 1989-11-15 Sharp Corp 論理回路
US5268319A (en) 1988-06-08 1993-12-07 Eliyahou Harari Highly compact EPROM and flash EEPROM devices
US5178905A (en) * 1988-11-24 1993-01-12 Canon Kabushiki Kaisha Process for the formation of a functional deposited film by hydrogen radical-assisted cvd method utilizing hydrogen gas plasma in sheet-like state
US4928160A (en) 1989-01-17 1990-05-22 Ncr Corporation Gate isolated base cell structure with off-grid gate polysilicon pattern
JPH02198154A (ja) 1989-01-27 1990-08-06 Hitachi Ltd 配線の形成方法及びこれを利用した半導体装置
US5224057A (en) 1989-02-28 1993-06-29 Kabushiki Kaisha Toshiba Arrangement method for logic cells in semiconductor IC device
US5351197A (en) 1989-04-13 1994-09-27 Cascade Design Automation Corporation Method and apparatus for designing the layout of a subcircuit in an integrated circuit
JPH03165061A (ja) 1989-11-22 1991-07-17 Hitachi Ltd 半導体集積回路装置
US5298774A (en) 1990-01-11 1994-03-29 Mitsubishi Denki Kabushiki Kaisha Gate array system semiconductor integrated circuit device
US5483104A (en) 1990-01-12 1996-01-09 Paradigm Technology, Inc. Self-aligning contact and interconnect structure
KR100199258B1 (ko) 1990-02-09 1999-06-15 가나이 쓰도무 반도체집적회로장치
US5293701A (en) * 1990-03-19 1994-03-15 Sullivan William W Convertible footwear
US6100025A (en) 1990-04-20 2000-08-08 Cold Spring Harbor Laboratory Cloning by complementation and related processes
US5977305A (en) 1990-04-20 1999-11-02 Cold Spring Harbor Laboratories Cloning by complementation and related processes
US5047979A (en) 1990-06-15 1991-09-10 Integrated Device Technology, Inc. High density SRAM circuit with ratio independent memory cells
US5208765A (en) * 1990-07-20 1993-05-04 Advanced Micro Devices, Inc. Computer-based method and system for product development
US5057895A (en) 1990-08-06 1991-10-15 Harris Corporation Trench conductor and crossunder architecture
US5079614A (en) * 1990-09-26 1992-01-07 S-Mos Systems, Inc. Gate array architecture with basic cell interleaved gate electrodes
JP3017789B2 (ja) 1990-10-18 2000-03-13 三菱電機株式会社 半導体集積回路装置のレイアウト設計方法
JP2851447B2 (ja) 1991-03-08 1999-01-27 三菱電機株式会社 形状シミュレーション方法
US5182272A (en) * 1991-05-03 1993-01-26 G. D. Searle & Co. 8-substituted-dibenz[b,f][1,4]oxazepine-10(11)-carboxylic acid, substituted hydrazides, pharmaceutical compositions, and methods for treating pain
JPH05152937A (ja) 1991-11-26 1993-06-18 Hitachi Ltd 論理ゲート回路
JP3129336B2 (ja) 1991-12-09 2001-01-29 沖電気工業株式会社 半導体記憶装置
US7071060B1 (en) 1996-02-28 2006-07-04 Sandisk Corporation EEPROM with split gate source side infection with sidewall spacers
US5242770A (en) 1992-01-16 1993-09-07 Microunity Systems Engineering, Inc. Mask for photolithography
JP2760195B2 (ja) 1992-01-20 1998-05-28 日本電気株式会社 論理回路
US5526307A (en) 1992-01-22 1996-06-11 Macronix International Co., Ltd. Flash EPROM integrated circuit architecture
JPH05218362A (ja) 1992-02-04 1993-08-27 Sharp Corp ゲートアレイのベーシックセル
IT1257184B (it) 1992-12-22 1996-01-10 Applied Research Systems Preparato ad attivita' antinfiammatoria, anticoagulante e antitumorale
US5367187A (en) 1992-12-22 1994-11-22 Quality Semiconductor, Inc. Master slice gate array integrated circuits with basic cells adaptable for both input/output and logic functions
US5420447A (en) 1993-01-29 1995-05-30 Sgs-Thomson Microelectronics, Inc. Double buffer base gate array cell
US5359226A (en) 1993-02-02 1994-10-25 Paradigm Technology, Inc. Static memory with self aligned contacts and split word lines
US5497334A (en) * 1993-02-19 1996-03-05 International Business Machines Corporation Application generator for use in verifying a hierarchical circuit design
US5410107A (en) 1993-03-01 1995-04-25 The Board Of Trustees Of The University Of Arkansas Multichip module
FR2702595B1 (fr) * 1993-03-11 1996-05-24 Toshiba Kk Structure de câblage multicouche.
US5536955A (en) 1993-03-29 1996-07-16 Toppan Electronics (Usa) Inc. Electronic devices for use in generating integrated circuit structures and method therefor
US5338963A (en) * 1993-04-05 1994-08-16 International Business Machines Corporation Soft error immune CMOS static RAM cell
US5691218A (en) 1993-07-01 1997-11-25 Lsi Logic Corporation Method of fabricating a programmable polysilicon gate array base cell structure
US5396128A (en) 1993-09-13 1995-03-07 Motorola, Inc. Output circuit for interfacing integrated circuits having different power supply potentials
JP3285438B2 (ja) * 1993-10-29 2002-05-27 三菱電機株式会社 半導体記憶装置
JP3144967B2 (ja) 1993-11-08 2001-03-12 株式会社日立製作所 半導体集積回路およびその製造方法
JP2746087B2 (ja) * 1993-12-01 1998-04-28 日本電気株式会社 半導体集積回路
US5625568A (en) 1993-12-22 1997-04-29 Vlsi Technology, Inc. Method and apparatus for compacting integrated circuits with standard cell architectures
JP2684980B2 (ja) 1993-12-24 1997-12-03 日本電気株式会社 半導体記憶装置及びその製造方法
US6675361B1 (en) 1993-12-27 2004-01-06 Hyundai Electronics America Method of constructing an integrated circuit comprising an embedded macro
US5756385A (en) 1994-03-30 1998-05-26 Sandisk Corporation Dense flash EEPROM cell array and peripheral supporting circuits formed in deposited field oxide with the use of spacers
US5378649A (en) * 1994-04-08 1995-01-03 United Microelectronics Corporation Process for producing non-volatile memory devices having closely spaced buried bit lines and non-overlapping code implant areas
US5636002A (en) 1994-04-29 1997-06-03 Lucent Technologies Inc. Auxiliary mask features for enhancing the resolution of photolithography
JP3463180B2 (ja) 1994-05-02 2003-11-05 Necトーキン株式会社 磁性ガーネット酸化物粉末の製造方法及び磁性ガーネット酸化物膜の製造方法
US5591995A (en) 1994-05-10 1997-01-07 Texas Instruments, Incorporated Base cell for BiCMOS and CMOS gate arrays
TW297158B (zh) 1994-05-27 1997-02-01 Hitachi Ltd
JPH0822489A (ja) * 1994-07-07 1996-01-23 Mitsubishi Electric Corp 集積回路のレイアウトパターン生成装置
US5709417A (en) * 1994-07-20 1998-01-20 Verbeck; Ronald J. Interference pipe coupling
JP3202490B2 (ja) 1994-07-22 2001-08-27 株式会社東芝 集積回路のレイアウト方法及び集積回路のレイアウト装置
JP3286470B2 (ja) * 1994-08-09 2002-05-27 三菱電機株式会社 半導体集積回路、半導体集積回路の製造方法及びセルの配置方法
JP3469362B2 (ja) 1994-08-31 2003-11-25 株式会社東芝 半導体記憶装置
US5528177A (en) 1994-09-16 1996-06-18 Research Foundation Of State University Of New York Complementary field-effect transistor logic circuits for wave pipelining
US5497337A (en) * 1994-10-21 1996-03-05 International Business Machines Corporation Method for designing high-Q inductors in silicon technology without expensive metalization
US5852562A (en) 1994-12-13 1998-12-22 Matsushita Electric Industrial Co., Ltd. Method and apparatus for designing an LSI layout utilizing cells having a predetermined wiring height in order to reduce wiring zones
US5835851A (en) * 1995-01-19 1998-11-10 Ericsson Inc. Method and apparatus for echo reduction in a hands-free cellular radio using added noise frames
JPH08292938A (ja) 1995-02-24 1996-11-05 Fujitsu Ltd 有限要素メッシュ発生方法及び装置、並びに解析方法及び装置
JP2647045B2 (ja) 1995-02-28 1997-08-27 日本電気株式会社 半導体記憶装置及びその製造方法
US5682323A (en) 1995-03-06 1997-10-28 Lsi Logic Corporation System and method for performing optical proximity correction on macrocell libraries
US5581098A (en) 1995-05-05 1996-12-03 Circuit Integration Technology, Inc. Circuit routing structure using fewer variable masks
JP3708168B2 (ja) 1995-06-13 2005-10-19 富士通株式会社 遅延装置
JP3535615B2 (ja) 1995-07-18 2004-06-07 株式会社ルネサステクノロジ 半導体集積回路装置
US5774367A (en) 1995-07-24 1998-06-30 Motorola, Inc. Method of selecting device threshold voltages for high speed and low power
US5764533A (en) 1995-08-01 1998-06-09 Sun Microsystems, Inc. Apparatus and methods for generating cell layouts
US5754826A (en) 1995-08-04 1998-05-19 Synopsys, Inc. CAD and simulation system for targeting IC designs to multiple fabrication processes
US5841663A (en) 1995-09-14 1998-11-24 Vlsi Technology, Inc. Apparatus and method for synthesizing integrated circuits using parameterized HDL modules
JPH0993118A (ja) 1995-09-22 1997-04-04 Kawasaki Steel Corp パストランジスタ論理回路
US5789776A (en) 1995-09-22 1998-08-04 Nvx Corporation Single poly memory cell and array
JPH0997885A (ja) 1995-09-28 1997-04-08 Denso Corp ゲートアレイ
US5973369A (en) 1997-03-11 1999-10-26 Nec Corporation SRAM having P-channel TFT as load element with less series-connected high resistance
US5723883A (en) 1995-11-14 1998-03-03 In-Chip Gate array cell architecture and routing scheme
US5640342A (en) * 1995-11-20 1997-06-17 Micron Technology, Inc. Structure for cross coupled thin film transistors and static random access memory cell
JP3400215B2 (ja) 1995-11-21 2003-04-28 沖電気工業株式会社 半導体装置
JP3486725B2 (ja) 1995-11-28 2004-01-13 株式会社ルネサステクノロジ 可変論理集積回路
JP3934719B2 (ja) 1995-12-22 2007-06-20 株式会社東芝 光近接効果補正方法
US6043562A (en) 1996-01-26 2000-03-28 Micron Technology, Inc. Digit line architecture for dynamic memory
KR100229577B1 (ko) 1996-01-31 1999-11-15 포만 제프리 엘 게이트 어레이 셀 및 이것을 포함한 집적 회로 칩
US5798298A (en) 1996-02-09 1998-08-25 United Microelectronics Corporation Method of automatically generating dummy metals for multilevel interconnection
US6269472B1 (en) 1996-02-27 2001-07-31 Lsi Logic Corporation Optical proximity correction method and apparatus
US5705301A (en) * 1996-02-27 1998-01-06 Lsi Logic Corporation Performing optical proximity correction with the aid of design rule checkers
US5698873A (en) 1996-03-08 1997-12-16 Lsi Logic Corporation High density gate array base cell architecture
JPH09282349A (ja) 1996-04-17 1997-10-31 Shinko Electric Ind Co Ltd データ変換処理装置
JPH09289251A (ja) 1996-04-23 1997-11-04 Matsushita Electric Ind Co Ltd 半導体集積回路のレイアウト構造およびその検証方法
JP2914292B2 (ja) 1996-04-25 1999-06-28 日本電気株式会社 半導体装置
US5740068A (en) 1996-05-30 1998-04-14 International Business Machines Corporation Fidelity enhancement of lithographic and reactive-ion-etched images by optical proximity correction
JP2809200B2 (ja) 1996-06-03 1998-10-08 日本電気株式会社 半導体装置の製造方法
US5935763A (en) 1996-06-11 1999-08-10 International Business Machines Corporation Self-aligned pattern over a reflective layer
US6026223A (en) 1996-06-28 2000-02-15 Scepanovic; Ranko Advanced modular cell placement system with overlap remover with minimal noise
JP3311244B2 (ja) 1996-07-15 2002-08-05 株式会社東芝 基本セルライブラリ及びその形成方法
JP2918101B2 (ja) 1996-07-25 1999-07-12 日本電気株式会社 半導体集積回路のレイアウト方法
US5796128A (en) 1996-07-25 1998-08-18 Translogic Technology, Inc. Gate array with fully wired multiplexer circuits
US6338296B1 (en) * 1996-07-26 2002-01-15 Darol Forsythe Release device for slowly releasing sprout inhibitor into packages of potatoes
US5920486A (en) 1996-08-16 1999-07-06 International Business Machines Corporation Parameterized cells for generating dense layouts of VLSI circuits
US5717635A (en) 1996-08-27 1998-02-10 International Business Machines Corporation High density EEPROM for solid state file
JP3152635B2 (ja) 1996-09-09 2001-04-03 三洋電機株式会社 マスタスライス方式の基本セル、半導体集積回路装置、フリップフロップ回路、排他的論理和回路、マルチプレクサ及び加算器
US5858580A (en) * 1997-09-17 1999-01-12 Numerical Technologies, Inc. Phase shifting circuit manufacture method and apparatus
US5790417A (en) 1996-09-25 1998-08-04 Taiwan Semiconductor Manufacturing Company Ltd. Method of automatic dummy layout generation
US5923060A (en) 1996-09-27 1999-07-13 In-Chip Systems, Inc. Reduced area gate array cell design based on shifted placement of alternate rows of cells
US5684733A (en) 1996-09-30 1997-11-04 Holtek Microelectronics, Inc. Fixed resistance high density parallel ROM device
JP3529563B2 (ja) 1996-10-09 2004-05-24 株式会社東芝 半導体集積回路の再レイアウト方法及び半導体集積回路の再レイアウトプログラムを記録した媒体
US5984510A (en) 1996-11-01 1999-11-16 Motorola Inc. Automatic synthesis of standard cell layouts
US6209123B1 (en) * 1996-11-01 2001-03-27 Motorola, Inc. Methods of placing transistors in a circuit layout and semiconductor device with automatically placed transistors
US6099584A (en) 1996-12-06 2000-08-08 Vsli Technology, Inc. System to fix post-layout timing and design rules violations
JP3523762B2 (ja) 1996-12-19 2004-04-26 株式会社東芝 半導体記憶装置
JP3352895B2 (ja) 1996-12-25 2002-12-03 株式会社東芝 半導体集積回路、半導体集積回路の設計方法および製造方法
JPH10189746A (ja) 1996-12-27 1998-07-21 Oki Electric Ind Co Ltd Lsi論理回路の配線レイアウト方法
JP3420694B2 (ja) 1996-12-27 2003-06-30 株式会社東芝 スタンダードセル方式の集積回路
JP3180700B2 (ja) * 1997-02-03 2001-06-25 日本電気株式会社 半導体集積回路装置
JP3036588B2 (ja) 1997-02-03 2000-04-24 日本電気株式会社 半導体記憶装置
JP3352349B2 (ja) * 1997-02-24 2002-12-03 シャープ株式会社 双方向サイリスタ素子
US5900340A (en) * 1997-03-03 1999-05-04 Motorola, Inc. One dimensional lithographic proximity correction using DRC shape functions
US5977574A (en) 1997-03-28 1999-11-02 Lsi Logic Corporation High density gate array cell architecture with sharing of well taps between cells
US6393601B1 (en) * 1997-04-14 2002-05-21 Matsushita Electric Industrial Co., Ltd. Layout designing apparatus for integrated circuit, transistor size determining apparatus, circuit characteristic evaluating method, and transistor size determining method
US5880991A (en) * 1997-04-14 1999-03-09 International Business Machines Corporation Structure for low cost mixed memory integration, new NVRAM structure, and process for forming the mixed memory and NVRAM structure
JP3178799B2 (ja) 1997-04-18 2001-06-25 シャープ株式会社 Mos論理回路及びこのmos論理回路を備えた半導体装置
KR100227621B1 (ko) 1997-05-22 1999-11-01 김영환 반도체 소자의 트랜지스터 제조방법
US6005296A (en) 1997-05-30 1999-12-21 Stmicroelectronics, Inc. Layout for SRAM structure
US6445049B1 (en) 1997-06-30 2002-09-03 Artisan Components, Inc. Cell based array comprising logic, transfer and drive cells
US6282696B1 (en) 1997-08-15 2001-08-28 Lsi Logic Corporation Performing optical proximity correction with the aid of design rule checkers
US6470489B1 (en) 1997-09-17 2002-10-22 Numerical Technologies, Inc. Design rule checking system and method
US6370679B1 (en) * 1997-09-17 2002-04-09 Numerical Technologies, Inc. Data hierarchy layout correction and verification method and apparatus
US6009251A (en) 1997-09-30 1999-12-28 Synopsys, Inc. Method and system for layout verification of an integrated circuit design with reusable subdesigns
US6114071A (en) 1997-11-24 2000-09-05 Asml Masktools Netherlands B.V. Method of fine feature edge tuning with optically-halftoned mask
EP0920025B1 (en) 1997-11-28 2004-02-11 STMicroelectronics S.r.l. A low power RAM memory cell
JP3701781B2 (ja) 1997-11-28 2005-10-05 株式会社ルネサステクノロジ 論理回路とその作成方法
US6034433A (en) 1997-12-23 2000-03-07 Intel Corporation Interconnect structure for protecting a transistor gate from charge damage
JP3926011B2 (ja) 1997-12-24 2007-06-06 株式会社ルネサステクノロジ 半導体装置の設計方法
JP3777768B2 (ja) 1997-12-26 2006-05-24 株式会社日立製作所 半導体集積回路装置およびセルライブラリを記憶した記憶媒体および半導体集積回路の設計方法
KR100278273B1 (ko) 1997-12-30 2001-02-01 김영환 반도체장치의콘택홀형성방법
US6249902B1 (en) 1998-01-09 2001-06-19 Silicon Perspective Corporation Design hierarchy-based placement
US6571140B1 (en) * 1998-01-15 2003-05-27 Eutech Cybernetics Pte Ltd. Service-oriented community agent
JPH11214662A (ja) 1998-01-29 1999-08-06 Mitsubishi Electric Corp 半導体装置
US6091845A (en) 1998-02-24 2000-07-18 Micron Technology, Inc. Inspection technique of photomask
US6378110B1 (en) * 1998-03-31 2002-04-23 Synopsys, Inc. Layer-based rule checking for an integrated circuit layout
US6230299B1 (en) * 1998-03-31 2001-05-08 Mentor Graphics Corporation Method and apparatus for extracting and storing connectivity and geometrical data for a deep sub-micron integrated circuit design
JPH11297856A (ja) * 1998-04-16 1999-10-29 Mitsubishi Electric Corp スタティック半導体記憶装置
US5915199A (en) 1998-06-04 1999-06-22 Sharp Microelectronics Technology, Inc. Method for manufacturing a CMOS self-aligned strapped interconnection
US6262487B1 (en) 1998-06-23 2001-07-17 Kabushiki Kaisha Toshiba Semiconductor integrated circuit device, semiconductor integrated circuit wiring method, and cell arranging method
US6063132A (en) * 1998-06-26 2000-05-16 International Business Machines Corporation Method for verifying design rule checking software
US6480989B2 (en) 1998-06-29 2002-11-12 Lsi Logic Corporation Integrated circuit design incorporating a power mesh
JP2000022160A (ja) * 1998-07-06 2000-01-21 Hitachi Ltd 半導体集積回路及びその製造方法
US6714903B1 (en) * 1998-07-10 2004-03-30 Lsi Logic Corporation Placement and routing of circuits using a combined processing/buffer cell
US6240542B1 (en) * 1998-07-14 2001-05-29 Lsi Logic Corporation Poly routing for chip interconnects with minimal impact on chip performance
US6182272B1 (en) * 1998-07-16 2001-01-30 Lsi Logic Corporation Metal layer assignment
US6353112B1 (en) * 1998-07-17 2002-03-05 The University Of Tennessee Research Corporation Sultams: Solid phase and other synthesis of anti-HIV compounds and compositions
JP3562975B2 (ja) 1998-09-29 2004-09-08 株式会社東芝 集積回路設計方法及び集積回路設計装置
US20020008257A1 (en) 1998-09-30 2002-01-24 John P. Barnak Mosfet gate electrodes having performance tuned work functions and methods of making same
JP2000114262A (ja) 1998-10-05 2000-04-21 Toshiba Corp 半導体装置及びその製造方法
JP3852729B2 (ja) 1998-10-27 2006-12-06 富士通株式会社 半導体記憶装置
US6275973B1 (en) 1998-10-30 2001-08-14 Lsi Logic Corporation Integrated circuit design with delayed cell selection
US6174742B1 (en) * 1998-10-30 2001-01-16 Lsi Logic Corporation Off-grid metal layer utilization
US6166415A (en) 1998-11-02 2000-12-26 Mitsubishi Denki Kabushiki Kaisha Semiconductor device with improved noise resistivity
JP3680594B2 (ja) 1998-11-10 2005-08-10 株式会社日立製作所 半導体集積回路
TW476069B (en) 1998-11-20 2002-02-11 Via Tech Inc Placement and routing for array device
AU1913500A (en) 1998-11-25 2000-06-13 Nanopower, Inc. Improved flip-flops and other logic circuits and techniques for improving layouts of integrated circuits
JP4437565B2 (ja) 1998-11-26 2010-03-24 富士通マイクロエレクトロニクス株式会社 半導体集積回路装置、半導体集積回路装置の設計方法、及び、記録媒体
US6477695B1 (en) 1998-12-09 2002-11-05 Artisan Components, Inc. Methods for designing standard cell transistor structures
US6588005B1 (en) 1998-12-11 2003-07-01 Hitachi, Ltd. Method of manufacturing semiconductor integrated circuit device
KR100291384B1 (ko) * 1998-12-31 2001-07-12 윤종용 반도체장치의레이아웃방법
US6040991A (en) * 1999-01-04 2000-03-21 International Business Machines Corporation SRAM memory cell having reduced surface area
US6159839A (en) 1999-02-11 2000-12-12 Vanguard International Semiconductor Corporation Method for fabricating borderless and self-aligned polysilicon and metal contact landing plugs for multilevel interconnections
US6480032B1 (en) 1999-03-04 2002-11-12 Intel Corporation Gate array architecture
US6691297B1 (en) * 1999-03-04 2004-02-10 Matsushita Electric Industrial Co., Ltd. Method for planning layout for LSI pattern, method for forming LSI pattern and method for generating mask data for LSI
US6974978B1 (en) 1999-03-04 2005-12-13 Intel Corporation Gate array architecture
US6331733B1 (en) 1999-08-10 2001-12-18 Easic Corporation Semiconductor device
US6194912B1 (en) * 1999-03-11 2001-02-27 Easic Corporation Integrated circuit device
US6044007A (en) * 1999-03-24 2000-03-28 Advanced Micro Devices, Inc. Modification of mask layout data to improve writeability of OPC
JP3986036B2 (ja) 1999-04-16 2007-10-03 株式会社日立製作所 半導体集積回路装置
US6505328B1 (en) * 1999-04-27 2003-01-07 Magma Design Automation, Inc. Method for storing multiple levels of design data in a common database
US6507941B1 (en) * 1999-04-28 2003-01-14 Magma Design Automation, Inc. Subgrid detailed routing
JP4565700B2 (ja) 1999-05-12 2010-10-20 ルネサスエレクトロニクス株式会社 半導体装置
US6714742B1 (en) * 1999-05-20 2004-03-30 University Of Southern California Polarization-division multiplexing based on power encoding of different polarization channels
US6492066B1 (en) 1999-05-28 2002-12-10 Advanced Micro Devices, Inc. Characterization and synthesis of OPC structures by fourier space analysis and/or wavelet transform expansion
US6207479B1 (en) 1999-06-14 2001-03-27 Taiwan Semiconductor Manufacturing Co., Ltd. Place and route method for integrated circuit design
US6425112B1 (en) 1999-06-17 2002-07-23 International Business Machines Corporation Auto correction of error checked simulated printed images
US6381730B1 (en) 1999-07-09 2002-04-30 Sequence Design, Inc. Method and system for extraction of parasitic interconnect impedance including inductance
US6525350B1 (en) * 1999-07-16 2003-02-25 Kawasaki Steel Corporation Semiconductor integrated circuit basic cell semiconductor integrated circuit using the same
JP2001056463A (ja) 1999-08-20 2001-02-27 Casio Comput Co Ltd 液晶表示装置
JP2001068558A (ja) 1999-08-30 2001-03-16 Hitachi Ltd 半導体集積回路装置
US6436805B1 (en) 1999-09-01 2002-08-20 Micron Technology, Inc. Local interconnect structures and methods for making the same
JP2001077199A (ja) * 1999-09-06 2001-03-23 Mitsubishi Electric Corp 半導体集積回路装置
US6496965B1 (en) 1999-09-20 2002-12-17 Magma Design Automation, Inc. Automated design of parallel drive standard cells
TW423218B (en) * 1999-10-06 2001-02-21 Ind Tech Res Inst Charge-redistribution low-swing differential logic circuit
US6194104B1 (en) * 1999-10-12 2001-02-27 Taiwan Semiconductor Manufacturing Company Optical proximity correction (OPC) method for improving lithography process window
US6737347B1 (en) * 1999-10-20 2004-05-18 Texas Instruments Incorporated Semiconductor device with fully self-aligned local interconnects, and method for fabricating the device
US6426269B1 (en) 1999-10-21 2002-07-30 International Business Machines Corporation Dummy feature reduction using optical proximity effect correction
US6255845B1 (en) 1999-11-16 2001-07-03 Advanced Micro Devices, Inc. Efficient use of spare gates for post-silicon debug and enhancements
WO2001037345A1 (en) 1999-11-17 2001-05-25 Aeroflex Utmc Microelectronic Systems Inc. Radiation resistant integrated circuit design
JP2001144603A (ja) 1999-11-18 2001-05-25 Oki Micro Design Co Ltd レベルシフタ回路およびそれを含むデータ出力回路
US6834375B1 (en) 1999-11-18 2004-12-21 Pdf Solutions, Inc. System and method for product yield prediction using a logic characterization vehicle
JP2001168707A (ja) 1999-12-03 2001-06-22 Sony Corp 論理回路およびそれを用いた全加算器
US6421820B1 (en) 1999-12-13 2002-07-16 Infineon Technologies Ag Semiconductor device fabrication using a photomask with assist features
US6303252B1 (en) 1999-12-27 2001-10-16 United Microelectronics Corp. Reticle having assist feature between semi-dense lines
US6295224B1 (en) * 1999-12-30 2001-09-25 Stmicroelectronics, Inc. Circuit and method of fabricating a memory cell for a static random access memory
KR100346832B1 (ko) 2000-01-12 2002-08-03 삼성전자 주식회사 스태틱 랜덤 억세스 메모리 소자 및 그 제조 방법
US6737199B1 (en) * 2000-01-31 2004-05-18 Taiwan Semiconductor Manufacturing Company Using new pattern fracturing rules for optical proximity correction mask-making to improve critical dimension uniformity
US6408427B1 (en) 2000-02-22 2002-06-18 The Regents Of The University Of California Wire width planning and performance optimization for VLSI interconnects
US6756811B2 (en) 2000-03-10 2004-06-29 Easic Corporation Customizable and programmable cell array
US6331790B1 (en) 2000-03-10 2001-12-18 Easic Corporation Customizable and programmable cell array
US6399972B1 (en) 2000-03-13 2002-06-04 Oki Electric Industry Co., Ltd. Cell based integrated circuit and unit cell architecture therefor
US6536028B1 (en) * 2000-03-14 2003-03-18 Ammocore Technologies, Inc. Standard block architecture for integrated circuit design
JP2001272228A (ja) 2000-03-24 2001-10-05 Railway Technical Res Inst 相対変位量計測システム及び相対変位量計測方法
US6356112B1 (en) * 2000-03-28 2002-03-12 Translogic Technology, Inc. Exclusive or/nor circuit
US6553544B2 (en) * 2000-04-04 2003-04-22 Matsushita Electric Industrial Co., Ltd. Method for design of partial circuit
US6624459B1 (en) * 2000-04-12 2003-09-23 International Business Machines Corp. Silicon on insulator field effect transistors having shared body contact
JP2001306641A (ja) 2000-04-27 2001-11-02 Victor Co Of Japan Ltd 半導体集積回路の自動配置配線方法
US6416907B1 (en) 2000-04-27 2002-07-09 Micron Technology, Inc. Method for designing photolithographic reticle layout, reticle, and photolithographic process
TW512424B (en) * 2000-05-01 2002-12-01 Asml Masktools Bv Hybrid phase-shift mask
US6583041B1 (en) 2000-05-01 2003-06-24 Advanced Micro Devices, Inc. Microdevice fabrication method using regular arrays of lines and spaces
JP4885365B2 (ja) 2000-05-16 2012-02-29 ルネサスエレクトロニクス株式会社 半導体装置
US6509952B1 (en) * 2000-05-23 2003-01-21 Silicon Valley Group, Inc. Method and system for selective linewidth optimization during a lithographic process
JP2001332626A (ja) * 2000-05-24 2001-11-30 Fujitsu Ltd 半導体集積回路の設計方法
US6610607B1 (en) 2000-05-25 2003-08-26 International Business Machines Corporation Method to define and tailor process limited lithographic features using a modified hard mask process
US6617621B1 (en) 2000-06-06 2003-09-09 Virage Logic Corporation Gate array architecture using elevated metal levels for customization
US6445065B1 (en) 2000-06-06 2002-09-03 In-Chip Systems, Inc. Routing driven, metal programmable integrated circuit architecture with multiple types of core cells
US6425113B1 (en) 2000-06-13 2002-07-23 Leigh C. Anderson Integrated verification and manufacturability tool
US6889370B1 (en) 2000-06-20 2005-05-03 Unisys Corporation Method and apparatus for selecting and aligning cells using a placement tool
JP2002026296A (ja) * 2000-06-22 2002-01-25 Internatl Business Mach Corp <Ibm> 半導体集積回路装置
JP2002009160A (ja) * 2000-06-26 2002-01-11 Nec Microsystems Ltd 半導体集積回路の自動レイアウト方法、この方法で製造した半導体集積回路及びこの方法を記録した記録媒体
US7225423B2 (en) 2000-06-30 2007-05-29 Zenasis Technologies, Inc. Method for automated design of integrated circuits with targeted quality objectives using dynamically generated building blocks
US6733929B2 (en) 2000-07-05 2004-05-11 Numerical Technologies, Inc. Phase shift masking for complex patterns with proximity adjustments
US6787271B2 (en) 2000-07-05 2004-09-07 Numerical Technologies, Inc. Design and layout of phase shifting photolithographic masks
US6978436B2 (en) 2000-07-05 2005-12-20 Synopsys, Inc. Design data format and hierarchy management for phase processing
US7028285B2 (en) * 2000-07-05 2006-04-11 Synopsys, Inc. Standard cell design incorporating phase information
JP4794030B2 (ja) * 2000-07-10 2011-10-12 ルネサスエレクトロニクス株式会社 半導体装置
US6516459B1 (en) * 2000-07-10 2003-02-04 Mentor Graphics Corporation Integrated circuit design correction using fragment correspondence
US6632741B1 (en) 2000-07-19 2003-10-14 International Business Machines Corporation Self-trimming method on looped patterns
US6574786B1 (en) * 2000-07-21 2003-06-03 Aeroflex UTMC Microelectronics Systems, Inc. Gate array cell generator using cadence relative object design
US20050136340A1 (en) 2000-07-21 2005-06-23 Asml Netherlands B.V. Lithographic apparatus and methods, patterning structure and method for making a patterning structure, device manufacturing method, and device manufactured thereby
US6523162B1 (en) * 2000-08-02 2003-02-18 Numerical Technologies, Inc. General purpose shape-based layout processing scheme for IC layout modifications
JP4357101B2 (ja) * 2000-08-23 2009-11-04 株式会社ルネサステクノロジ 半導体記憶装置
JP4764987B2 (ja) 2000-09-05 2011-09-07 富士電機株式会社 超接合半導体素子
US6818389B2 (en) 2000-09-13 2004-11-16 Massachusetts Institute Of Technology Method of design and fabrication of integrated circuits using regular arrays and gratings
JP3527483B2 (ja) * 2000-09-21 2004-05-17 松下電器産業株式会社 Cmos型基本セル及びこれを使用した半導体集積回路並びにその半導体集積回路の製造方法
US6800883B2 (en) 2000-09-21 2004-10-05 Matsushita Electric Industrial Co., Ltd. CMOS basic cell and method for fabricating semiconductor integrated circuit using the same
US6625801B1 (en) 2000-09-29 2003-09-23 Numerical Technologies, Inc. Dissection of printed edges from a fabrication layout for correcting proximity effects
US6453457B1 (en) 2000-09-29 2002-09-17 Numerical Technologies, Inc. Selection of evaluation point locations based on proximity effects model amplitudes for correcting proximity effects in a fabrication layout
US6557162B1 (en) 2000-09-29 2003-04-29 Numerical Technologies, Inc. Method for high yield reticle formation
US6794677B2 (en) 2000-10-02 2004-09-21 Matsushita Electric Industrial Co., Ltd. Semiconductor integrated circuit device and method for fabricating the same
US6555450B2 (en) 2000-10-04 2003-04-29 Samsung Electronics Co., Ltd. Contact forming method for semiconductor device
US6566720B2 (en) * 2000-10-05 2003-05-20 United Memories, Inc. Base cell layout permitting rapid layout with minimum clock line capacitance on CMOS standard-cell and gate-array integrated circuits
US6978437B1 (en) 2000-10-10 2005-12-20 Toppan Photomasks, Inc. Photomask for eliminating antenna effects in an integrated circuit and integrated circuit manufacture with same
KR20020034313A (ko) 2000-10-31 2002-05-09 박종섭 에스램셀의 제조 방법
US6498088B1 (en) 2000-11-09 2002-12-24 Micron Technology, Inc. Stacked local interconnect structure and method of fabricating same
US6703170B1 (en) 2000-12-13 2004-03-09 Dupont Photomasks, Inc. Method and apparatus for reducing loading effects on a semiconductor manufacturing component during an etch process
JP2002184870A (ja) 2000-12-18 2002-06-28 Mitsubishi Electric Corp スタティック型半導体記憶装置
KR100355036B1 (ko) * 2000-12-22 2002-10-05 삼성전자 주식회사 크로스 커플드 트랜지스터 쌍의 레이아웃 방법
US6992394B2 (en) * 2000-12-28 2006-01-31 Infineon Technologies Ag Multi-level conductive lines with reduced pitch
US6553559B2 (en) * 2001-01-05 2003-04-22 International Business Machines Corporation Method to determine optical proximity correction and assist feature rules which account for variations in mask dimensions
US6578190B2 (en) 2001-01-11 2003-06-10 International Business Machines Corporation Process window based optical proximity correction of lithographic images
JP2002289703A (ja) 2001-01-22 2002-10-04 Nec Corp 半導体記憶装置およびその製造方法
JP2002252161A (ja) 2001-02-23 2002-09-06 Hitachi Ltd 半導体製造システム
US6792591B2 (en) 2001-02-28 2004-09-14 Asml Masktools B.V. Method of identifying an extreme interaction pitch region, methods of designing mask patterns and manufacturing masks, device manufacturing methods and computer programs
JP4928675B2 (ja) 2001-03-01 2012-05-09 エルピーダメモリ株式会社 半導体装置
JP4736206B2 (ja) 2001-03-05 2011-07-27 大日本印刷株式会社 フォトマスクパタン欠陥検査方法および微細図形パタンの検出方法
EP1241525B1 (en) 2001-03-14 2004-12-15 ASML MaskTools B.V. An optical proximity correction method utilizing ruled ladder bars as sub-resolution assist features
US6514849B1 (en) * 2001-04-02 2003-02-04 Advanced Micro Devices, Inc. Method of forming smaller contact size using a spacer hard mask
US6732334B2 (en) 2001-04-02 2004-05-04 Matsushita Electric Industrial Co., Ltd. Analog MOS semiconductor device, manufacturing method therefor, manufacturing program therefor, and program device therefor
US6534805B1 (en) 2001-04-09 2003-03-18 Cypress Semiconductor Corp. SRAM cell design
US6574779B2 (en) 2001-04-12 2003-06-03 International Business Machines Corporation Hierarchical layout method for integrated circuits
US6505327B2 (en) * 2001-04-13 2003-01-07 Numerical Technologies, Inc. Generating an instance-based representation of a design hierarchy
US6524870B2 (en) 2001-04-24 2003-02-25 Pell, Iii Edwin A. Method and apparatus for improving resolution of objects in a semiconductor wafer
JP4187947B2 (ja) 2001-04-26 2008-11-26 株式会社東芝 パターン補正方法、パターン補正装置、およびパターン補正プログラムを記録した記録媒体
US6936908B2 (en) 2001-05-03 2005-08-30 Ixys Corporation Forward and reverse blocking devices
US6553562B2 (en) 2001-05-04 2003-04-22 Asml Masktools B.V. Method and apparatus for generating masks utilized in conjunction with dipole illumination techniques
US6533559B2 (en) * 2001-05-11 2003-03-18 Hsieh Hsin-Mao Heat dissipating fan with multiple layers of blades
US6590289B2 (en) 2001-05-17 2003-07-08 Lsi Logic Corporation Hexadecagonal routing
US6523156B2 (en) * 2001-06-08 2003-02-18 Library Technologies, Inc. Apparatus and methods for wire load independent logic synthesis and timing closure with constant replacement delay cell libraries
JP2002368135A (ja) 2001-06-12 2002-12-20 Hitachi Ltd 半導体記憶装置
US6759282B2 (en) 2001-06-12 2004-07-06 International Business Machines Corporation Method and structure for buried circuits and devices
CA2354577C (en) * 2001-06-19 2007-10-09 U-Haul International, Inc. Trailer
JP4746770B2 (ja) 2001-06-19 2011-08-10 ルネサスエレクトロニクス株式会社 半導体装置
US6609235B2 (en) 2001-06-22 2003-08-19 Bae Systems Information And Electronic Systems Integration, Inc. Method for providing a fill pattern for an integrated circuit design
US7079989B2 (en) 2001-06-29 2006-07-18 Shmuel Wimer Arrangements for automatic re-legging of transistors
US6835591B2 (en) * 2001-07-25 2004-12-28 Nantero, Inc. Methods of nanotube films and articles
DE10137830A1 (de) 2001-08-02 2003-02-27 Infineon Technologies Ag Verfahren zum Herstellen einer selbstjustierten Struktur auf einem Halbleiter-Wafer
US6684382B2 (en) 2001-08-31 2004-01-27 Numerical Technologies, Inc. Microloading effect correction
DE10143723B4 (de) 2001-08-31 2006-09-28 Infineon Technologies Ag Verfahren zur Optimierung eines Layouts für eine Maske zur Verwendung bei der Halbleiterherstellung
US6633182B2 (en) * 2001-09-05 2003-10-14 Carnegie Mellon University Programmable gate array based on configurable metal interconnect vias
JP4786836B2 (ja) 2001-09-07 2011-10-05 富士通セミコンダクター株式会社 配線接続部設計方法及び半導体装置
JP2003092250A (ja) 2001-09-18 2003-03-28 Hitachi Ltd 半導体装置及びその製造方法
JP3989213B2 (ja) 2001-09-25 2007-10-10 シャープ株式会社 パストランジスタ論理回路
JP3637299B2 (ja) 2001-10-05 2005-04-13 松下電器産業株式会社 半導体記憶装置
TWI228642B (en) * 2001-10-09 2005-03-01 Asml Masktools Inc Method of two dimensional feature model calibration and optimization
JP2003124339A (ja) 2001-10-11 2003-04-25 Toshiba Corp 半導体装置およびその製造方法
JP3526450B2 (ja) 2001-10-29 2004-05-17 株式会社東芝 半導体集積回路およびスタンダードセル配置設計方法
JP2003142584A (ja) 2001-11-05 2003-05-16 Matsushita Electric Ind Co Ltd 半導体集積回路装置の設計方法
US6833593B2 (en) 2001-11-09 2004-12-21 Thin Film Electronics Asa Electrode means, a method for its manufacture, an apparatus comprising the electrode means as well as use of the latter
JP2003218238A (ja) * 2001-11-14 2003-07-31 Mitsubishi Electric Corp 半導体記憶装置
US6673638B1 (en) * 2001-11-14 2004-01-06 Kla-Tencor Corporation Method and apparatus for the production of process sensitive lithographic features
JP3789351B2 (ja) 2001-11-30 2006-06-21 株式会社日立製作所 反射型液晶表示装置及びその製造方法
JP2003168640A (ja) 2001-12-03 2003-06-13 Hitachi Ltd 半導体装置の製造方法
JP2003188361A (ja) 2001-12-20 2003-07-04 Mitsubishi Electric Corp ゲートアレイ構造の半導体集積回路
JP3828419B2 (ja) 2001-12-25 2006-10-04 株式会社東芝 半導体装置及びその製造方法
US6787469B2 (en) 2001-12-28 2004-09-07 Texas Instruments Incorporated Double pattern and etch of poly with hard mask
US7159197B2 (en) * 2001-12-31 2007-01-02 Synopsys, Inc. Shape-based geometry engine to perform smoothing and other layout beautification operations
US6817000B2 (en) 2002-01-02 2004-11-09 International Business Machines Corporation Delay correlation analysis and representation for vital complaint VHDL models
US7085701B2 (en) 2002-01-02 2006-08-01 International Business Machines Corporation Size reduction techniques for vital compliant VHDL simulation models
JP2003203993A (ja) 2002-01-10 2003-07-18 Mitsubishi Electric Corp 半導体記憶装置及びその製造方法
US6749972B2 (en) 2002-01-15 2004-06-15 Taiwan Semiconductor Manufacturing Co., Ltd. Optical proximity correction common process window maximization over varying feature pitch
US6721926B2 (en) 2002-01-25 2004-04-13 Intel Corporation Method and apparatus for improving digital circuit design
US6662350B2 (en) * 2002-01-28 2003-12-09 International Business Machines Corporation FinFET layout generation
US6820248B1 (en) 2002-02-14 2004-11-16 Xilinx, Inc. Method and apparatus for routing interconnects to devices with dissimilar pitches
US6904582B1 (en) 2002-02-28 2005-06-07 Dupont Photomasks, Inc. Photomask for reducing power supply voltage fluctuations in an integrated circuit and integrated circuit manufactured with the same
JP2003264231A (ja) 2002-03-11 2003-09-19 Mitsubishi Electric Corp レイアウト設計方法および半導体装置
TWI252516B (en) 2002-03-12 2006-04-01 Toshiba Corp Determination method of process parameter and method for determining at least one of process parameter and design rule
US7386433B2 (en) 2002-03-15 2008-06-10 Synopsys, Inc. Using a suggested solution to speed up a process for simulating and correcting an integrated circuit layout
US6732338B2 (en) * 2002-03-20 2004-05-04 International Business Machines Corporation Method for comprehensively verifying design rule checking runsets
US6765245B2 (en) 2002-03-25 2004-07-20 Bae Systems Information And Electronic Systems Integration Inc. Gate array core cell for VLSI ASIC devices
US6754121B2 (en) * 2002-03-29 2004-06-22 Stmicroelectronics, Inc. Sense amplifying circuit and method
US6745372B2 (en) 2002-04-05 2004-06-01 Numerical Technologies, Inc. Method and apparatus for facilitating process-compliant layout optimization
US6789246B1 (en) 2002-04-07 2004-09-07 Barcelona Design, Inc. Method and apparatus for automatic layout of circuit structures
US7252909B2 (en) 2002-04-18 2007-08-07 Taiwan Semiconductor Manufacturing Co., Ltd. Method to reduce CD non-uniformity in IC manufacturing
JP4190796B2 (ja) 2002-04-24 2008-12-03 Necエレクトロニクス株式会社 露光原版の作成方法
US6992925B2 (en) * 2002-04-26 2006-01-31 Kilopass Technologies, Inc. High density semiconductor memory cell and memory array using a single transistor and having counter-doped poly and buried diffusion wordline
US6826738B2 (en) 2002-05-10 2004-11-30 Pdf Solutions, Inc. Optimization of die placement on wafers
US6794914B2 (en) * 2002-05-24 2004-09-21 Qualcomm Incorporated Non-volatile multi-threshold CMOS latch with leakage control
JP2004013920A (ja) 2002-06-03 2004-01-15 Mitsubishi Electric Corp 半導体記憶装置
US6980211B2 (en) 2002-06-04 2005-12-27 Springsoft, Inc. Automatic schematic diagram generation using topology information
US7363099B2 (en) 2002-06-07 2008-04-22 Cadence Design Systems, Inc. Integrated circuit metrology
US7774726B2 (en) 2002-06-07 2010-08-10 Cadence Design Systems, Inc. Dummy fill for integrated circuits
US7124386B2 (en) 2002-06-07 2006-10-17 Praesagus, Inc. Dummy fill for integrated circuits
WO2003104921A2 (en) 2002-06-07 2003-12-18 Praesagus, Inc. Characterization adn reduction of variation for integrated circuits
US7152215B2 (en) 2002-06-07 2006-12-19 Praesagus, Inc. Dummy fill for integrated circuits
US20030229875A1 (en) * 2002-06-07 2003-12-11 Smith Taber H. Use of models in integrated circuit fabrication
US7712056B2 (en) 2002-06-07 2010-05-04 Cadence Design Systems, Inc. Characterization and verification for integrated circuit designs
JP3879063B2 (ja) 2002-06-11 2007-02-07 富士通株式会社 半導体装置およびその製造方法
US6795953B2 (en) 2002-06-11 2004-09-21 Hpl Technologies, Inc. Method for avoiding false failures attributable to dummy interconnects during defect analysis of an integrated circuit design
JP2004022070A (ja) 2002-06-17 2004-01-22 Renesas Technology Corp 半導体記憶装置
US7039882B2 (en) * 2002-06-17 2006-05-02 Amar Pal Singh Rana Technology dependent transformations for Silicon-On-Insulator in digital design synthesis
JP4036688B2 (ja) 2002-06-18 2008-01-23 松下電器産業株式会社 自動配置配線用スタンダードセルライブラリ及び半導体集積装置
EP1376676A3 (en) 2002-06-24 2008-08-20 Interuniversitair Microelektronica Centrum Vzw Multibit non-volatile memory device and method
JP4462528B2 (ja) 2002-06-24 2010-05-12 株式会社日立製作所 半導体集積回路装置
US6687895B2 (en) * 2002-07-03 2004-02-03 Numerical Technologies Inc. Method and apparatus for reducing optical proximity correction output file size
US6998722B2 (en) 2002-07-08 2006-02-14 Viciciv Technology Semiconductor latches and SRAM devices
JP2004040042A (ja) 2002-07-08 2004-02-05 Fujitsu Ltd 半導体記憶装置
US20040009409A1 (en) 2002-07-11 2004-01-15 Jiunn-Ren Hwang Optical proximity correction method
US7063923B2 (en) 2002-07-11 2006-06-20 United Electronics Corp. Optical proximity correction method
WO2004008245A2 (en) 2002-07-12 2004-01-22 Cadence Design Systems, Inc. Method and system for context-specific mask inspection
JP4416384B2 (ja) 2002-07-19 2010-02-17 株式会社ルネサステクノロジ 半導体集積回路
KR100445638B1 (ko) 2002-07-26 2004-08-25 삼성전자주식회사 전기적으로 분리된 영역들을 연결하는 상호 연결 구조 및그 제조방법
US7739624B2 (en) 2002-07-29 2010-06-15 Synopsys, Inc. Methods and apparatuses to generate a shielding mesh for integrated circuit devices
US7171645B2 (en) 2002-08-06 2007-01-30 Matsushita Electric Industrial Co., Ltd. Semiconductor device, method of generating pattern for semiconductor device, method of manufacturing semiconductor device and device of generating pattern used for semiconductor device
KR100493025B1 (ko) 2002-08-07 2005-06-07 삼성전자주식회사 반도체 메모리 장치의 제조 방법
FR2843481B1 (fr) * 2002-08-08 2005-09-16 Soisic Memoire sur substrat du type silicium sur isolant
US6789244B1 (en) 2002-08-08 2004-09-07 Xilinx, Inc. Placement of clock objects under constraints
US7143380B1 (en) 2002-08-08 2006-11-28 Xilinx, Inc. Method for application of network flow techniques under constraints
US6785875B2 (en) * 2002-08-15 2004-08-31 Fulcrum Microsystems, Inc. Methods and apparatus for facilitating physical synthesis of an integrated circuit design
US6854100B1 (en) * 2002-08-27 2005-02-08 Taiwan Semiconductor Manufacturing Company Methodology to characterize metal sheet resistance of copper damascene process
US7345511B2 (en) 2002-08-29 2008-03-18 Technion Research & Development Foundation Ltd. Logic circuit and method of logic circuit design
JP3795846B2 (ja) 2002-08-29 2006-07-12 富士通株式会社 半導体装置
US6734521B2 (en) 2002-08-30 2004-05-11 Texas Instruments Incorporated Integrated circuit cells
DE10241170A1 (de) 2002-09-05 2004-03-18 Infineon Technologies Ag Hochdichter NROM-FINFET
US20040049754A1 (en) * 2002-09-06 2004-03-11 Sun Microsystems, Inc. Method and apparatus for filling and connecting filler material in a layout
TWI274969B (en) 2002-09-11 2007-03-01 Asml Masktools Bv Method and computer program product of generating masks and mask generated thereby, device manufacturing method and device manufactured thereby, and method of printing pattern
US6807663B2 (en) 2002-09-23 2004-10-19 Numerical Technologies, Inc. Accelerated layout processing using OPC pre-processing
US6928635B2 (en) 2002-09-25 2005-08-09 Numerical Technologies, Inc. Selectively applying resolution enhancement techniques to improve performance and manufacturing cost of integrated circuits
US7327597B1 (en) 2002-10-02 2008-02-05 Cisco Technology, Inc. Static random access memory architecture
WO2004034463A1 (ja) 2002-10-10 2004-04-22 Fujitsu Limited レイアウト方法及び装置並びにそのプログラム及び記録媒体
US7214579B2 (en) 2002-10-24 2007-05-08 Nxp Bv. Self-aligned 2-bit “double poly CMP” flash memory cell
US6994939B1 (en) 2002-10-29 2006-02-07 Advanced Micro Devices, Inc. Semiconductor manufacturing resolution enhancement system and method for simultaneously patterning different feature types
US7053424B2 (en) 2002-10-31 2006-05-30 Yamaha Corporation Semiconductor integrated circuit device and its manufacture using automatic layout
JP4826055B2 (ja) * 2002-10-31 2011-11-30 ヤマハ株式会社 半導体集積回路装置製造方法、半導体集積回路装置製造装置、プログラム、半導体集積回路装置および半導体集積回路装置の自動配置指示方法
US7219326B2 (en) 2002-12-16 2007-05-15 Intrinsity, Inc. Physical realization of dynamic logic using parameterized tile partitioning
JP3848248B2 (ja) 2002-12-17 2006-11-22 株式会社東芝 Sramセルおよびそれを用いたメモリ集積回路
US6953956B2 (en) 2002-12-18 2005-10-11 Easic Corporation Semiconductor device having borderless logic array and flexible I/O
US7378710B2 (en) 2002-12-19 2008-05-27 International Business Machines Corporation FinFET SRAM cell using inverted FinFET thin film transistors
US7093228B2 (en) 2002-12-20 2006-08-15 Lsi Logic Corporation Method and system for classifying an integrated circuit for optical proximity correction
EP1434264A3 (en) 2002-12-27 2017-01-18 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method using the transfer technique
JP4202120B2 (ja) 2002-12-27 2008-12-24 セイコーインスツル株式会社 集積回路の最適化設計装置
US6898770B2 (en) 2003-01-09 2005-05-24 Lsi Logic Corporation Split and merge design flow concept for fast turnaround time of circuit layout design
JP4136684B2 (ja) * 2003-01-29 2008-08-20 Necエレクトロニクス株式会社 半導体装置及びそのダミーパターンの配置方法
US6996790B2 (en) 2003-01-30 2006-02-07 Synopsys, Inc. System and method for generating a two-dimensional yield map for a full layout
JP2004241529A (ja) 2003-02-05 2004-08-26 Matsushita Electric Ind Co Ltd 半導体回路装置及びその回路シミュレーション方法
US6884712B2 (en) * 2003-02-07 2005-04-26 Chartered Semiconductor Manufacturing, Ltd. Method of manufacturing semiconductor local interconnect and contact
JP2004253730A (ja) 2003-02-21 2004-09-09 Renesas Technology Corp 半導体集積回路装置およびその製造方法
US6777146B1 (en) 2003-02-21 2004-08-17 International Business Machines Corporation Method of optical proximity correction with sub-resolution assists
US7149999B2 (en) 2003-02-25 2006-12-12 The Regents Of The University Of California Method for correcting a mask design layout
JP4531340B2 (ja) 2003-02-27 2010-08-25 ルネサスエレクトロニクス株式会社 マルチプレクサセルのレイアウト構造
US20040229135A1 (en) 2003-02-27 2004-11-18 Jun Wang Multiple exposure method for circuit performance improvement
JP4252830B2 (ja) * 2003-03-24 2009-04-08 テルモ株式会社 心臓治療装置
JP4290457B2 (ja) 2003-03-31 2009-07-08 株式会社ルネサステクノロジ 半導体記憶装置
JP3920804B2 (ja) 2003-04-04 2007-05-30 松下電器産業株式会社 半導体記憶装置
US6931617B2 (en) 2003-04-21 2005-08-16 Synopsys, Inc. Mask cost driven logic optimization and synthesis
TW594991B (en) 2003-04-29 2004-06-21 Faraday Tech Corp Integrated circuit with one metal layer for programming functionality of a logic operation module
US7065731B2 (en) 2003-05-07 2006-06-20 Cadence Design Systems, Inc. Removal of acute angles in a design layout
TWI371674B (en) 2003-05-07 2012-09-01 Mosaid Technologies Inc Managing power on integrated circuits using power islands
US7093208B2 (en) 2003-05-12 2006-08-15 International Business Machines Corporation Method for tuning a digital design for synthesized random logic circuit macros in a continuous design space with optional insertion of multiple threshold voltage devices
JP2004342757A (ja) * 2003-05-14 2004-12-02 Toshiba Corp 半導体集積回路及びその設計方法
US7063920B2 (en) 2003-05-16 2006-06-20 Asml Holding, N.V. Method for the generation of variable pitch nested lines and/or contact holes using fixed size pixels for direct-write lithographic systems
JP4233381B2 (ja) 2003-05-21 2009-03-04 株式会社ルネサステクノロジ 半導体装置とその製造方法
US7062740B2 (en) 2003-05-22 2006-06-13 Taiwan Semiconductor Manufacturing Co., Ltd. System and method for reducing design cycle time for designing input/output cells
US7770144B2 (en) 2003-05-28 2010-08-03 Eric Dellinger Modular array defined by standard cell logic
US7107551B1 (en) 2003-05-30 2006-09-12 Prolific, Inc. Optimization of circuit designs using a continuous spectrum of library cells
US7291878B2 (en) 2003-06-03 2007-11-06 Hitachi Global Storage Technologies Netherlands B.V. Ultra low-cost solid-state memory
JP3884408B2 (ja) 2003-06-03 2007-02-21 幸弘 経澤 水分除去装置
US7183611B2 (en) 2003-06-03 2007-02-27 Micron Technology, Inc. SRAM constructions, and electronic systems comprising SRAM constructions
US7400627B2 (en) 2003-06-05 2008-07-15 Brooktree Broadband Holding, Inc. ATM header compression using hash tables
US6992916B2 (en) 2003-06-13 2006-01-31 Taiwan Semiconductor Manufacturing Co., Ltd. SRAM cell design with high resistor CMOS gate structure for soft error rate improvement
JP4245418B2 (ja) 2003-06-25 2009-03-25 富士通マイクロエレクトロニクス株式会社 斜め方向配線を有する半導体集積回路装置及びそのレイアウト方法
US20050009312A1 (en) * 2003-06-26 2005-01-13 International Business Machines Corporation Gate length proximity corrected device
US6900999B1 (en) * 2003-06-30 2005-05-31 Integrated Device Technology, Inc. Ternary content addressable memory (TCAM) cells with small footprint size and efficient layout aspect ratio
KR100577610B1 (ko) 2003-07-15 2006-05-10 삼성전자주식회사 반도체 장치, 반도체 장치의 제조 방법 및 에스램 장치,에스램 장치 제조 방법.
US6993741B2 (en) * 2003-07-15 2006-01-31 International Business Machines Corporation Generating mask patterns for alternating phase-shift mask lithography
EP1519421A1 (en) 2003-09-25 2005-03-30 Interuniversitair Microelektronica Centrum Vzw Multiple gate semiconductor device and method for forming same
US6921982B2 (en) 2003-07-21 2005-07-26 International Business Machines Corporation FET channel having a strained lattice structure along multiple surfaces
EP1503411A1 (fr) 2003-07-30 2005-02-02 St Microelectronics S.A. Lignes conductrices enterrées dans des zones d'isolement
JP4398195B2 (ja) 2003-08-08 2010-01-13 パナソニック株式会社 半導体記憶装置
US6924560B2 (en) 2003-08-08 2005-08-02 Taiwan Semiconductor Manufacturing Co., Ltd. Compact SRAM cell with FinFET
JP4620942B2 (ja) 2003-08-21 2011-01-26 川崎マイクロエレクトロニクス株式会社 半導体集積回路のレイアウト方法、そのレイアウト構造、およびフォトマスク
TWI220268B (en) * 2003-09-17 2004-08-11 Faraday Tech Corp Method for programming a routing layout design through one via layer
US7345909B2 (en) 2003-09-24 2008-03-18 Yen-Jen Chang Low-power SRAM memory cell
US6957402B2 (en) 2003-09-24 2005-10-18 Artisan Components, Inc. Yield maximization in the manufacture of integrated circuits
KR100516226B1 (ko) * 2003-09-25 2005-09-23 동부아남반도체 주식회사 에스램 테스트용 셀 및 에스램 셀 테스트 방법
US7521224B2 (en) * 2003-09-30 2009-04-21 The United States Of America As Represented By The Secretary Of The Navy Microelectronic cell electroporation array
JP4599048B2 (ja) 2003-10-02 2010-12-15 川崎マイクロエレクトロニクス株式会社 半導体集積回路のレイアウト構造、半導体集積回路のレイアウト方法、およびフォトマスク
JP2005114752A (ja) 2003-10-02 2005-04-28 Yamaha Corp 演奏装置
JP4632287B2 (ja) 2003-10-06 2011-02-16 株式会社日立製作所 半導体集積回路装置
US7155689B2 (en) 2003-10-07 2006-12-26 Magma Design Automation, Inc. Design-manufacturing interface via a unified model
FR2860920A1 (fr) 2003-10-14 2005-04-15 St Microelectronics Sa Procede de realisation de connexions conductrices de circuits integres, et circuit integre mettant en oeuvre des telles connexions
JP2005123537A (ja) 2003-10-20 2005-05-12 Sony Corp 半導体装置及び製造方法
JP2005123524A (ja) 2003-10-20 2005-05-12 Toshiba Corp 半導体装置及びその製造方法
US6867073B1 (en) 2003-10-21 2005-03-15 Ziptronix, Inc. Single mask via method and device
JP4346410B2 (ja) 2003-10-28 2009-10-21 東芝メモリシステムズ株式会社 半導体集積回路の配線設計方法及び半導体集積回路
US7329953B2 (en) 2003-10-29 2008-02-12 Taiwan Semiconductor Manufacturing Co., Ltd. Structure for reducing leakage currents and high contact resistance for embedded memory and method for making same
JP2005149265A (ja) 2003-11-18 2005-06-09 Olympus Corp 演算処理システム及び演算処理装置
US7269803B2 (en) 2003-12-18 2007-09-11 Lsi Corporation System and method for mapping logical components to physical locations in an integrated circuit design environment
US7052972B2 (en) 2003-12-19 2006-05-30 Micron Technology, Inc. Method for forming sublithographic features during the manufacture of a semiconductor device and a resulting in-process apparatus
KR100702552B1 (ko) 2003-12-22 2007-04-04 인터내셔널 비지네스 머신즈 코포레이션 이중 게이트 FinFET 디자인을 위한 자동화 레이어생성 방법 및 장치
JP4585197B2 (ja) 2003-12-22 2010-11-24 ルネサスエレクトロニクス株式会社 レイアウト設計方法およびフォトマスク
AU2003296213A1 (en) 2003-12-26 2005-07-21 Tancheng Huang Hydraulic disc brake
JP2005197345A (ja) 2004-01-05 2005-07-21 Hitachi Ltd 半導体装置
JP2005203447A (ja) * 2004-01-13 2005-07-28 Toshiba Corp 半導体集積回路、半導体集積回路設計システム及び半導体集積回路設計方法
US7064068B2 (en) 2004-01-23 2006-06-20 Taiwan Semiconductor Manufacturing Company, Ltd. Method to improve planarity of electroplated copper
KR100564612B1 (ko) 2004-02-19 2006-03-28 삼성전자주식회사 하드 디스크 드라이브
US7523429B2 (en) * 2004-02-20 2009-04-21 Takumi Technology Corporation System for designing integrated circuits with enhanced manufacturability
WO2005081066A1 (en) 2004-02-24 2005-09-01 The University Of Hong Kong Rectangular contact lithography for circuit performance improvement
US7084476B2 (en) 2004-02-26 2006-08-01 International Business Machines Corp. Integrated circuit logic with self compensating block delays
US7335966B2 (en) * 2004-02-26 2008-02-26 Triad Semiconductor, Inc. Configurable integrated circuit capacitor array using via mask layers
US7353492B2 (en) * 2004-02-26 2008-04-01 International Business Machines Corporation Method of IC fabrication, IC mask fabrication and program product therefor
JP2005243928A (ja) 2004-02-26 2005-09-08 Fujitsu Ltd トレンチアイソレーションで分離されたトランジスタ対を有する半導体装置
US7115343B2 (en) 2004-03-10 2006-10-03 International Business Machines Corporation Pliant SRAF for improved performance and manufacturability
JP4317777B2 (ja) 2004-03-10 2009-08-19 パナソニック株式会社 半導体集積回路
US7423298B2 (en) 2004-03-17 2008-09-09 Sharp Kabushiki Kaisha Bidirectional photothyristor chip, optical lighting coupler, and solid state relay
JP2005268610A (ja) 2004-03-19 2005-09-29 Matsushita Electric Ind Co Ltd スタンダードセルの設計方法及び半導体集積回路
DE102004063926B4 (de) 2004-03-24 2017-10-19 Infineon Technologies Ag Konfigurierbare Treiberzelle eines logischen Zellenfeldes
US7126837B1 (en) 2004-03-26 2006-10-24 Netlogic Microsystems, Inc. Interlocking memory/logic cell layout and method of manufacture
JP2005286053A (ja) * 2004-03-29 2005-10-13 Toshiba Corp 半導体装置
EP1730777B1 (en) 2004-04-01 2007-09-19 Soisic Improved layout of a sram memory cell
TWI297446B (en) 2004-04-02 2008-06-01 Clear Shape Technologies Inc Delta information design closure in integrated circuit fabrication
WO2005098954A1 (en) 2004-04-02 2005-10-20 Triad Semiconductor, Inc. Via configurable architecture for customization of analog circuitry in a semiconductor device
WO2005098686A2 (en) 2004-04-02 2005-10-20 Clear Shape Technologies, Inc. Modeling resolution enhancement processes in integrated circuit fabrication
US7404173B2 (en) 2004-04-07 2008-07-22 Aprio Technologies, Inc. Intermediate layout for resolution enhancement in semiconductor fabrication
US20050229130A1 (en) 2004-04-07 2005-10-13 Aprio Technologies, Inc. Method and apparatus for selective, incremental, reconfigurable and reusable semiconductor manufacturing resolution-enhancements
US7115920B2 (en) 2004-04-12 2006-10-03 International Business Machines Corporation FinFET transistor and circuit
US7724087B2 (en) * 2004-04-20 2010-05-25 Nxp B.V. High speed differential receiver with rail to rail common mode operation having a symmetrical differential output signal with low skew
EP1747520B1 (en) 2004-05-07 2018-10-24 Mentor Graphics Corporation Integrated circuit layout design methodology with process variation bands
US7194712B2 (en) * 2004-05-12 2007-03-20 Synopsys, Inc. Method and apparatus for identifying line-end features for lithography verification
US7053668B2 (en) * 2004-05-25 2006-05-30 Kabushiki Kaisha Toshiba SOI sense amplifier with cross-coupled body terminal
US6975133B1 (en) 2004-05-27 2005-12-13 International Business Machines Corporation Logic circuits having linear and cellular gate transistors
US7426710B2 (en) 2004-05-27 2008-09-16 Verisilicon Holdings, Co. Ltd. Standard cell library having cell drive strengths selected according to delay
US7257017B2 (en) * 2004-05-28 2007-08-14 Taiwan Semiconductor Manufacturing Company, Ltd. SRAM cell for soft-error rate reduction and cell stability improvement
KR100591158B1 (ko) 2004-06-01 2006-06-19 동부일렉트로닉스 주식회사 반도체 소자의 게이트 전극의 제조 방법
US20070257277A1 (en) 2004-06-04 2007-11-08 Nec Corporation Semiconductor Device and Method for Manufacturing the Same
JP4834853B2 (ja) 2004-06-10 2011-12-14 シャープ株式会社 薄膜トランジスタ回路、薄膜トランジスタ回路の設計方法、薄膜トランジスタ回路の設計プログラム、設計プログラム記録媒体、及び表示装置
JP4248451B2 (ja) 2004-06-11 2009-04-02 パナソニック株式会社 半導体装置およびそのレイアウト設計方法
JP4778689B2 (ja) 2004-06-16 2011-09-21 パナソニック株式会社 標準セル、標準セルライブラリおよび半導体集積回路
US7327591B2 (en) 2004-06-17 2008-02-05 Texas Instruments Incorporated Staggered memory cell array
US7003068B2 (en) * 2004-06-21 2006-02-21 Kenet, Inc. Device for subtracting or adding a constant amount of charge in a charge-coupled device at high operating frequencies
JP4405865B2 (ja) 2004-06-24 2010-01-27 富士通マイクロエレクトロニクス株式会社 多層配線構造の製造方法及びfib装置
JP4175649B2 (ja) 2004-07-22 2008-11-05 松下電器産業株式会社 半導体装置
US7176508B2 (en) 2004-07-27 2007-02-13 International Business Machines Corporation Temperature sensor for high power very large scale integration circuits
CA2585870C (en) 2004-07-27 2014-09-09 Easic Corporation Structured integrated circuit device
JP2006049780A (ja) 2004-08-09 2006-02-16 Elpida Memory Inc 半導体集積回路装置
US7093213B2 (en) 2004-08-13 2006-08-15 International Business Machines Corporation Method for designing an integrated circuit defect monitor
JP4471776B2 (ja) * 2004-08-16 2010-06-02 Necエレクトロニクス株式会社 半導体装置、半導体装置の製造方法
US7365432B2 (en) 2004-08-23 2008-04-29 Taiwan Semiconductor Manufacturing Company, Ltd. Memory cell structure
JP2006073696A (ja) 2004-09-01 2006-03-16 Matsushita Electric Ind Co Ltd スタンダードセルを用いた半導体集積回路とその設計方法
US7632610B2 (en) 2004-09-02 2009-12-15 Intel Corporation Sub-resolution assist features
US7227183B2 (en) 2004-09-17 2007-06-05 International Business Machines Corporation Polysilicon conductor width measurement for 3-dimensional FETs
US20060063334A1 (en) 2004-09-17 2006-03-23 International Business Machines Corporation Fin FET diode structures and methods for building
US7185294B2 (en) * 2004-09-23 2007-02-27 Verisilicon Holdings, Co Ltd Standard cell library having globally scalable transistor channel length
KR100594295B1 (ko) 2004-09-24 2006-06-30 삼성전자주식회사 층 성장을 이용한 게이트 형성 방법 및 이에 따른 게이트구조
DE102004047263B4 (de) 2004-09-24 2010-04-22 Qimonda Ag Verfahren zum Erzeugen eines Abbildungsfehler vermeidenden Maskenlayouts für eine Maske
US7466607B2 (en) 2004-09-30 2008-12-16 Analog Devices, Inc. Memory access system and method using de-coupled read and write circuits
JP2006100718A (ja) 2004-09-30 2006-04-13 Matsushita Electric Ind Co Ltd 半導体集積回路装置の動作解析方法、これに用いられる解析装置およびこれを用いた最適化設計方法
US7337421B2 (en) 2004-09-30 2008-02-26 Cadence Design Systems, Inc. Method and system for managing design corrections for optical and process effects based on feature tolerances
US7335583B2 (en) 2004-09-30 2008-02-26 Intel Corporation Isolating semiconductor device structures
JP2006114668A (ja) 2004-10-14 2006-04-27 Sony Corp 半導体集積回路およびその製造方法
US7487475B1 (en) * 2004-10-15 2009-02-03 Cadence Design Systems, Inc. Systems, methods, and apparatus to perform statistical static timing analysis
JP2006119195A (ja) * 2004-10-19 2006-05-11 Nec Electronics Corp 配線のレイアウト方法
US7302651B2 (en) 2004-10-29 2007-11-27 International Business Machines Corporation Technology migration for integrated circuits with radical design restrictions
US7458045B2 (en) 2004-10-29 2008-11-25 Synopsys, Inc. Silicon tolerance specification using shapes as design intent markers
JP4768251B2 (ja) 2004-11-01 2011-09-07 株式会社東芝 半導体集積回路の設計方法、半導体集積回路の設計システム及び半導体集積回路の製造方法
WO2006052738A2 (en) 2004-11-04 2006-05-18 Fabbrix, Inc. A method and process for design of integrated circuits using regular geometry patterns to obtain geometrically consistent component features
JP4435069B2 (ja) * 2004-11-05 2010-03-17 株式会社東芝 半導体装置の製造方法
KR100587692B1 (ko) 2004-11-05 2006-06-08 삼성전자주식회사 반도체 메모리 장치에서의 회로 배선 배치구조와 그에따른 배치방법
US7350183B2 (en) * 2004-11-05 2008-03-25 International Business Machines Corporation Method for improving optical proximity correction
JP2006156778A (ja) 2004-11-30 2006-06-15 Matsushita Electric Ind Co Ltd 半導体装置及びそのレイアウト設計方法
US7465973B2 (en) 2004-12-03 2008-12-16 International Business Machines Corporation Integrated circuit having gates and active regions forming a regular grating
US7424696B2 (en) 2004-12-03 2008-09-09 Lsi Corporation Power mesh for multiple frequency operation of semiconductor products
JP2006165365A (ja) 2004-12-09 2006-06-22 Renesas Technology Corp 半導体装置および半導体装置の製造方法
US7345330B2 (en) 2004-12-09 2008-03-18 Omnivision Technologies, Inc. Local interconnect structure and method for a CMOS image sensor
JP4357409B2 (ja) 2004-12-17 2009-11-04 株式会社東芝 半導体集積回路装置及びその設計方法
US7396732B2 (en) * 2004-12-17 2008-07-08 Interuniversitair Microelektronica Centrum Vzw (Imec) Formation of deep trench airgaps and related applications
JP2007043049A (ja) 2004-12-20 2007-02-15 Matsushita Electric Ind Co Ltd セル、スタンダードセル、スタンダードセル配置方法、スタンダードセルライブラリ、ならびに半導体集積回路
FR2879816B1 (fr) * 2004-12-20 2007-06-08 Atmel Nantes Sa Sa Circuit electronique comprenant au moins une premiere et une seconde paires differentielles dont les transistors partagent un meme caisson
WO2006076151A2 (en) 2004-12-21 2006-07-20 Carnegie Mellon University Lithography and associated methods, devices, and systems
CN101091252B (zh) 2004-12-28 2012-09-05 斯班逊有限公司 半导体装置以及控制半导体装置操作的方法
US7106620B2 (en) 2004-12-30 2006-09-12 International Business Machines Corporation Memory cell having improved read stability
US7743349B2 (en) 2004-12-31 2010-06-22 Tela Innovations, Inc. Method and system for finding an equivalent circuit representation for one or more elements in an integrated circuit
US7509621B2 (en) * 2005-01-03 2009-03-24 Synopsys, Inc. Method and apparatus for placing assist features by identifying locations of constructive and destructive interference
US7366997B1 (en) 2005-01-11 2008-04-29 Synplicity, Inc. Methods and apparatuses for thermal analysis based circuit design
JP2006196627A (ja) 2005-01-12 2006-07-27 Nec Electronics Corp 半導体装置、及び半導体装置の設計プログラム
DE102005002533B4 (de) 2005-01-14 2007-09-13 Infineon Technologies Ag Verfahren zum Erzeugen eines Abbildungsfehler vermeidenden Maskenlayouts für eine Maske
JP4455356B2 (ja) 2005-01-28 2010-04-21 Necエレクトロニクス株式会社 半導体装置
KR20060092408A (ko) 2005-02-17 2006-08-23 삼성전자주식회사 고성능 배타적 오아 및 배타적 노아 회로 및 방법
JP4602112B2 (ja) 2005-02-17 2010-12-22 株式会社東芝 半導体集積回路の製造方法及び半導体集積回路
WO2006090445A1 (ja) 2005-02-23 2006-08-31 Fujitsu Limited 半導体回路装置及びその半導体回路装置の製造方法
US7200835B2 (en) 2005-02-24 2007-04-03 Texas Instruments Incorporated Method of locating sub-resolution assist feature(s)
US7421678B2 (en) 2005-02-24 2008-09-02 Synopsys, Inc. Assist feature placement using a process-sensitivity model
US7287237B2 (en) 2005-02-24 2007-10-23 Icera Inc. Aligned logic cell grid and interconnect routing architecture
US7721246B2 (en) * 2005-02-24 2010-05-18 Synopsys, Inc. Method and apparatus for quickly determining the effect of placing an assist feature at a location in a layout
US7266787B2 (en) 2005-02-24 2007-09-04 Icera, Inc. Method for optimising transistor performance in integrated circuits
US7188322B2 (en) 2005-02-25 2007-03-06 International Business Machines Corporation Circuit layout methodology using a shape processing application
TWI281317B (en) * 2005-03-07 2007-05-11 Sunplus Technology Co Ltd Self DC-bias high frequency logic gate, NAND gate, and NOR gate using the same
US7304874B2 (en) * 2005-03-08 2007-12-04 Lsi Corporation Compact ternary and binary CAM bitcell architecture with no enclosed diffusion areas
US7992122B1 (en) 2005-03-25 2011-08-02 Gg Technology, Inc. Method of placing and routing for power optimization and timing closure
US7563701B2 (en) 2005-03-31 2009-07-21 Intel Corporation Self-aligned contacts for transistors
US7882456B2 (en) 2005-04-09 2011-02-01 Cadence Design Systems, Inc. Optical lithography correction process
JP4634849B2 (ja) 2005-04-12 2011-02-16 株式会社東芝 集積回路のパターンレイアウト、フォトマスク、半導体装置の製造方法、及びデータ作成方法
EP1712954B1 (en) 2005-04-12 2010-05-19 ASML MaskTools B.V. A method and program product for performing double exposure lithography
JP4921723B2 (ja) 2005-04-18 2012-04-25 株式会社東芝 半導体装置の製造方法
TWI297101B (en) 2005-04-20 2008-05-21 Nanya Technology Corp Phase shifting mask for equal line/space dense line patterns
US7506300B2 (en) * 2005-04-29 2009-03-17 Cadence Design Systems, Inc. Apparatus and method for breaking up and merging polygons
US7480891B2 (en) 2005-04-29 2009-01-20 Cadence Design Systems, Inc. Method and apparatus of model-based photomask synthesis
US7441211B1 (en) 2005-05-06 2008-10-21 Blaze Dfm, Inc. Gate-length biasing for digital circuit optimization
US8044437B1 (en) 2005-05-16 2011-10-25 Lsi Logic Corporation Integrated circuit cell architecture configurable for memory or logic elements
JP4936418B2 (ja) 2005-05-17 2012-05-23 ルネサスエレクトロニクス株式会社 半導体装置とその製造方法、及び半導体装置の設計プログラム
US7308669B2 (en) 2005-05-18 2007-12-11 International Business Machines Corporation Use of redundant routes to increase the yield and reliability of a VLSI layout
JP4912016B2 (ja) 2005-05-23 2012-04-04 ルネサスエレクトロニクス株式会社 半導体記憶装置
KR20080018905A (ko) 2005-05-26 2008-02-28 엔엑스피 비 브이 전자 장치 및 그 설계 방법
US7411252B2 (en) 2005-06-21 2008-08-12 International Business Machines Corporation Substrate backgate for trigate FET
US7960791B2 (en) 2005-06-24 2011-06-14 International Business Machines Corporation Dense pitch bulk FinFET process by selective EPI and etch
US7492013B2 (en) 2005-06-27 2009-02-17 International Business Machines Corporation Systems and arrangements to interconnect components of a semiconductor device
EP1907957A4 (en) 2005-06-29 2013-03-20 Otrsotech Ltd Liability Company INVESTMENT METHODS AND SYSTEMS
US8405216B2 (en) * 2005-06-29 2013-03-26 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure for integrated circuits
US7236396B2 (en) * 2005-06-30 2007-06-26 Texas Instruments Incorporated Area efficient implementation of small blocks in an SRAM array
JP2007012855A (ja) 2005-06-30 2007-01-18 Matsushita Electric Ind Co Ltd 半導体集積回路、標準セル、標準セルライブラリ、半導体集積回路の設計方法および半導体集積回路の設計装置
JP2007013060A (ja) 2005-07-04 2007-01-18 Matsushita Electric Ind Co Ltd 半導体装置
JP2007018588A (ja) * 2005-07-06 2007-01-25 Toshiba Corp 半導体記憶装置および半導体記憶装置の駆動方法
US7235424B2 (en) 2005-07-14 2007-06-26 Taiwan Semiconductor Manufacturing Co., Ltd. Method and apparatus for enhanced CMP planarization using surrounded dummy design
DE112005003638B4 (de) 2005-07-22 2018-10-25 Fujitsu Semiconductor Ltd. Verfahren zur Erstellung von Fotomaskenstrukturdaten und Verfahren zur Herstellung einer Halbleitervorrichtung
EP1917715A2 (en) 2005-07-22 2008-05-07 Nanopower Technologies, Inc. High sensitivity rfid tag integrated circuits
US7404154B1 (en) 2005-07-25 2008-07-22 Lsi Corporation Basic cell architecture for structured application-specific integrated circuits
US7251439B2 (en) 2005-07-29 2007-07-31 Xerox Corporation Shield for charging device in xerographic printing device having reduced rate of contamination
US7934172B2 (en) 2005-08-08 2011-04-26 Micronic Laser Systems Ab SLM lithography: printing to below K1=.30 without previous OPC processing
US7568174B2 (en) 2005-08-19 2009-07-28 Cadence Design Systems, Inc. Method for checking printability of a lithography target
JP4462153B2 (ja) * 2005-09-14 2010-05-12 株式会社アドヴィックス 制動力配分制御装置
JP2007093861A (ja) * 2005-09-28 2007-04-12 Renesas Technology Corp マスクパターン設計方法および半導体装置の製造方法
US7749662B2 (en) 2005-10-07 2010-07-06 Globalfoundries Inc. Process margin using discrete assist features
US7485934B2 (en) 2005-10-25 2009-02-03 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated semiconductor structure for SRAM cells
JP4796817B2 (ja) 2005-10-31 2011-10-19 エルピーダメモリ株式会社 基本セル設計方法、レイアウト設計方法、設計装置およびプログラム
US7397260B2 (en) 2005-11-04 2008-07-08 International Business Machines Corporation Structure and method for monitoring stress-induced degradation of conductive interconnects
US20070106971A1 (en) 2005-11-04 2007-05-10 Lizotech, Inc. Apparatus for a routing system
US7569309B2 (en) 2005-11-09 2009-08-04 Texas Instruments Incorporated Gate critical dimension variation by use of ghost features
US7527900B2 (en) 2005-11-10 2009-05-05 United Microelectronics Corp. Reticle and optical proximity correction method
US7934184B2 (en) 2005-11-14 2011-04-26 Takumi Technology Corporation Integrated circuit design using modified cells
JP2007141971A (ja) 2005-11-15 2007-06-07 Matsushita Electric Ind Co Ltd 半導体集積回路の設計方法
DE102006027178A1 (de) 2005-11-21 2007-07-05 Infineon Technologies Ag Multi-Fin-Bauelement-Anordnung und Verfahren zum Herstellen einer Multi-Fin-Bauelement-Anordnung
US8124976B2 (en) 2005-12-02 2012-02-28 Nec Corporation Semiconductor device and method of manufacturing the same
US7543262B2 (en) 2005-12-06 2009-06-02 Cadence Design Systems, Inc. Analog layout module generator and method
US7569310B2 (en) 2005-12-07 2009-08-04 Intel Corporation Sub-resolution assist features for photolithography with trim ends
US7512017B2 (en) 2005-12-21 2009-03-31 Intel Corporation Integration of planar and tri-gate devices on the same substrate
JP2007173474A (ja) * 2005-12-21 2007-07-05 Oki Electric Ind Co Ltd ゲートアレイ
JP4774294B2 (ja) 2005-12-26 2011-09-14 富士通株式会社 集積回路レイアウト装置、その方法及びプログラム
EP1804282A1 (en) 2005-12-29 2007-07-04 Interuniversitair Microelektronica Centrum vzw ( IMEC) Methods for manufacturing dense integrated circuits
US7640522B2 (en) 2006-01-14 2009-12-29 Tela Innovations, Inc. Method and system for placing layout objects in a standard-cell layout
US7614030B2 (en) 2006-01-17 2009-11-03 Taiwan Semiconductor Manufacturing Co., Ltd. Scattering bar OPC application method for mask ESD prevention
JP5091462B2 (ja) 2006-01-19 2012-12-05 パナソニック株式会社 セルおよび半導体装置
JP4675249B2 (ja) 2006-02-07 2011-04-20 パナソニック株式会社 位置依存変動量計算方法並びに回路解析方法
US7480880B2 (en) * 2006-02-21 2009-01-20 International Business Machines Corporation Method, system, and program product for computing a yield gradient from statistical timing
US7469401B2 (en) 2006-02-22 2008-12-23 International Business Machines Corporation Method for using partitioned masks to build a chip
JP4644614B2 (ja) 2006-02-27 2011-03-02 富士通セミコンダクター株式会社 レイアウトエディタ装置、配線表示方法、及び配線表示プログラム
JP4791855B2 (ja) 2006-02-28 2011-10-12 株式会社東芝 半導体記憶装置
US7943967B2 (en) 2006-03-09 2011-05-17 Tela Innovations, Inc. Semiconductor device and associated layouts including diffusion contact placement restriction based on relation to linear conductive segments
US8839175B2 (en) 2006-03-09 2014-09-16 Tela Innovations, Inc. Scalable meta-data objects
US8245180B2 (en) 2006-03-09 2012-08-14 Tela Innovations, Inc. Methods for defining and using co-optimized nanopatterns for integrated circuit design and apparatus implementing same
US8247846B2 (en) 2006-03-09 2012-08-21 Tela Innovations, Inc. Oversized contacts and vias in semiconductor chip defined by linearly constrained topology
US8658542B2 (en) 2006-03-09 2014-02-25 Tela Innovations, Inc. Coarse grid design methods and structures
US8225261B2 (en) 2006-03-09 2012-07-17 Tela Innovations, Inc. Methods for defining contact grid in dynamic array architecture
US9563733B2 (en) 2009-05-06 2017-02-07 Tela Innovations, Inc. Cell circuit and layout with linear finfet structures
US7763534B2 (en) 2007-10-26 2010-07-27 Tela Innovations, Inc. Methods, structures and designs for self-aligning local interconnects used in integrated circuits
US9009641B2 (en) 2006-03-09 2015-04-14 Tela Innovations, Inc. Circuits with linear finfet structures
US7932545B2 (en) 2006-03-09 2011-04-26 Tela Innovations, Inc. Semiconductor device and associated layouts including gate electrode level region having arrangement of six linear conductive segments with side-to-side spacing less than 360 nanometers
US7956421B2 (en) 2008-03-13 2011-06-07 Tela Innovations, Inc. Cross-coupled transistor layouts in restricted gate level layout architecture
US9035359B2 (en) 2006-03-09 2015-05-19 Tela Innovations, Inc. Semiconductor chip including region including linear-shaped conductive structures forming gate electrodes and having electrical connection areas arranged relative to inner region between transistors of different types and associated methods
US8225239B2 (en) 2006-03-09 2012-07-17 Tela Innovations, Inc. Methods for defining and utilizing sub-resolution features in linear topology
US7446352B2 (en) 2006-03-09 2008-11-04 Tela Innovations, Inc. Dynamic array architecture
US20070218685A1 (en) 2006-03-17 2007-09-20 Swaminathan Sivakumar Method of forming trench contacts for MOS transistors
JP2007265179A (ja) 2006-03-29 2007-10-11 Fujitsu Ltd レイアウト検証方法、レイアウト検証装置
JP4882455B2 (ja) 2006-03-31 2012-02-22 富士通セミコンダクター株式会社 半導体集積回路のユニットセルおよびユニットセルを使用した配線方法および配線プログラム
US7437691B2 (en) 2006-04-11 2008-10-14 International Business Machines Corporation VLSI artwork legalization for hierarchical designs with multiple grid constraints
US7484197B2 (en) * 2006-04-14 2009-01-27 International Business Machines Corporation Minimum layout perturbation-based artwork legalization with grid constraints for hierarchical designs
US7509622B2 (en) * 2006-04-17 2009-03-24 Synopsys, Inc. Dummy filling technique for improved planarization of chip surface topography
JP5579959B2 (ja) 2006-04-18 2014-08-27 ピーエスフォー ルクスコ エスエイアールエル 半導体装置
US7407890B2 (en) 2006-04-21 2008-08-05 International Business Machines Corporation Patterning sub-lithographic features with variable widths
US7355906B2 (en) 2006-05-24 2008-04-08 International Business Machines Corporation SRAM cell design to improve stability
US7941776B2 (en) 2006-05-26 2011-05-10 Open-Silicon Inc. Method of IC design optimization via creation of design-specific cells from post-layout patterns
WO2007149004A1 (en) 2006-06-13 2007-12-27 Freescale Semiconductor, Inc. Methods and apparatus for simulating distributed effects
US7317339B1 (en) 2006-06-16 2008-01-08 Via Technologies, Inc. N-domino register with accelerated non-discharge path
US7459792B2 (en) 2006-06-19 2008-12-02 Taiwan Semiconductor Manufacturing Co., Ltd. Via layout with via groups placed in interlocked arrangement
US7992117B2 (en) 2006-06-20 2011-08-02 Adtran, Inc. System and method for designing a common centroid layout for an integrated circuit
JP2008004796A (ja) 2006-06-23 2008-01-10 Matsushita Electric Ind Co Ltd 半導体装置および回路素子レイアウト方法
JP2008004790A (ja) 2006-06-23 2008-01-10 Oki Electric Ind Co Ltd スタンダードセル
US7763932B2 (en) 2006-06-29 2010-07-27 International Business Machines Corporation Multi-bit high-density memory device and architecture and method of fabricating multi-bit high-density memory devices
US7444609B2 (en) * 2006-06-29 2008-10-28 International Business Machines Corporation Method of optimizing customizable filler cells in an integrated circuit physical design process
US7739627B2 (en) 2006-07-05 2010-06-15 Chew Marko P System and method of maximizing integrated circuit manufacturing yield with context-dependent yield cells
JP2008027940A (ja) 2006-07-18 2008-02-07 Matsushita Electric Ind Co Ltd 半導体集積回路の設計方法および回路シミュレーション方法
DE102006037162B4 (de) * 2006-08-01 2008-08-21 Qimonda Ag Verfahren und Vorrichtung und deren Verwendung zur Prüfung des Layouts einer elektronischen Schaltung
US7966579B2 (en) 2006-08-04 2011-06-21 Infineon Technologies Ag Methods of optical proximity correction
US20100229140A1 (en) 2006-08-04 2010-09-09 Sagantec Israel Ltd Method and system for adapting a circuit layout to a predefined grid
US7873929B2 (en) 2006-08-14 2011-01-18 The Regents Of The University Of California Method, apparatus and system for designing an integrated circuit including generating at least one auxiliary pattern for cell-based optical proximity correction
US7886262B2 (en) * 2006-08-15 2011-02-08 Chew Marko P System and method of maximizing integrated circuit manufacturing yield with fabrication process simulation driven layout optimization
TW200811704A (en) 2006-08-31 2008-03-01 Univ Nat Yunlin Sci & Tech Full adder of complementary type carry logic voltage compensator
KR100773353B1 (ko) 2006-09-26 2007-11-05 삼성전자주식회사 기판 플러그를 가지는 반도체 장치들 및 그의 형성방법들
US7434185B2 (en) 2006-09-27 2008-10-07 International Business Machines Corporation Method and apparatus for parallel data preparation and processing of integrated circuit graphical design data
JP4362785B2 (ja) 2006-09-28 2009-11-11 エルピーダメモリ株式会社 半導体装置の製造方法
US20080082952A1 (en) 2006-09-29 2008-04-03 Texas Instruments Incorporated Method of inclusion of sub-resolution assist feature(s)
US7592247B2 (en) 2006-10-04 2009-09-22 International Business Machines Corporation Sub-lithographic local interconnects, and methods for forming same
JP4814044B2 (ja) 2006-10-05 2011-11-09 ルネサスエレクトロニクス株式会社 パターン設計方法
JP2008103610A (ja) 2006-10-20 2008-05-01 Matsushita Electric Ind Co Ltd 半導体集積回路の配線構造およびその設計方法と設計装置
US8230379B2 (en) 2006-10-20 2012-07-24 Kabushiki Kaisha Toshiba Layout generating method for semiconductor integrated circuits
US7624369B2 (en) 2006-10-31 2009-11-24 International Business Machines Corporation Closed-loop design for manufacturability process
US7802219B2 (en) 2006-11-30 2010-09-21 Cadence Design Systems, Inc. Flat placement of cells on non-integer multiple height rows in a digital integrated circuit layout
US7774739B2 (en) 2006-11-30 2010-08-10 Texas Instruments Incorporated Methods for adjusting shifter width of an alternating phase shifter having variable width
US8378407B2 (en) 2006-12-07 2013-02-19 Tower Semiconductor, Ltd. Floating gate inverter type memory cell and array
US8156450B2 (en) 2006-12-18 2012-04-10 Cadence Design Systems, Inc. Method and system for mask optimization
US7814447B2 (en) 2006-12-29 2010-10-12 Cadence Design Systems, Inc. Supplant design rules in electronic designs
US8178905B2 (en) 2007-01-12 2012-05-15 Panasonic Corporation Layout structure of semiconductor device
US7535751B2 (en) * 2007-02-12 2009-05-19 Taiwan Semioconductor Manufacturing Co., Ltd. Dual-port SRAM device
JP5217180B2 (ja) 2007-02-20 2013-06-19 富士通セミコンダクター株式会社 静電放電保護装置の製造方法
US7888705B2 (en) 2007-08-02 2011-02-15 Tela Innovations, Inc. Methods for defining dynamic array section with manufacturing assurance halo and apparatus implementing the same
US8667443B2 (en) 2007-03-05 2014-03-04 Tela Innovations, Inc. Integrated circuit cell library for multiple patterning
US20080216207A1 (en) 2007-03-09 2008-09-11 Shen-Hai Tsai Finger pressing massage glove
KR100911187B1 (ko) 2007-03-13 2009-08-06 주식회사 하이닉스반도체 래치 구조 및 그것을 포함하는 비트라인 센스앰프 구조
US7575973B2 (en) 2007-03-27 2009-08-18 Sandisk 3D Llc Method of making three dimensional NAND memory
US7543252B2 (en) 2007-03-28 2009-06-02 International Business Machines Corporation Migration of integrated circuit layout for alternating phase shift masks
US7791109B2 (en) 2007-03-29 2010-09-07 International Business Machines Corporation Metal silicide alloy local interconnect
US7757196B2 (en) 2007-04-04 2010-07-13 Cisco Technology, Inc. Optimizing application specific integrated circuit pinouts for high density interconnect printed circuit boards
US7723786B2 (en) 2007-04-11 2010-05-25 Ronald Kakoschke Apparatus of memory array using FinFETs
US7964267B1 (en) 2007-04-13 2011-06-21 Bae Systems Tensylon H.P.M., Inc. Ballistic-resistant panel including high modulus ultra high molecular weight polyethylene tape
US7453125B1 (en) 2007-04-24 2008-11-18 Infineon Technologies Ag Double mesh finfet
JP4461154B2 (ja) 2007-05-15 2010-05-12 株式会社東芝 半導体装置
US20080283910A1 (en) 2007-05-15 2008-11-20 Qimonda Ag Integrated circuit and method of forming an integrated circuit
US7911830B2 (en) 2007-05-17 2011-03-22 Integrated Magnetoelectronics Scalable nonvolatile memory
JP4445521B2 (ja) 2007-06-15 2010-04-07 株式会社東芝 半導体装置
US7898040B2 (en) * 2007-06-18 2011-03-01 Infineon Technologies Ag Dual gate FinFET
US7923337B2 (en) 2007-06-20 2011-04-12 International Business Machines Corporation Fin field effect transistor devices with self-aligned source and drain regions
US7759194B2 (en) * 2008-07-25 2010-07-20 Semiconductor Manufacturing International (Shanghai) Corporation Electrically programmable device with embedded EEPROM and method for making thereof
JP2009025914A (ja) * 2007-07-17 2009-02-05 Nec Electronics Corp 半導体集積回路の設計方法及び設計プログラム
US7625790B2 (en) 2007-07-26 2009-12-01 International Business Machines Corporation FinFET with sublithographic fin width
US7700466B2 (en) 2007-07-26 2010-04-20 International Business Machines Corporation Tunneling effect transistor with self-aligned gate
US7562326B2 (en) 2007-08-09 2009-07-14 United Microelectronics Corp. Method of generating a standard cell layout and transferring the standard cell layout to a substrate
US20090057780A1 (en) 2007-08-27 2009-03-05 International Business Machines Corporation Finfet structure including multiple semiconductor fin channel heights
US8156451B2 (en) * 2007-09-14 2012-04-10 Renesas Electronics Corporation Method of manufacturing photomask
KR100905157B1 (ko) 2007-09-18 2009-06-29 주식회사 하이닉스반도체 반도체 소자의 미세 패턴 형성 방법
JP2009088085A (ja) 2007-09-28 2009-04-23 Tokyo Electron Ltd 半導体装置の製造方法、半導体装置の製造装置、制御プログラム及びプログラム記憶媒体
US20090101940A1 (en) 2007-10-19 2009-04-23 Barrows Corey K Dual gate fet structures for flexible gate array design methodologies
US8042070B2 (en) * 2007-10-23 2011-10-18 International Business Machines Corporation Methods and system for analysis and management of parametric yield
JP2009130238A (ja) 2007-11-27 2009-06-11 Fujitsu Microelectronics Ltd 半導体装置
JP5193582B2 (ja) 2007-12-12 2013-05-08 株式会社東芝 半導体装置の製造方法
JPWO2009078069A1 (ja) 2007-12-14 2011-04-28 富士通株式会社 半導体装置
FR2925510A1 (fr) * 2007-12-20 2009-06-26 Bluestar Silicones France Soc Composition organopolysiloxanique vulcanisable a temperature ambiante en elastomere et nouveaux catalyseurs de polycondensation d'organopolysiloxanes.
JP2009152368A (ja) 2007-12-20 2009-07-09 Hitachi Ltd 半導体装置およびその製造方法
US7825437B2 (en) 2007-12-28 2010-11-02 Intel Corporation Unity beta ratio tri-gate transistor static random access memory (SRAM)
CN101918781B (zh) 2007-12-31 2013-01-02 阿塞里克股份有限公司 冷却装置
US7816740B2 (en) 2008-01-04 2010-10-19 Texas Instruments Incorporated Memory cell layout structure with outer bitline
US7934173B2 (en) 2008-01-14 2011-04-26 Taiwan Semiconductor Manufacturing Company, Ltd. Reverse dummy insertion algorithm
US7926001B2 (en) 2008-01-16 2011-04-12 Cadence Design Systems, Inc. Uniformity for semiconductor patterning operations
US7984395B2 (en) * 2008-01-17 2011-07-19 Synopsys, Inc. Hierarchical compression for metal one logic layer
US8453094B2 (en) 2008-01-31 2013-05-28 Tela Innovations, Inc. Enforcement of semiconductor structure regularity for localized transistors and interconnect
US8866254B2 (en) 2008-02-19 2014-10-21 Micron Technology, Inc. Devices including fin transistors robust to gate shorts and methods of making the same
US7962878B2 (en) 2008-02-26 2011-06-14 Infineon Technologies Ag Method of making an integrated circuit using pre-defined interconnect wiring
US8423947B2 (en) 2008-03-13 2013-04-16 International Business Machines Corporation Gridded glyph geometric objects (L3GO) design method
US7939443B2 (en) 2008-03-27 2011-05-10 Tela Innovations, Inc. Methods for multi-wire routing and apparatus implementing same
US8173544B2 (en) 2008-05-02 2012-05-08 Texas Instruments Incorporated Integrated circuit having interleaved gridded features, mask set and method for printing
US7958465B2 (en) 2008-05-08 2011-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. Dummy pattern design for reducing device performance drift
EP2117045A1 (en) 2008-05-09 2009-11-11 Imec Design Methodology for MuGFET ESD Protection Devices
US7917877B2 (en) 2008-05-09 2011-03-29 Cadence Design Systems, Inc. System and method for circuit schematic generation
US7830025B2 (en) 2008-05-19 2010-11-09 United Microelectronics Corp. Contact layout structure
US7853915B2 (en) 2008-06-24 2010-12-14 Synopsys, Inc. Interconnect-driven physical synthesis using persistent virtual routing
KR101903975B1 (ko) 2008-07-16 2018-10-04 텔라 이노베이션스, 인코포레이티드 동적 어레이 아키텍쳐에서의 셀 페이징과 배치를 위한 방법 및 그 구현
US8136072B2 (en) 2008-11-03 2012-03-13 Arm Limited Standard cell placement
US8363455B2 (en) 2008-12-04 2013-01-29 David Rennie Eight transistor soft error robust storage cell
JP2010141047A (ja) 2008-12-10 2010-06-24 Renesas Technology Corp 半導体集積回路装置および半導体集積回路装置の製造方法
US20100187609A1 (en) 2009-01-27 2010-07-29 Synopsys, Inc. Boosting transistor performance with non-rectangular channels
US8116121B2 (en) 2009-03-06 2012-02-14 Kabushiki Kaisha Toshiba Semiconductor device and manufacturing methods with using non-planar type of transistors
JP2011517069A (ja) 2009-03-06 2011-05-26 カイシン インコーポレイテッド 高密度コンタクトを有するリードレス集積回路パッケージ
US8184472B2 (en) 2009-03-13 2012-05-22 International Business Machines Corporation Split-gate DRAM with lateral control-gate MuGFET
US8004042B2 (en) 2009-03-20 2011-08-23 Taiwan Semiconductor Manufacturing Company, Ltd. Static random access memory (SRAM) cell and method for forming same
US8053299B2 (en) 2009-04-17 2011-11-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabrication of a FinFET element
US8076236B2 (en) 2009-06-01 2011-12-13 Globalfoundries Inc. SRAM bit cell with self-aligned bidirectional local interconnects
US8782586B2 (en) 2009-07-16 2014-07-15 Cadence Design Systems, Inc. Method, system, and program product for routing an integrated circuit to be manufactured by doubled patterning
US8294212B2 (en) 2009-09-18 2012-10-23 Taiwan Semiconductor Manufacturing Company, Ltd. Methods and apparatus for SRAM bit cell with low standby current, low supply voltage and high speed
KR101599100B1 (ko) 2010-02-03 2016-03-02 르네사스 일렉트로닉스 가부시키가이샤 반도체 장치
US8675397B2 (en) 2010-06-25 2014-03-18 Taiwan Semiconductor Manufacturing Company, Ltd. Cell structure for dual-port SRAM
US8860107B2 (en) 2010-06-03 2014-10-14 International Business Machines Corporation FinFET-compatible metal-insulator-metal capacitor
US8839162B2 (en) 2010-07-14 2014-09-16 International Business Machines Corporation Specifying circuit level connectivity during circuit design synthesis
US8796759B2 (en) 2010-07-15 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. Fin-like field effect transistor (FinFET) device and method of manufacturing same
US9613844B2 (en) * 2010-11-18 2017-04-04 Monolithic 3D Inc. 3D semiconductor device having two layers of transistors
US9159627B2 (en) 2010-11-12 2015-10-13 Tela Innovations, Inc. Methods for linewidth modification and apparatus implementing the same
US8418111B2 (en) 2010-11-24 2013-04-09 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for achieving multiple patterning technology compliant design layout
US8402397B2 (en) 2011-07-26 2013-03-19 Mentor Graphics Corporation Hotspot detection based on machine learning
JP6094023B2 (ja) 2011-09-12 2017-03-15 富士通セミコンダクター株式会社 半導体装置の製造方法
US8689164B2 (en) 2011-10-18 2014-04-01 National Taiwan University Method of analytical placement with weighted-average wirelength model
WO2013082611A2 (en) 2011-12-02 2013-06-06 Robust Chip Inc. Soft error hard electronics layout arrangement and logic cells
US9006841B2 (en) 2011-12-30 2015-04-14 Stmicroelectronics International N.V. Dual port SRAM having reduced cell size and rectangular shape
FR2996950B1 (fr) 2012-10-11 2016-01-01 Dolphin Integration Sa Réseau de mémoire base sur des bascules

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI775289B (zh) * 2021-01-22 2022-08-21 力晶積成電子製造股份有限公司 用以定義閘介電層的光罩的布局設計方法

Also Published As

Publication number Publication date
US9871056B2 (en) 2018-01-16
US20090224317A1 (en) 2009-09-10
US8564071B2 (en) 2013-10-22
US8405163B2 (en) 2013-03-26
US20100187622A1 (en) 2010-07-29
US8264049B2 (en) 2012-09-11
US20100187627A1 (en) 2010-07-29
US20100187634A1 (en) 2010-07-29
US20100187623A1 (en) 2010-07-29
US20100187631A1 (en) 2010-07-29
US20100187621A1 (en) 2010-07-29
TW201631742A (zh) 2016-09-01
US20100187633A1 (en) 2010-07-29
JP2015057856A (ja) 2015-03-26
US8729606B2 (en) 2014-05-20
US20130193524A1 (en) 2013-08-01
US20100187626A1 (en) 2010-07-29
US20100237426A1 (en) 2010-09-23
US20100187617A1 (en) 2010-07-29
US20100237429A1 (en) 2010-09-23
US8742463B2 (en) 2014-06-03
US8395224B2 (en) 2013-03-12
US9536899B2 (en) 2017-01-03
US9081931B2 (en) 2015-07-14
US8742462B2 (en) 2014-06-03
US9117050B2 (en) 2015-08-25
US20100187630A1 (en) 2010-07-29
US7956421B2 (en) 2011-06-07
US10651200B2 (en) 2020-05-12
US20130207197A1 (en) 2013-08-15
US20120306025A1 (en) 2012-12-06
US8735995B2 (en) 2014-05-27
US8575706B2 (en) 2013-11-05
JP2011515841A (ja) 2011-05-19
US8735944B2 (en) 2014-05-27
TWI511274B (zh) 2015-12-01
US20130200464A1 (en) 2013-08-08
US8274099B2 (en) 2012-09-25
WO2009114680A2 (en) 2009-09-17
JP2018067726A (ja) 2018-04-26
US8785978B2 (en) 2014-07-22
US20100237430A1 (en) 2010-09-23
US8592872B2 (en) 2013-11-26
US8058691B2 (en) 2011-11-15
WO2009114680A3 (en) 2009-12-17
US8853793B2 (en) 2014-10-07
US20170170194A1 (en) 2017-06-15
US8669595B2 (en) 2014-03-11
US20130200469A1 (en) 2013-08-08
US10727252B2 (en) 2020-07-28
US8587034B2 (en) 2013-11-19
US20130214361A1 (en) 2013-08-22
US20100237428A1 (en) 2010-09-23
US8680583B2 (en) 2014-03-25
JP2014158036A (ja) 2014-08-28
US20100187625A1 (en) 2010-07-29
US20130207196A1 (en) 2013-08-15
US20100187616A1 (en) 2010-07-29
TW200950064A (en) 2009-12-01
US20150187769A1 (en) 2015-07-02
US20160079276A1 (en) 2016-03-17
US20180175061A1 (en) 2018-06-21
JP5977806B2 (ja) 2016-08-24
TWI416710B (zh) 2013-11-21
US8552508B2 (en) 2013-10-08
JP5628050B2 (ja) 2014-11-19
US20100252891A1 (en) 2010-10-07
US8847329B2 (en) 2014-09-30
US20100252889A1 (en) 2010-10-07
US9213792B2 (en) 2015-12-15
US20100237427A1 (en) 2010-09-23
US8581304B2 (en) 2013-11-12
US8772839B2 (en) 2014-07-08
TWI546939B (zh) 2016-08-21
JP6462838B2 (ja) 2019-01-30
US20100187632A1 (en) 2010-07-29
US8729643B2 (en) 2014-05-20
US20140239408A1 (en) 2014-08-28
US8836045B2 (en) 2014-09-16
US8866197B2 (en) 2014-10-21
US20100258879A1 (en) 2010-10-14
US8785979B2 (en) 2014-07-22
MY151273A (en) 2014-04-30
MY170141A (en) 2019-07-08
JP5730424B2 (ja) 2015-06-10
US20100252893A1 (en) 2010-10-07
JP2019057736A (ja) 2019-04-11
US20100187619A1 (en) 2010-07-29
US9208279B2 (en) 2015-12-08
US8816402B2 (en) 2014-08-26
US8405162B2 (en) 2013-03-26
SG10201604836UA (en) 2016-08-30
JP2016208047A (ja) 2016-12-08
TW201351616A (zh) 2013-12-16
US8552509B2 (en) 2013-10-08
US20100187620A1 (en) 2010-07-29
US20140210015A1 (en) 2014-07-31
US20130200463A1 (en) 2013-08-08
US8872283B2 (en) 2014-10-28
US20100252892A1 (en) 2010-10-07
JP2020150276A (ja) 2020-09-17
US20100187618A1 (en) 2010-07-29
US20100252890A1 (en) 2010-10-07
US8569841B2 (en) 2013-10-29
US8847331B2 (en) 2014-09-30
SG189680A1 (en) 2013-05-31
US20100187628A1 (en) 2010-07-29
US8835989B2 (en) 2014-09-16
US8258581B2 (en) 2012-09-04
US8558322B2 (en) 2013-10-15
US20200295044A1 (en) 2020-09-17
TW201545317A (zh) 2015-12-01
US20130119476A1 (en) 2013-05-16
US10020321B2 (en) 2018-07-10
US20140291730A1 (en) 2014-10-02
TWI599019B (zh) 2017-09-11
US20100187615A1 (en) 2010-07-29
US8669594B2 (en) 2014-03-11
US20100187624A1 (en) 2010-07-29
US8264044B2 (en) 2012-09-11
US20130146988A1 (en) 2013-06-13
US20130207198A1 (en) 2013-08-15
US10658385B2 (en) 2020-05-19
US8853794B2 (en) 2014-10-07
US20140367799A1 (en) 2014-12-18
US8581303B2 (en) 2013-11-12
US20130200465A1 (en) 2013-08-08
US9245081B2 (en) 2016-01-26

Similar Documents

Publication Publication Date Title
TWI599019B (zh) 包括限制邏輯閘階層布局架構中之交叉耦合電晶體配置的積體電路、及用以產生其布局的方法、及包括用以產生其布局之指令的資料儲存裝置
TWI539313B (zh) Xor邏輯電路