DE102018123548A1 - Integrierte schaltung und verfahren zur herstellung derselben - Google Patents

Integrierte schaltung und verfahren zur herstellung derselben Download PDF

Info

Publication number
DE102018123548A1
DE102018123548A1 DE102018123548.8A DE102018123548A DE102018123548A1 DE 102018123548 A1 DE102018123548 A1 DE 102018123548A1 DE 102018123548 A DE102018123548 A DE 102018123548A DE 102018123548 A1 DE102018123548 A1 DE 102018123548A1
Authority
DE
Germany
Prior art keywords
layout
structures
conductive
gate
integrated circuit
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
DE102018123548.8A
Other languages
English (en)
Inventor
Pochun Wang
Ting-Wei Chiang
Chin-Ming Lai
Hui-Zhong ZHUANG
Jung-Chan YANG
Ru-Gun Liu
Yai-Chi CHOU
Yi-Hsiung Lin
Yu-Xuan Huang
Yu-Jung Chang
Guo-Huei Wu
Shih-Ming Chang
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US16/135,684 external-priority patent/US10734321B2/en
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102018123548A1 publication Critical patent/DE102018123548A1/de
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/535Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including internal interconnections, e.g. cross-under constructions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823871Complementary field-effect transistors, e.g. CMOS interconnection or wiring or contact manufacturing related aspects
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/392Floor-planning or layout, e.g. partitioning or placement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/0203Particular design considerations for integrated circuits
    • H01L27/0207Geometrical layout of the components, e.g. computer aided design; custom LSI, semi-custom LSI, standard cell technique
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • H01L27/0611Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration integrated circuits having a two-dimensional layout of components without a common active region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/10Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration
    • H01L27/118Masterslice integrated circuits
    • H01L27/11803Masterslice integrated circuits using field effect technology
    • H01L27/11807CMOS gate arrays
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/10Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration
    • H01L27/118Masterslice integrated circuits
    • H01L27/11803Masterslice integrated circuits using field effect technology
    • H01L27/11807CMOS gate arrays
    • H01L2027/11868Macro-architecture
    • H01L2027/11874Layout specification, i.e. inner core region
    • H01L2027/11875Wiring region, routing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Theoretical Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • General Engineering & Computer Science (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • Architecture (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)
  • Semiconductor Integrated Circuits (AREA)

Abstract

Eine integrierte Schaltung umfasst einen Satz aktiver Bereiche in einem Substrat, einen ersten Satz leitfähiger Strukturen, einen Grabenisolationsbereich (STI), einen Satz von Gates und einen ersten Satz von Durchkontaktierungen. Der Satz aktiver Bereiche erstreckt sich in einer ersten Richtung und ist in einer ersten Ebene angeordnet. Der erste Satz leitfähiger Strukturen und der STI-Bereich erstrecken sich mindestens in der ersten Richtung oder einer zweiten Richtung, sind in der ersten Ebene und zwischen dem Satz aktiver Bereiche angeordnet. Der STI-Bereich ist zwischen dem Satz aktiver Bereiche und dem ersten Satz leitfähiger Strukturen angeordnet. Der Satz von Gates erstreckt sich in der zweiten Richtung und überlappt den ersten Satz leitfähiger Strukturen. Der erste Satz von Durchkontaktierungen verbindet den ersten Satz leitfähiger Strukturen mit dem Satz von Gates.

Description

  • PRIORITÄTSANSPRUCH
  • Diese Anmeldung beansprucht die Priorität der vorläufigen US-Patentanmeldung Nr. 62/564,663 , eingereicht am 28. September 2017, die hierin durch Verweis in ihrer Gänze einbezogen ist.
  • STAND DER TECHNIK
  • Der aktuelle Trend zur Miniaturisierung integrierter Schaltungen (ICs) hat kleinere Bauelemente zur Folge, die weniger Strom verbrauchen und trotzdem mehr Funktionalität bei höheren Geschwindigkeiten bereitstellen. Der Miniaturisierungsvorgang hatte auch strengere Design- und Herstellungsvorgaben sowie Herausforderungen in Bezug auf die Zuverlässigkeit zur Folge. Verschiedene Werkzeuge zur elektronischen Entwurfsautomatisierung (EDA) erzeugen, optimieren und überprüfen Standardzellen-Layoutentwürfe für integrierte Schaltungen, wobei sie zugleich sicherstellen, dass die Vorgaben für Standardzellen-Layoutentwurf und -Herstellung erfüllt werden.
  • Figurenliste
  • Aspekte der vorliegenden Offenbarung werden aus der folgenden detaillierten Beschreibung mit Bezugnahme auf die beigefügten Figuren am besten verständlich. Dabei ist festzuhalten, dass im Einklang mit der gängigen Praxis in der Branche verschiedene Elemente oder Funktionen nicht maßstabsgetreu dargestellt sind. Vielmehr können die Abmessungen der verschiedenen Elemente zur übersichtlicheren Darstellung beliebig vergrößert oder reduziert sein.
    • 1 ist ein Schaltplan einer integrierten Schaltung im Einklang mit einigen Ausführungsformen.
    • 2 ist ein Diagramm eines Lauoutentwurfs einer integrierten Schaltung im Einklang mit einigen Ausführungsformen.
    • 3 ist ein Diagramm eines Lauoutentwurfs einer integrierten Schaltung im Einklang mit einigen Ausführungsformen.
    • 4A, 4B, 4C, 4D und 4E sind Querschnittsansichten einer integrierten Schaltung im Einklang mit einigen Ausführungsformen.
    • 5A ist ein Diagramm eines Lauoutentwurfs einer integrierten Schaltung im Einklang mit einigen Ausführungsformen.
    • 5B, 5C und 5D sind Querschnittsansichten einer integrierten Schaltung im Einklang mit einigen Ausführungsformen.
    • 6A ist ein Diagramm eines Lauoutentwurfs einer integrierten Schaltung im Einklang mit einigen Ausführungsformen.
    • 6B, 6C, 6D und 6E sind Querschnittsansichten einer integrierten Schaltung im Einklang mit einigen Ausführungsformen.
    • 7A ist ein Diagramm eines Lauoutentwurfs einer integrierten Schaltung im Einklang mit einigen Ausführungsformen.
    • 7B, 7C und 7D sind Querschnittsansichten einer integrierten Schaltung im Einklang mit einigen Ausführungsformen.
    • 8A ist ein Diagramm eines Lauoutentwurfs einer integrierten Schaltung im Einklang mit einigen Ausführungsformen.
    • 8B, 8C, 8D, 8E, 8E, 8F und 8G sind Querschnittsansichten einer integrierten Schaltung im Einklang mit einigen Ausführungsformen.
    • 9A ist ein Diagramm eines Lauoutentwurfs einer integrierten Schaltung im Einklang mit einigen Ausführungsformen.
    • 9B, 9C, 9D und 9E sind Querschnittsansichten einer integrierten Schaltung im Einklang mit einigen Ausführungsformen.
    • 10 ist ein Ablaufdiagramm eines Verfahrens zum Herstellen einer IC im Einklang mit einigen Ausführungsformen.
    • 11 ist ein Ablaufdiagramm eines Verfahrens zum Erstellen eines Lauoutentwurfs einer integrierten Schaltung im Einklang mit einigen Ausführungsformen.
    • 12 ist ein Blockschaltbild eines Systems zum Entwerfen eines IC-Lauoutentwurfs im Einklang mit einigen Ausführungsformen.
  • AUSFÜHRLICHE BESCHREIBUNG
  • Die folgende Beschreibung stellt verschiedene Ausführungsformen oder Beispiele zum Umsetzen von Merkmalen bzw. Elementen des geschaffenen Gegenstands bereit. Konkrete Beispiele von Komponenten, Materialien, Werten, Schritten, Anordnungen oder Ähnlichem sind nachfolgend dargestellt, um die vorliegende Offenbarung zu vereinfachen. Das sind natürlich nur Beispiele und in keiner Weise einschränkend. Andere Komponenten, Materialien, Werte, Schritte, Anordnungen oder Ähnliches sind vorgesehen. Zum Beispiel kann die Bildung eines ersten Elements über oder auf einem zweiten Element in der folgenden Beschreibung Ausführungsformen aufweisen, in welchen das erste und zweite Element in direktem Kontakt gebildet sind, und kann ebenfalls Ausführungsformen aufweisen, in welchen zusätzliche Elemente zwischen dem ersten und dem zweiten Element gebildet sind, sodass das erste und das zweite Element nicht in direktem Kontakt stehen könnten. Darüber hinaus kann die vorliegende Offenbarung Bezugsziffern und/oder Buchstaben in verschiedenen Beispielen wiederholen. Diese Wiederholung dient dem Zweck der Einfachheit und Übersichtlichkeit und schreibt für sich selbst keinen Zusammenhang zwischen den verschiedenen erörterten Ausführungsformen und/oder Anordnungen vor.
  • Ferner können hierin Begriffe räumlicher Zusammenhänge, wie zum Beispiel „unterhalb“, „unter“, „untere/r“, „oberhalb“, „obere/r“ und dergleichen, verwendet sein, um den Zusammenhang eines Elements oder Merkmals mit (einem) anderen Element(en) oder Merkmal(en), die in den Figuren dargestellt sind, einfacher zu beschreiben. Es ist beabsichtigt, dass die Begriffe räumlicher Zusammenhänge unterschiedliche Ausrichtungen der verwendeten oder betriebenen Bauelemente zusätzlich zu der in den Figuren dargestellten Ausrichtung umfassen. Die Vorrichtung kann auch anders ausgerichtet sein (um 90 Grad gedreht oder in anderen Ausrichtungen), und die hierin verwendeten Begriffe räumlicher Zusammenhänge können ebenfalls dementsprechend ausgelegt werden.
  • Im Einklang mit einigen Ausführungsformen umfasst eine integrierte Schaltung einen Satz aktiver Bereiche in einem Substrat, einen ersten Satz leitfähiger Strukturen, einen Grabenisolationsbereich (STI), einen Satz von Gates und einen ersten Satz von Durchkontaktierungen. In einigen Ausführungsformen ist der erste Satz leitfähiger Strukturen unter dem Satz von Gates und der Metall-o (Mo-)Schicht der integrierten Schaltung angeordnet. In einigen Ausführungsformen ist der erste Satz leitfähiger Strukturen als „ein verborgener Pfad“ (a buried track) bezeichnet, da die leitfähigen Strukturen des ersten Satzes leitfähiger Strukturen im Substrat verborgen und unterhalb mindestens der Mo-Schicht, der MD-Schicht oder der POLY-Schicht angeordnet sind. In einigen Ausführungsformen wird unter Verwendung des verborgenen Pfads unter der Mo-Schicht ein Mo-Pfad reduziert, was eine Standardzelle mit einer geringeren Höhe und einer geringeren Fläche im Vergleich zu anderen Ansätzen ergibt. In einigen Ausführungsformen ist die Höhe einer Standardzelle der vorliegenden Offenbarung im Vergleich zu anderen Ansätzen um bis zu 50 % reduziert.
  • In einigen Ausführungsformen umfasst der erste Satz leitfähiger Strukturen eine einzige leitfähige Struktur, die sich in einer ersten Richtung oder einer zweiten Richtung erstreckt. In einigen Ausführungsformen erstreckt sich die einzige leitfähige Struktur in nur einer einzigen Richtung (z.B. der ersten oder der zweiten Richtung) und wird als eine Einrichtungsstruktur (1D) bezeichnet. In einigen Ausführungsformen umfasst der erste Satz leitfähiger Strukturen eine erste und eine zweite leitfähige Struktur. In einigen Ausführungsformen erstreckt sich die erste leitfähige Struktur in der ersten Richtung, und die zweite leitfähige Struktur erstreckt sich in der zweiten Richtung, und die erste und die zweite Struktur werden als eine Zweirichtungsstruktur (2D) bezeichnet.
  • In einigen Ausführungsformen ist der STI-Bereich zwischen dem Satz aktiver Bereiche und dem ersten Satz leitfähiger Strukturen angeordnet. In einigen Ausführungsformen ist eine Oberfläche des Satzes leitfähiger Strukturen unterhalb einer Oberfläche des aktiven Bereichs der integrierten Schaltung oder einer Oberfläche einer Finne der integrierten Schaltung angeordnet. In einigen Ausführungsformen umfasst die integrierte Schaltung ein Transfergate.
  • In einigen Ausführungsformen wird durch Verwendung des ersten Satzes leitfähiger Strukturen der integrierten Schaltung der vorliegenden Offenbarung die Gatedichte einer Standardzelle um bis zu 30 % erhöht, indem mehr Routingflexibilität als bei anderen Ansätzen geboten wird. In einigen Ausführungsformen erhöht der erste Satz leitfähiger Strukturen die Routingressourcen für Standardzellen im Vergleich zu anderen Ansätzen. In einigen Ausführungsformen sind der Stromwiderstand (IR) und die Elektromigration (EM) der vorliegenden Offenbarung im Vergleich zu anderen Ansätzen verbessert.
  • 1 ist ein Schaltplan einer integrierten Schaltung 100 im Einklang mit einigen Ausführungsformen. In einigen Ausführungsformen entspricht die integrierte Schaltung 100 einem Transfergate.
  • Die integrierte Schaltung 100 umfasst zwei P-Typ-Metalloxid-Halbleiter- (PMOS-) Transistoren P1 und P2 und zwei N-Typ-Metalloxid-Halbleiter- (NMOS-) Transistoren N1 und N2.
  • PMOS-Transistor P1 umfasst einen Gateanschluss A1, einen Anschluss T1 und einen Anschluss T3.
  • NMOS-Transistor N1 umfasst einen Gateanschluss B2, einen Anschluss T2 und einen Anschluss T4.
  • Anschluss T1 des PMOS-Transistors P1 ist elektrisch mit Anschluss T2 des NMOS-Transistors N1 verbunden. Anschluss T3 des PMOS-Transistors P1 ist elektrisch mit Anschluss T4 des NMOS-Transistors N1 verbunden. NMOS-Transistor N1 und PMOS-Transistor P1 sind miteinander verbunden, um ein erstes Transistorpaar zu bilden.
  • PMOS-Transistor P2 umfasst einen Gateanschluss B1, einen Anschluss T5 und einen Anschluss T7.
  • NMOS-Transistor N2 umfasst einen Gateanschluss A2, einen Anschluss T6 und einen Anschluss T8. Anschluss T7 des PMOS-Transistors P2 ist elektrisch mit Anschluss T8 des NMOS-Transistors N2 verbunden. Anschluss T5 des PMOS-Transistors P2 ist elektrisch mit Anschluss T6 des NMOS-Transistors N2 verbunden. NMOS-Transistor N2 und PMOS-Transistor P2 sind miteinander verbunden, um ein zweites Transistorpaar zu bilden.
  • Der Gateanschluss A1 des PMOS-Transistors P1 ist elektrisch mit dem Gateanschluss A2 des NMOS-Transistors N2 verbunden. Der Gateanschluss B1 des PMOS-Transistors P2 ist elektrisch mit dem Gateanschluss B2 des NMOS-Transistors N1 verbunden. Anschluss T3 des PMOS-Transistors P1 und Anschluss T4 des NMOS-Transistors N1 sind elektrisch mit dem Anschluss T5 des NMOS-Transistors N2 und dem Anschluss T6 des PMOS-Transistors P2 verbunden.
  • In einigen Ausführungsformen entspricht mindestens ein Anschluss der Anschlüsse T1, T2, T3, T4, T5, T6, T7 oder T8 einem Sourceanschluss. In einigen Ausführungsformen entspricht mindestens ein Anschluss der Anschlüsse T1, T2, T3, T4, T5, T6, T7 oder T8 einem Drainanschluss. Andere Anordnungen der Anschlüsse in der integrierten Schaltung 100 liegen innerhalb des Umfangs der vorliegenden Offenbarung.
  • In einigen Ausführungsformen entspricht mindestens die integrierte Schaltung 100, die integrierte Schaltung 400 (4A - 4E), der Layoutentwurf 200, der Layoutentwurf 300 ( 3), der Layoutentwurf 500A (5), der Layoutentwurf 600A (6), der Layoutentwurf 700A (7), der Layoutentwurf (8) oder der Layoutentwurf 800A (9) einer oder mehreren Standardzellen. In einigen Ausführungsformen ist die Standardzelle ein Transfergate. In einigen Ausführungsformen ist eine Standardzelle eine Logikgate-Zelle. In einigen Ausführungsformen umfasst eine Logikgate-Zelle AND-, OR-, NAND-, NOR-, XOR-, INV-, AND-OR-Invert- (AOI), OR-AND-Invert- (OAI), MUX-, Flip-Flop-, BUFF-, Latch-, Verzögerungs-, Uhr-Zellen oder dergleichen. In einigen Ausführungsformen ist eine Standardzelle eine Speicherzelle. In einigen Ausführungsformen umfasst eine Speicherzelle einen statischen Direktzugriffsspeicher (SRAM), einen dynamischen RAM (DRAM), einen resistiven RAM (RRAM), einen magnetoresistiven RAM (MRAM), einen Festwertspeicher (ROM) oder dergleichen. In einigen Ausführungsformen umfasst eine Standardzelle ein oder mehrere aktive oder passive Elemente. Beispiele für aktive Elemente umfassen, sind jedoch nicht beschränkt auf, Transistoren, Dioden oder dergleichen. Beispiele für Transistoren umfassen, sind jedoch nicht beschränkt auf, Metalloxid-Halbleiter-Feldeffekttransistoren (MOSFET), komplementäre Metalloxid-Halbleiter- (CMOS-) Transistoren, Bipolartransistoren (BJT), Hochspannungstransistoren, Hochfrequenztransistoren, p-Kanal- und/oder n-Kanal-Feldeffekttransistoren (PFETs/NFETs), FinFETs, planare MOS-Transistoren mit erhöhter/m Source/Drain oder dergleichen. Beispiele für passive Elemente umfassen, sind jedoch nicht beschränkt auf, Kondensatoren, Induktoren, Sicherungen, Widerstände oder dergleichen. In einigen Ausführungsformen umfasst IC 100 andere Merkmale/Elemente, die für eine einfachere Darstellung nicht gezeigt sind.
  • 2 ist ein Diagramm eines Lauoutentwurfs 200 einer integrierten Schaltung im Einklang mit einigen Ausführungsformen.
  • Der Layoutentwurf 200 ist verwendbar zum Herstellen der integrierten Schaltung 100 von 1, der integrierten Schaltung 400 von 4A - 4E, der integrierten Schaltung 500B von 5B - 5D, der integrierten Schaltung 600B von 6B - 6E, der integrierten Schaltung 700B von 7B - 7D, der integrierten Schaltung 800B von 8B - 8G oder der integrierten Schaltung 900B von 9B - 9E. Komponenten, welche gleich oder ähnlich jenen in jeder der 2 - 3 oder 5A - 9E sind, sind mit denselben Bezugsnummern bezeichnet und eine ausführliche Beschreibung derselben ist somit weggelassen.
  • Der Layoutentwurf 200 weist die aktiven Bereichs-Layoutstrukturen 202a, 202b (gemeinsam bezeichnet als ein „Satz aktiver Bereichs-Layoutstrukturen 202“) auf, die sich in einer ersten Richtung X erstrecken. Die aktiven Bereichs-Layoutstrukturen 202a, 202b des Satzes aktiver Bereichs-Layoutstrukturen 202 sind in einer zweiten Richtung Y, die sich von der ersten Richtung X unterscheidet, voneinander getrennt. Der Satz aktiver Bereichs-Layoutstrukturen 202 ist verwendbar zum Herstellen eines entsprechenden Satzes aktiver Bereiche 402 (4A - 4E) der integrierten Schaltung 400. In einigen Ausführungsformen ist der Satz aktiver Bereichs-Layoutstrukturen 202 in einer ersten Layoutebene angeordnet.
  • Layoutentwurf 200 umfasst ferner Gate-Layoutstrukturen 205a, 205b, 205c, 205d (gemeinsam bezeichnet als ein „Satz von Gate-Layoutstrukturen 205“) und Gate-Layoutstrukturen 206a, 206b, 206c, 206d (gemeinsam bezeichnet als ein „Satz von Gate-Layoutstrukturen 206“) auf, die sich jeweils in der zweiten Richtung Y erstrecken. Jede der Layoutstrukturen des Satzes von Gate-Layoutstrukturen 205 ist von einer benachbarten Layoutstruktur des Satzes von Gate-Layoutstrukturen 205 in der ersten Richtung X durch eine erste Teilung oder Abstand (Pitch) getrennt. Jede der Layoutstrukturen des Satzes von Gate-Layoutstrukturen 206 ist von einer benachbarten Layoutstruktur des Satzes von Gate-Layoutstrukturen 206 in der ersten Richtung X durch eine erste Teilung oder Abstand (Pitch) getrennt. Die Sätze von Gate-Layoutstrukturen 205 und 206 sind in der zweiten Richtung Y voneinander getrennt. In einigen Ausführungsformen sind die Sätze von Gate-Layoutstrukturen 205 und 206 in der zweiten Richtung Y nicht voneinander getrennt und bilden einen einzigen Satz von Gate-Layoutstrukturen 204 (wie in 3 und 5A - 9E gezeigt). Der Satz von Gate-Layoutstrukturen 204, 205 oder 206 ist verwendbar zum Herstellen eines entsprechenden Satzes von Gates 404 (4A - 4E) der integrierten Schaltung 400. Der Satz von Gate-Layoutstrukturen 204, 205 und 206 ist in einer zweiten Layoutebene (POLY) angeordnet, die sich von der ersten Layoutebene unterscheidet. Der Satz aktiver Bereichs-Layoutstrukturen 202 ist unterhalb des Satzes von Gate-Layoutstrukturen 204, 205 oder 206 angeordnet.
  • Die Gate-Layoutstruktur 206b ist verwendbar zum Herstellen des Gateanschlusses A1 des PMOS-Transistors P1 von 1. Die Gate-Layoutstruktur 206c ist verwendbar zum Herstellen des Gateanschlusses B1 des PMOS-Transistors P2 von 1. Die Gate-Layoutstruktur 205b ist verwendbar zum Herstellen des Gateanschlusses B2 des NMOS-Transistors N1 von 1. Die Gate-Layoutstruktur 205c ist verwendbar zum Herstellen des Gateanschlusses A2 des NMOS-Transistors N2 von 1.
  • Der Layoutentwurf 200 umfasst ferner Metall-über-Diffusions-Layoutstrukturen 210a, 210b, 210c (zusammen bezeichnet als ein „Satz von Metall-über-Diffusions-Layoutstrukturen 210“), die sich in der zweiten Richtung Y erstrecken. Jede der Layoutstrukturen des Satzes von Metall-über-Diffusions-Layoutstrukturen 210 ist von einer benachbarten Layoutstruktur des Satzes von Metall-über-Diffusions-Layoutstrukturen 210 in der ersten Richtung X getrennt. Der Satz von Metall-über-Diffusions-Layoutstrukturen ist in der zweiten Layoutebene angeordnet. Der Satz von Metall-über-Diffusions-Layoutstrukturen 210 ist verwendbar zum Herstellen eines entsprechenden Satzes von Kontakten 410 (4A - 4E) der integrierten Schaltung 400.
  • In einigen Ausführungsformen ist die Metall-über-Diffusions-Layoutstruktur 210a verwendbar zum Herstellen des Anschlusses T1 des PMOS-Transistors P1 von 1 und des Anschlusses T2 des NMOS-Transistors N1 von 1. In einigen Ausführungsformen ist die Metall-über-Diffusions-Layoutstruktur 210a verwendbar zum Herstellen des Anschlusses T7 des PMOS-Transistors P2 von 1 und des Anschlusses T8 des NMOS-Transistors N2 von 1. In einigen Ausführungsformen ist die Metall-über-Diffusions-Layoutstruktur 210b verwendbar zum Herstellen des Anschlusses T3 des PMOS-Transistors P1 von 1, des Anschlusses T4 des NMOS-Transistors N1 von 1, des Anschlusses T5 des PMOS-Transistors P2 von 1 und des Anschlusses T6 des NMOS-Transistors N2 von 1. In einigen Ausführungsformen ist die Metall-über-Diffusions-Layoutstruktur 210c verwendbar zum Herstellen des Anschlusses T7 des PMOS-Transistors P2 von 1 und des Anschlusses T8 des NMOS-Transistors N2 von 1. In einigen Ausführungsformen ist die Metall-über-Diffusions-Layoutstruktur 210c verwendbar zum Herstellen des Anschlusses T1 des PMOS-Transistors P1 von 1 und des Anschlusses T2 des NMOS-Transistors N1 von 1.
  • Der Layoutentwurf 200 umfasst ferner leitfähige Element-Layoutstrukturen 212a, 212b (zusammen bezeichnet als ein „Satz leitfähiger Element-Layoutstrukturen 212“) auf, die sich mindestens in der ersten Richtung X oder der zweiten Richtung Y erstrecken. In einigen Ausführungsformen ist der Satz leitfähiger Element-Layoutstrukturen 212 mindestens in der ersten Layoutebene, der zweiten Layoutebene oder einer dritten Layoutebene angeordnet. In einigen Ausführungsformen unterscheidet sich die dritte Layoutebene von der ersten Layoutebene und der zweiten Layoutebene. Der Satz leitfähiger Element-Layoutstrukturen 212 ist verwendbar zum Herstellen entsprechender leitfähiger Strukturen (z.B. Satz leitfähiger Strukturen 420 oder Satz leitfähiger Strukturen 430 (4A - 4E)) der integrierten Schaltung 400. In einigen Ausführungsformen ist der Satz leitfähiger Element-Layoutstrukturen 212 verwendbar zum Herstellen eines entsprechenden Satzes leitfähiger Strukturen 420' der integrierten Schaltung 500B, eines entsprechenden Satzes leitfähiger Strukturen 620' der integrierten Schaltung 600B, eines entsprechenden Satzes leitfähiger Strukturen 720' der integrierten Schaltung 700B, eines entsprechenden Satzes leitfähiger Strukturen 820' der integrierten Schaltung 800B oder eines entsprechenden Satzes leitfähiger Strukturen 920' der integrierten Schaltung 900B. Die leitfähige Element-Layoutstruktur 212a entspricht dem Fertigen einer leitfähigen Struktur (z.B. der leitfähigen Struktur 420 oder der leitfähigen Strukturen 430a, 430b, 430c), die verwendet werden, um den Gateanschluss A1 des PMOS-Transistors P1 elektrisch mit dem Gateanschluss A2 des NMOS-Transistors N1 von 1 zu verbinden. Die leitfähige Element-Layoutstruktur 212b entspricht dem Fertigen einer leitfähigen Struktur (z.B. der leitfähigen Struktur 420 oder der leitfähigen Strukturen 430a, 430b, 430c), die verwendet werden, um den Gateanschluss B1 des PMOS-Transistors P2 elektrisch mit dem Gateanschluss B2 des NMOS-Transistors N2 von 1 zu verbinden. In einigen Ausführungsformen ist der Satz leitfähiger Element-Layoutstrukturen 212 nicht im Layoutentwurf 200 enthalten.
  • 3 ist ein Diagramm eines Lauoutentwurfs 300 einer integrierten Schaltung im Einklang mit einigen Ausführungsformen. Der Layoutentwurf 300 ist verwendbar zum Herstellen der integrierten Schaltung 100 (1) oder der integrierten Schaltung 400 (4A - 4E).
  • Der Layoutentwurf 300 ist eine Variation des Layoutentwurfs 200 (2). Der Layoutentwurf 300 ist verwendbar zum Herstellen einer integrierten Schaltung ähnlich der integrierten Schaltung 100 (1) oder der integrierten Schaltung 400 (4A - 4E).
  • Im Vergleich zum Layoutentwurf 200 von 2, umfasst der Layoutentwurf 300 ferner eine oder mehrere Schnittelement-Layoutstrukturen 304a und 304b, Finnen-Layoutstrukturen 316a und 316b, leitfähige Element-Layoutstrukturen 320, 330a, 330b, 330c und 340, und Durchkontaktierungs-Layoutstrukturen 322a, 322b, 332a, 332b, 342a und 342b.
  • Im Vergleich zum Layoutentwurf 200 von 2, ersetzt der Satz von Gate-Layoutstrukturen 204 des Layoutentwurfs 300 den Satz von Gate-Layoutstrukturen 205 und 206. Der Satz von Gate-Layoutstrukturen 204 entspricht dem Satz von Gate-Layoutstrukturen 205 und 206, die in der zweiten Richtung Y nicht voneinander getrennt sind, wodurch sie einen einzigen Satz von Gate-Layoutstrukturen (z.B. den Satz von Gate-Layoutstrukturen 204) bilden. Der Satz von Gate-Layoutstrukturen 204 ist ähnlich dem Satz von Gate-Layoutstrukturen 205 und 206, weswegen eine ähnliche ausführliche Beschreibung weggelassen ist.
  • Schnittelement-Layoutstrukturen 304a, 304b (zusammen bezeichnet als ein „Satz von Schnittelement-Layoutstrukturen 304“) erstrecken sich in der ersten Richtung X. Der Satz von Schnittelement-Layoutstrukturen 304 überlappt mindestens eine erste Gate-Layoutstruktur des Satzes von Gate-Layoutstrukturen 204. Die Schnittelement-Layoutstrukturen 304a, 304b überlappen die entsprechenden Gate-Layoutstrukturen 204b, 204c des Satzes von Gate-Layoutstrukturen 204. Jede der Layoutstrukturen des Satzes von Schnittelement-Layoutstrukturen 304 weist eine Strukturbreite in der zweiten Richtung Y und eine Strukturlänge in der ersten Richtung X auf.
  • In einigen Ausführungsformen ist die Schnittelement-Layoutstruktur 304a verwendbar zum Bestimmen einer Position der Gates 404b1 und 404b2 (4A - 4E) der integrierten Schaltung 400, die während des Arbeitsvorgangs 1006 des Verfahrens 1000 (10) entfernt werden. In einigen Ausführungsformen ist die Schnittelement-Layoutstruktur 304b verwendbar zum Bestimmen einer Position der Gates 404c1 und 404c2 (4A - 4E) der integrierten Schaltung 400, die während des Arbeitsvorgangs 1006 des Verfahrens 1000 (10) entfernt werden. Der entfernte Gateabschnitt der Gates 404b1 und 404b2 und der Gates 404c1 und 404c2 wird auch als ein Schnittabschnitt bezeichnet. In einigen Ausführungsformen sind der Satz von Gate-Layoutstrukturen 204 und die Schnittelement-Layoutstruktur 304 in der zweiten Layoutebene angeordnet. In einigen Ausführungsformen entspricht die zweite Layoutebene einer Poly-Layoutebene (POLY). Andere Anordnungen oder Mengen von Strukturen in dem Satz von Schnittelement-Layoutstrukturen 304 liegen innerhalb des Umfangs der vorliegenden Offenbarung.
  • Die Finnen-Layoutstrukturen 316a, 316b (zusammen bezeichnet als „Satz von Finnen-Layoutstrukturen 316“) erstrecken sich jeweils in der ersten Richtung X. Die Finnen-Layoutstrukturen 316a, 316b sind über der entsprechenden aktiven Bereichslayoutstruktur 202a, 202b angeordnet. Der Satz von Finnen-Layoutstrukturen 316 ist unterhalb des Satzes von Gate-Layoutstrukturen 204 angeordnet. Jede der Layoutstrukturen des Satzes von Finnen-Layoutstrukturen 316 ist von einer benachbarten Layoutstruktur des Satzes von Finnen-Layoutstrukturen 316 in der zweiten Richtung Y durch eine Finnenteilung oder Finnenabstand (fin pitch) getrennt. Der Satz von Finnen-Layoutstrukturen 316 ist verwendbar zum Herstellen eines entsprechenden Satzes von Finnen der integrierten Schaltung 400. Andere Anordnungen oder Mengen von Finnen in dem Satz von Finnen-Layoutstrukturen 316 liegen innerhalb des Umfangs der vorliegenden Offenbarung.
  • Der leitfähige Element-Layoutentwurf 320a (im Folgenden bezeichnet als ein „Satz leitfähiger Element-Layoutstrukturen 320) erstreckt sich mindestens in der ersten Richtung X oder der zweiten Richtung Y. In einigen Ausführungsformen erstreckt sich der Satz leitfähiger Element-Layoutstrukturen 320 in einer einzigen Richtung (z.B. der ersten Richtung X oder der zweiten Richtung Y). In einigen Ausführungsformen erstreckt sich der Satz leitfähiger Element-Layoutstrukturen 320 in zwei Richtungen (z.B. der ersten Richtung X und der zweiten Richtung Y (5)). Der Satz leitfähiger Element-Layoutstrukturen 320 weist eine oder mehrere leitfähige Element-Layoutstrukturen auf. Der Satz leitfähiger Element-Layoutstrukturen 320 ist in der ersten Layoutebene angeordnet. In einigen Ausführungsformen ist die erste Layoutebene des Layoutentwurfs 300 der aktive Bereich. In einigen Ausführungsformen ist die erste Layoutebene des Layoutentwurfs 300 in einen Metall-unter-Abschnitt (MU) und einen Durchkontaktierung-unter-Abschnitt (VU) geteilt. In einigen Ausführungsformen ist der MU-Abschnitt unter dem VU-Abschnitt angeordnet. In einigen Ausführungsformen ist der Satz leitfähiger Element-Layoutstrukturen 320 auf dem MU-Abschnitt der ersten Layoutebene angeordnet. In einigen Ausführungsformen ist der MU-Abschnitt unterhalb mindestens der Mo-Ebene, der POLY-Ebene oder der MD-Ebene des Layoutentwurfs 300 angeordnet.
  • Der Satz leitfähiger Element-Layoutstrukturen 320 ist zwischen dem Satz aktiver Bereichs-Layoutstrukturen 202 angeordnet. Die leitfähige Element-Layoutstruktur 320a ist zwischen der aktiven Bereichs-Layoutstruktur 202a und der aktiven Bereichs-Layoutstruktur 202b angeordnet. Der Satz leitfähiger Element-Layoutstrukturen 320 ist verwendbar zum Herstellen eines entsprechenden Satzes leitfähiger Strukturen 420 (4A - 4E) der integrierten Schaltung 400. Der Satz leitfähiger Element-Layoutstrukturen 320 ist unterhalb des Satzes von Gate-Layoutstrukturen 204 und des Satzes von Metall-über-Diffusions-Layoutstrukturen 210 angeordnet. In einigen Ausführungsformen überlappt der Satz von Metall-über-Diffusions-Layoutstrukturen 210 den Satz leitfähiger Element-Layoutstrukturen 320. Der Satz von Gate-Layoutstrukturen 204 überlappt mindestens den Satz leitfähiger Element-Layoutstrukturen 320. Andere Anordnungen oder Mengen von Strukturen in dem Satz leitfähiger Element-Layoutstrukturen 320 liegen innerhalb des Umfangs der vorliegenden Offenbarung.
  • Durchkontaktierungs-Layoutstrukturen 322a, 322b (zusammen bezeichnet als ein „Satz von Durchkontaktierungs-Layoutstrukturen 322“) sind zwischen dem Satz leitfähiger Element-Layoutstrukturen 320 und dem Satz von Gate-Layoutstrukturen 204 angeordnet. Die Durchkontaktierungs-Layoutstrukturen 322a, 322b des Satzes von Durchkontaktierungs-Layoutstrukturen 322 sind zwischen der entsprechenden Gate-Layoutstruktur 204c, 204b des Satzes von Gate-Layoutstrukturen 204 und dem Satz von leitfähigen Element-Layoutstrukturen 320 angeordnet. Die Durchkontaktierungs-Layoutstrukturen 322a, 322b sind am VU-Abschnitt der ersten Layoutebene des Layoutentwurfs 300 angeordnet. In einigen Ausführungsformen ist der VU-Abschnitt über dem MU-Abschnitt angeordnet. In einigen Ausführungsformen ist der VU-Abschnitt unterhalb mindestens der Vo-Ebene, der VG-Ebene oder der VD-Ebene des Layoutentwurfs 300 angeordnet.
  • Der Satz von Durchkontaktierungs-Layoutstrukturen 322 ist verwendbar zum Herstellen eines entsprechenden Satzes von Durchkontaktierungen 422 (4A - 4E). Der Satz von Durchkontaktierungen 422 verbindet den Satz leitfähiger Strukturen 420 mit dem Satz von Gates 404.
  • In einigen Ausführungsformen ist eine Durchkontaktierungs-Layoutstruktur 322a, 322b des Satzes von Durchkontaktierungs-Layoutstrukturen 322 dort angeordnet, wo eine entsprechende Gate-Layoutstruktur 204c, 204b des Satzes von Gate-Layoutstrukturen 204 eine entsprechende Layoutstruktur des Satzes leitfähiger Element-Layoutstrukturen 320 überlappt. In einigen Ausführungsformen ist ein Zentrum der Durchkontaktierungs-Layoutstruktur 322a, 322b des Satzes von Durchkontaktierungs-Layoutstrukturen 322 unter einem Zentrum einer entsprechenden Gate-Layoutstruktur 204c, 204b des Satzes von Gate-Layoutstrukturen 204 angeordnet. In einigen Ausführungsformen ist ein Zentrum der Durchkontaktierungs-Layoutstruktur 322a, 322b des Satzes von Durchkontaktierungs-Layoutstrukturen 322a mindestens in der ersten Richtung X oder der zweiten Richtung X auf ein Zentrum einer entsprechenden Gate-Layoutstruktur 204c, 204b des Satzes von Gate-Layoutstrukturen 204 ausgerichtet. Andere Anordnungen von Durchkontaktierung-Layoutstrukturen 322a, 322b des Satzes von Durchkontaktierungs-Layoutstrukturen 322 liegen innerhalb des Umfangs der vorliegenden Offenbarung.
  • Die leitfähigen Element-Layoutstrukturen 330a, 330b, 330c (zusammen bezeichnet als ein „Satz leitfähiger Element-Layoutstrukturen 330“) erstrecken sich in der ersten Richtung X. Der Satz leitfähiger Element-Layoutstrukturen 330 ist zwischen dem Satz aktiver Bereichs-Layoutstrukturen 202 angeordnet. Jede der leitfähigen Element-Layoutstrukturen 330a, 330b, 330c ist zwischen der aktiven Bereichs-Layoutstruktur 202a und der aktiven Bereichs-Layoutstruktur 202b angeordnet. In einigen Ausführungsformen ist jede der Layoutstrukturen 330a, 330b, 330c des Satzes leitfähiger-Layoutstrukturen 330 von einer benachbarten Layoutstruktur des Satzes leitfähiger-Layoutstrukturen 330 in der zweiten Richtung Y getrennt. Der Satz leitfähiger Element-Layoutstrukturen 320 ist verwendbar zum Herstellen eines entsprechenden Satzes leitfähiger Strukturen 430 (4A-4E) der integrierten Schaltung 400.
  • Der Satz leitfähiger Element-Layoutstrukturen 330 ist auf einer dritten Layoutebene, die sich von der ersten Layoutebene und der zweiten Layoutebene unterscheidet, angeordnet. In einigen Ausführungsformen entspricht die dritte Layoutebene der Metall-Null- (Mo-) Layoutebene. In einigen Ausführungsformen entspricht die dritte Layoutebene einer oberen Metallebene, welche die Metall-eins- (M1-) Layoutebene, die Metall-zwei- (M2-) Layoutebene, die Metall-drei- (M3-) Layoutebene oder dergleichen umfasst. Der Satz leitfähiger Element-Layoutstrukturen 330 überlappt mindestens den Satz von Gate-Layoutstrukturen 204 oder den Satz von Metall-über-Diffusions-Layoutstrukturen 210. Der Satz leitfähiger Element-Layoutstrukturen 330 ist über dem Satz leitfähiger Element-Layoutstrukturen 320 und dem Satz aktiver Bereichs-Layoutstrukturen 202 angeordnet.
  • Die Durchkontaktierungs-Layoutstrukturen 332a, 332b (zusammen bezeichnet als ein „Satz von Durchkontaktierungs-Layoutstrukturen 332“) sind zwischen dem Satz leitfähiger Element-Layoutstrukturen 330 und dem Satz von Gate-Layoutstrukturen 204 angeordnet. Die Durchkontaktierungs-Layoutstrukturen 332a, 332b sind in der Durchkontaktierungs-über-Gate- (VG-) Ebene des Layoutentwurfs 300 angeordnet. Die Durchkontaktierungs-Layoutstrukturen 332a, 332b des Satzes von Durchkontaktierungs-Layoutstrukturen 332 sind zwischen einer entsprechenden leitfähigen Element-Layoutstruktur 330c, 330a des Satzes leitfähiger Element-Layoutstrukturen 330 und einer entsprechenden Gate-Layoutstruktur 204b, 204c des Satzes von Gate-Layoutstrukturen 204 angeordnet. Der Satz von Durchkontaktierungs-Layoutstrukturen 332 ist verwendbar zum Herstellen eines entsprechenden Satzes von Durchkontaktierungen 432 (4A - 4E). Der Satz von Durchkontaktierungen 432 verbindet den Satz leitfähiger Strukturen 430 mit dem Satz von Gates 404.
  • In einigen Ausführungsformen ist eine Durchkontaktierungs-Layoutstruktur 332a, 332b des Satzes von Durchkontaktierungs-Layoutstrukturen 332 dort angeordnet, wo eine entsprechende Layoutstruktur 330c, 330a des Satzes leitfähiger Element-Layoutstrukturen 330 eine entsprechende Gate-Layoutstruktur 204b, 204c des Satzes von Gate-Layoutstrukturen 204 überlappt. In einigen Ausführungsformen ist ein Zentrum der Durchkontaktierungs-Layoutstruktur 332a, 332b des Satzes von Durchkontaktierungs-Layoutstrukturen 332 über einem Zentrum einer entsprechenden Gate-Layoutstruktur 204b, 204c des Satzes von Gate-Layoutstrukturen 204 angeordnet. In einigen Ausführungsformen ist ein Zentrum der Durchkontaktierungs-Layoutstruktur 332a, 332b des Satzes von Durchkontaktierungs-Layoutstrukturen 332 unter einem Zentrum einer entsprechenden leitfähigen Element-Layoutstruktur 330c, 330a des Satzes leitfähiger Element-Layoutstrukturen 330 angeordnet. In einigen Ausführungsformen ist ein Zentrum der Durchkontaktierungs-Layoutstruktur 332a, 332b des Satzes von Durchkontaktierungs-Layoutstrukturen 332 mindestens in der ersten Richtung X oder der zweiten Richtung Y auf ein Zentrum einer entsprechenden Gate-Layoutstruktur 204b, 204c des Satzes von Gate-Layoutstrukturen 204 ausgerichtet. In einigen Ausführungsformen ist ein Zentrum der Durchkontaktierungs-Layoutstruktur 332a, 332b des Satzes von Durchkontaktierungs-Layoutstrukturen 332 mindestens in der ersten Richtung X oder der zweiten Richtung Y auf ein Zentrum einer entsprechenden leitfähigen Element-Layoutstruktur 330c, 330a des Satzes leitfähiger Element-Layoutstrukturen 330 ausgerichtet. Andere Anordnungen von Durchkontaktierung-Layoutstrukturen 332a, 332b des Satzes von Durchkontaktierungs-Layoutstrukturen 332 liegen innerhalb des Umfangs der vorliegenden Offenbarung.
  • Der leitfähige Element-Layoutentwurf 340a (im Folgenden bezeichnet als ein „Satz leitfähiger Element-Layoutstrukturen 340) erstreckt sich in der zweiten Richtung Y. Der Satz leitfähiger Element-Layoutstrukturen 340 weist eine oder mehrere leitfähige Element-Layoutstrukturen auf. Der Satz leitfähiger Element-Layoutstrukturen 340 ist zwischen dem Satz von Gate-Layoutstrukturen 204 angeordnet. In einigen Ausführungsformen ist die leitfähige Element-Layoutstruktur 340a zwischen der Gate-Layoutstruktur 204C und der Gate-Layoutstruktur 204D angeordnet. In einigen Ausführungsformen ist jede der Layoutstrukturen des Satzes leitfähiger-Layoutstrukturen 340 von einer benachbarten Layoutstruktur des Satzes leitfähiger-Layoutstrukturen 340 in der ersten Richtung X getrennt. Der Satz leitfähiger Element-Layoutstrukturen 340 ist verwendbar zum Herstellen eines entsprechenden Satzes leitfähiger Strukturen 440 (4A-4E) der integrierten Schaltung 400.
  • Der Satz leitfähiger Element-Layoutstrukturen 340 überlappt mindestens den Satz leitfähiger Element-Layoutstrukturen 320, den Satz leitfähiger Element-Layoutstrukturen 330, den Satz von Metall-über-Diffusions-Layoutstrukturen 210 oder den Satz aktiver Bereichs-Layoutstrukturen 202. Der Satz leitfähiger Element-Layoutstrukturen 340 ist auf einer vierten Layoutebene, die sich von der ersten Layoutebene, der zweiten Layoutebene und der dritten Layoutebene unterscheidet, angeordnet. In einigen Ausführungsformen entspricht die vierte Layoutebene einer Metall-eins (M1-) Layoutebene 300. Andere Anordnungen oder Mengen von Strukturen in dem Satz leitfähiger Element-Layoutstrukturen 340 liegen innerhalb des Umfangs der vorliegenden Offenbarung.
  • Die Durchkontaktierungs-Layoutstrukturen 342a, 342b (zusammen bezeichnet als ein „Satz von Durchkontaktierungs-Layoutstrukturen 342“) sind zwischen dem Satz leitfähiger Element-Layoutstrukturen 330 und dem Satz von leitfähiger Element-Layoutstrukturen 340 angeordnet. Die Durchkontaktierungs-Layoutstrukturen 342a, 342b des Satzes von Durchkontaktierungs-Layoutstrukturen 342 sind zwischen der entsprechenden leitfähigen Element-Layoutstruktur 330a, 330c des Satzes leitfähiger Element-Layoutstrukturen 330 und dem Satz leitfähiger Element-Layoutstrukturen 340 angeordnet. Die Durchkontaktierungs-Layoutstrukturen 342a, 342b sind in einer Durchkontaktierung-null- (Vo-) Ebene des Layoutentwurfs 300 angeordnet. Der Satz von Durchkontaktierungs-Layoutstrukturen 342 ist verwendbar zum Herstellen eines entsprechenden Satzes von Durchkontaktierungen 442 ( 4A - 4E). Der Satz von Durchkontaktierungen 442 verbindet den Satz leitfähiger Strukturen 430 mit dem Satz leitfähiger Strukturen 440.
  • In einigen Ausführungsformen ist eine Durchkontaktierungs-Layoutstruktur 342a, 342b des Satzes von Durchkontaktierungs-Layoutstrukturen 342 dort angeordnet, wo eine entsprechende leitfähige Element-Layoutstruktur 330a, 330c des Satzes leitfähiger Element-Layoutstrukturen 330 eine entsprechende Layoutstruktur des Satzes leitfähiger Element-Layoutstrukturen 340 überlappt. In einigen Ausführungsformen ist ein Zentrum der Durchkontaktierungs-Layoutstruktur 342a, 342b des Satzes von Durchkontaktierungs-Layoutstrukturen 342 über einem Zentrum einer entsprechenden leitfähigen Element-Layoutstruktur 330a, 330c des Satzes leitfähiger Element-Layoutstrukturen 330 angeordnet. In einigen Ausführungsformen ist ein Zentrum der Durchkontaktierungs-Layoutstruktur 342a, 342b des Satzes von Durchkontaktierungs-Layoutstrukturen 342 mindestens in der ersten Richtung X oder der zweiten Richtung Y auf ein Zentrum einer entsprechenden leitfähigen Element-Layoutstruktur 330a, 330c des Satzes leitfähiger Element-Layoutstrukturen 330 ausgerichtet. Andere Anordnungen von Durchkontaktierung-Layoutstrukturen 342a, 342b des Satzes von Durchkontaktierungs-Layoutstrukturen 342 liegen innerhalb des Umfangs der vorliegenden Offenbarung.
  • In einigen Ausführungsformen ist der Gateanschluss A1, 404b1 (4C) (hergestellt durch Gate-Layoutstruktur 204b) des Layoutentwurfs 300 elektrisch mit dem Gateanschluss A2, 404c2 (4B) (hergestellt durch Gate-Layoutstruktur 204c) verbunden. Zum Beispiel ist in einigen Ausführungsformen der Gateanschluss A1, 404b1 (hergestellt durch Gate-Layoutstruktur 204b) elektrisch verbunden über die Durchkontaktierung 422b (4C) (hergestellt durch Durchkontaktierungs-Layoutstruktur 322b) mit der leitfähigen Struktur 420a (hergestellt durch die leitfähige Element-Layoutstruktur 320a), und die leitfähige Struktur 420a ist elektrisch verbunden über Durchkontaktierung 422a (4B) (hergestellt durch Durchkontaktierungs-Layoutstruktur 322a) mit Gateanschluss A2, 404c2 (hergestellt durch Gate-Layoutstruktur 204c).
  • In einigen Ausführungsformen ist der Gateanschluss B1, 404c1 (hergestellt durch Gate-Layoutstruktur 204c) des Layoutentwurfs 300 elektrisch verbunden mit dem Gateanschluss B2, 404b2 (hergestellt durch Gate-Layoutstruktur 204b). Zum Beispiel ist in einigen Ausführungsformen der Gateanschluss B1, 404c1 (4B) (hergestellt durch Gate-Layoutstruktur 204c) elektrisch verbunden über die Durchkontaktierung 432b (hergestellt durch Durchkontaktierungs-Layoutstruktur 332b) mit der leitfähigen Struktur 430a (hergestellt durch die leitfähige Element-Layoutstruktur 330a). In einigen Ausführungsformen ist die leitfähige Struktur 430a elektrisch verbunden über Durchkontaktierung 442a, Vo (hergestellt durch Durchkontaktierungs-Layoutstruktur 342a) mit einer leitfähigen Struktur 440a, M1 (hergestellt durch leitfähige Element-Layoutstruktur 340a). In einigen Ausführungsformen ist die leitfähige Struktur 440a elektrisch verbunden über Durchkontaktierung 442b, Vo (hergestellt durch Durchkontaktierungs-Layoutstruktur 342b) mit der leitfähigen Struktur 430c (hergestellt durch leitfähige Element-Layoutstruktur 330c). In einigen Ausführungsformen ist die leitfähige Struktur 430c elektrisch verbunden über Durchkontaktierung 432a (hergestellt durch Durchkontaktierungs-Layoutstruktur 332a) mit Gateanschluss B2, 404b2 (hergestellt durch Gate-Layoutstruktur 204b).
  • In einigen Ausführungsformen ist der Satz leitfähiger Element-Layoutstrukturen 320 unterhalb mindestens des Satzes von Gate-Layoutstrukturen 304, der Metall-o- (Mo-) Ebene oder der MD-Ebene des Layoutentwurfs 300 angeordnet. In einigen Ausführungsformen ist im Vergleich zu anderen Ansätzen durch Verwendung des Satzes leitfähiger Element-Layoutstrukturen 320 mindestens ein oberer Metallschichtpfad im Satz leitfähiger Element-Layoutstrukturen 330 reduziert. In einigen Ausführungsformen umfasst ein oberer Metallschichtpfad entweder Mo, und/oder M1 und/oder M2 und/oder dergleichen. In einigen Ausführungsformen umfasst der Satz leitfähiger Element-Layoutstrukturen 330 eine obere Metallschichtpfadstruktur auf, die in der M1-Ebene, der M2-Ebene oder dergleichen angeordnet ist. In einigen Ausführungsformen ergibt sich im Vergleich zu anderen Ansätzen durch Verwendung eines oberen Metallschichtpfads weniger im Satz leitfähiger Element-Layoutstrukturen 330 eine Standardzelle mit einer geringeren Höhe und einer geringeren Fläche. In einigen Ausführungsformen ist die Breite einer oder mehrerer Layoutstrukturen im Satz leitfähiger Element-Layoutstrukturen 330 erhöht, da ein oberer Metallschichtpfad im Satz leitfähiger Layoutstrukturen 330 reduziert ist, was im Vergleich zu anderen Ansätzen eine Standardzelle mit besserem Stromwiderstand (IR) und besserer Elektromigration (EM) ergibt. In einigen Ausführungsformen ist im Vergleich zu anderen Ansätzen durch Verwenden des Satzes leitfähiger Element-Layoutstrukturen 320 der vorliegenden Offenbarung die Gatedichte des Satzes von Gate-Layoutstrukturen einer Standardzelle um bis zu 30 % erhöht. In einigen Ausführungsformen bietet der Layoutentwurf 200 im Vergleich zu anderen Ansätzen durch Verwenden des Satzes leitfähiger Element-Layoutstrukturen 320 der vorliegenden Offenbarung mehr Routingflexibilität und erhöht die Routingressourcen.
  • 4A, 4B, 4C, 4D und 4E sind Querschnittsansichten einer integrierten Schaltung 400 im Einklang mit einigen Ausführungsformen. 4A ist eine Querschnittsansicht einer integrierten Schaltung 400 entsprechend dem Layoutentwurf 300 geschnitten durch Ebene A-A', 4B ist eine Querschnittsansicht einer integrierten Schaltung 400 entsprechend dem Layoutentwurf 300 geschnitten durch Ebene B-B', 4C ist eine Querschnittsansicht einer integrierten Schaltung 400 entsprechend dem Layoutentwurf 300 geschnitten durch Ebene C-C', 4D ist eine Querschnittsansicht einer integrierten Schaltung 400 entsprechend dem Layoutentwurf 300 geschnitten durch Ebene D-D', und 4E ist eine Querschnittsansicht einer integrierten Schaltung 400 entsprechende dem Layoutentwurf 300 geschnitten durch Ebene E-E' im Einklang mit einigen Die integrierte Schaltung 400 wird durch Layoutentwurf 300 hergestellt.
  • Die integrierte Schaltung 400 wird durch Layoutentwurf 300 hergestellt. Strukturelle Beziehungen umfassend Ausrichtung, Längen und Breiten, sowie Anordnungen der integrierten Schaltung 400 sind ähnlich den strukturellen Beziehungen und Anordnungen des Layoutentwurfs 200 von 2 und des Layoutentwurfs 300 von 3, und werden der Kürze halber in 4A - 4E nicht beschrieben.
  • Die integrierte Schaltung 400 weist aktive Bereiche 402a, 402b (zusammen bezeichnet als „Satz aktiver Bereiche 402“) in einem Substrat 480 auf. Der Satz aktiver Bereiche 402 erstreckt sich in der ersten Richtung X und ist in einer ersten Ebene der integrierten Schaltung 400 angeordnet. Jeder der aktiven Bereiche des Satzes aktiver Bereiche 402 ist in der zweiten Richtung Y voneinander getrennt. In einigen Ausführungsformen ist der Satz aktiver Bereiche 402 der integrierten Schaltung 400 als ein Oxiddefinitions- (OD-) Bereich bezeichnet, der die Source- oder Drain-Diffusionsbereiche der integrierten Schaltung 400 definiert. In einigen Ausführungsformen ist die erste Ebene der integrierten Schaltung 400 als die aktive/Finnen-Ebene bezeichnet. In einigen Ausführungsformen umfasst der aktive Bereich 402a, 402b einen Satz von Finnen (nicht abgebildet), die sich in der ersten Richtung erstrecken und unterhalb des Satzes von Gates 404 angeordnet sind. In einigen Ausführungsformen ist jede der Finnen (nicht abgebildet) von einer benachbarten Finne des Satzes von Finnen (nicht abgebildet) in der zweiten Richtung Y durch eine Finnenteilung (nicht abgebildet) getrennt. Andere Mengen oder Anordnungen des Satzes aktiver Bereiche 402 liegen innerhalb des Umfangs der vorliegenden Offenbarung.
  • Die integrierte Schaltung 400 umfasst ferner eine leitfähige Struktur 420a (zusammen bezeichnet als ein „Satz leitfähiger Strukturen 420), die sich mindestens in der ersten Richtung X oder der zweiten Richtung Y erstreckt. Der Satz leitfähiger Strukturen 420 ist in der ersten Ebene der integrierten Schaltung 400 angeordnet. Der Satz leitfähiger Strukturen 420 ist zwischen dem Satz aktiver Bereiche 402 angeordnet. In einigen Ausführungsformen ist die leitfähige Struktur 420a zwischen den aktiven Bereichen 402a, 402b angeordnet. In einigen Ausführungsformen ist eine Oberfläche der leitfähigen Struktur 420a des Satzes leitfähiger Strukturen 420 unterhalb einer Oberfläche des aktiven Bereichs 402a, 402b des Satzes aktiver Bereiche 402 oder einer Oberfläche einer Finne (nicht abgebildet) des Satzes von Finnen (nicht abgebildet) angeordnet.
  • In einigen Ausführungsformen ist die erste Ebene der integrierten Schaltung 400 der aktive Bereich der integrierten Schaltung 400. In einigen Ausführungsformen ist die erste Ebene der integrierten Schaltung 400 in einen Metall-unter- (MU-) Bereich und einen Durchkontaktierung-unter (VU-) Bereich geteilt. In einigen Ausführungsformen ist der MU-Bereich unter dem VU-Bereich angeordnet. In einigen Ausführungsformen ist der Satz leitfähiger Strukturen 420 in dem MU-Bereich der ersten Ebene der integrierten Schaltung 400 angeordnet. In einigen Ausführungsformen ist die leitfähige Struktur des Satzes leitfähiger Strukturen 420 innerhalb des Substrats 480 verborgen und unterhalb mindestens der Mo-Schicht, der POLY-Schicht, der MD-Schicht der integrierten Schaltung 400 angeordnet. In einigen Ausführungsformen weist jede leitfähige Struktur 420a im Satz leitfähiger Strukturen 420 eine Breite (nicht abgebildet) in der zweiten Richtung Y von ungefähr 1 - 50 nm auf. In einigen Ausführungsformen weist die leitfähige Struktur 420a im Satz leitfähiger Strukturen 420 eine Länge (nicht abgebildet) in der ersten Richtung X von ungefähr 1 - 10 µm auf. Andere Mengen oder Anordnungen des Satzes leitfähiger Strukturen 404 liegen innerhalb des Umfangs der vorliegenden Offenbarung.
  • Die integrierte Schaltung 400 umfasst ferner einen Grabenisolations- (STI-) Bereich 490, der sich mindestens in der ersten Richtung X oder der zweiten Richtung Y erstreckt. Der STI-Bereich 490 ist mindestens in der ersten Ebene der integrierten Schaltung 400 angeordnet. In einigen Ausführungsformen ist der STI-Bereich 490 zwischen dem Satz aktiver Bereiche 402 und dem Satz leitfähiger Strukturen 420 angeordnet. In einigen Ausführungsformen ist die leitfähige Struktur 420a durch den STI-Bereich 490 von jedem der aktiven Bereiche 402a, 402b getrennt. Andere Mengen oder Anordnungen des STI-Bereichs 490 liegen innerhalb des Umfangs der vorliegenden Offenbarung.
  • Die integrierte Schaltung 400 umfasst ferner Gates 404b1, 404b2, 404c1, 404c2 (zusammen bezeichnet als „Satz von Gates 404“), die sich in der zweiten Richtung Y erstrecken, mindestens den Satz leitfähiger Strukturen 420 überlappen und in einer zweiten Ebene der integrierten Schaltung 400 angeordnet sind. In einigen Ausführungsformen unterscheidet sich die zweite Ebene der integrierten Schaltung 400 von der ersten Ebene. Jedes der Gates des Satzes von Gates 404 ist von einem benachbarten Gate des Satzes von Gates 404 in der ersten Richtung X durch eine erste Teilung getrennt. In einigen Ausfiihrungsformen wird die zweite Ebene der integrierten Schaltung 400 als die Poly-Ebene bezeichnet. Andere Mengen oder Anordnungen des Satzes von Gates 404 liegen innerhalb des Umfangs der vorliegenden Offenbarung.
  • Die integrierte Schaltung 400 umfasst ferner Kontakte 410a, 410b, 410c (zusammen bezeichnet als ein „Satz von Kontakten 410“), die sich in der zweiten Richtung Y erstrecken, den Satz leitfähiger Strukturen 420 überlappen und in der zweiten Ebene der integrierten Schaltung 400 angeordnet sind. Jeder der Kontakte 410, 410b, 410c des Satzes von Kontakten 410 ist in der ersten Richtung X von einem benachbarten Kontakt des Satzes von Kontakten 410 getrennt. In einigen Ausführungsformen ist der Satz von Kontakten 410 in der MD-Ebene der integrierten Schaltung 400 angeordnet. In einigen Ausführungsformen ist mindestens ein Kontakt des Satzes von Kontakten 410 elektrisch mit mindestens einer Source oder einem Drain der aktiven Bereiche 402a, 402b verbunden. Andere Mengen oder Anordnungen des Satzes von Kontakten 410 liegen innerhalb des Umfangs der vorliegenden Offenbarung.
  • Die integrierte Schaltung 400 umfasst ferner Durchkontaktierungen 422a, 422b (zusammen bezeichnet als ein „Satz von Durchkontaktierungen 422“), welche den Satz leitfähiger Strukturen 420 mit dem Satz von Gates 404 verbinden. Der Satz von Durchkontaktierungen 422 ist zwischen dem Satz leitfähiger Strukturen 420 und dem Satz von Gates 404 angeordnet. Die Durchkontaktierung 422a ist dort angeordnet, wo die Gates 422c1, 404c2 die leitfähige Struktur 420a überlappen. Die Durchkontaktierung 422a ist dort angeordnet, wo die Gates 422c1, 404c2 die leitfähige Struktur 420a überlappen. In einigen Ausführungsformen ist der Satz von Durchkontaktierungen 422 in der VU-Ebene der integrierten Schaltung 400 angeordnet. Die VU-Ebene der integrierten Schaltung 400 ist zwischen der ersten und der zweiten Ebene angeordnet. Andere Mengen oder Anordnungen des Satzes von Durchkontaktierungen 422 liegen innerhalb des Umfangs der vorliegenden Offenbarung.
  • Die integrierte Schaltung 400 umfasst ferner leitfähige Strukturen 430a, 430b, 430c (zusammen bezeichnet als ein „Satz leitfähiger Strukturen 430“), die sich in der ersten Richtung X zwischen dem Satz aktiver Bereiche 402 erstrecken, mindestens den Satz von Gates 404 überlappen und in einer dritten Ebene der integrierten Schaltung 400 angeordnet sind. In einigen Ausführungsformen unterscheidet sich die dritte Ebene der integrierten Schaltung 400 von der ersten und der zweiten Ebene. In einigen Ausführungsformen wird die dritte Ebene der integrierten Schaltung 400 als die Mo-Ebene bezeichnet. Jede der leitfähigen Strukturen 430a, 430b, 430c des Satzes leitfähiger Strukturen 430 ist von einer benachbarten leitfähigen Struktur des Satzes leitfähiger Strukturen 430 in der zweiten Richtung Y getrennt. Andere Mengen oder Anordnungen des Satzes leitfähiger Strukturen 430 liegen innerhalb des Umfangs der vorliegenden Offenbarung.
  • Die integrierte Schaltung 400 umfasst ferner Durchkontaktierungen 432a, 432b (zusammen bezeichnet als ein „Satz von Durchkontaktierungen 432“), welche den Satz leitfähiger Strukturen 430 mit dem Satz von Gates 404 verbinden. Der Satz von Durchkontaktierungen 432 verbindet den Satz leitfähiger Strukturen 430 und den Satz von Gates 404. Die Durchkontaktierung 432a ist dort angeordnet, wo die leitfähige Struktur 430c die Gates 422c1, 404c2. überlappt. Die Durchkontaktierung 432b ist dort angeordnet, wo die leitfähige Struktur 430a die Gates 422c1, 404c2. überlappt. In einigen Ausführungsformen ist der Satz von Durchkontaktierungen 432 in der VG-Ebene der integrierten Schaltung 400 angeordnet. Die VG-Ebene der integrierten Schaltung 400 ist zwischen der zweiten und der dritten Ebene angeordnet. Andere Mengen oder Anordnungen des Satzes von Durchkontaktierungen 432 liegen innerhalb des Umfangs der vorliegenden Offenbarung.
  • Die integrierte Schaltung 400 umfasst ferner die leitfähige Struktur 440a (zusammen bezeichnet als „Satz leitfähiger Strukturen 440“), die sich in der zweiten Richtung Y erstreckt, zwischen dem Satz von Gates 404 angeordnet ist, mindestens den Satz leitfähiger Strukturen 420 überlappt und in einer vierten Ebene integrierten Schaltung 400 angeordnet ist. In einigen Ausführungsformen unterscheidet sich die vierte Ebene der integrierten Schaltung 400 von der ersten, der zweiten und der dritten Ebene. In einigen Ausführungsformen ist die vierte Ebene der integrierten Schaltung 400 als die M1-Ebene bezeichnet. In einigen Ausführungsformen ist jede der Strukturen des Satzes leitfähiger Strukturen 440 von einer benachbarten Struktur des Satzes leitfähiger Strukturen 440 in der ersten Richtung X getrennt. Andere Mengen oder Anordnungen des Satzes leitfähiger Strukturen 440 liegen innerhalb des Umfangs der vorliegenden Offenbarung.
  • Die integrierte Schaltung 400 umfasst ferner Durchkontaktierungen 442a, 442b (zusammen bezeichnet als „Satz von Durchkontaktierungen 442“), welche den Satz leitfähiger Strukturen 440 mit dem Satz leitfähiger Strukturen 430 verbinden. Der Satz von Durchkontaktierungen 442 ist zwischen dem Satz leitfähiger Strukturen 440 und dem Satz leitfähiger Strukturen 430 angeordnet. Die Durchkontaktierung 442a ist dort angeordnet, wo die leitfähige Struktur 440a die leitfähige Struktur 430a. überlappt. Die Durchkontaktierung 442b ist dort angeordnet, wo die leitfähige Struktur 440a die leitfähige Struktur 430c überlappt. In einigen Ausführungsformen ist der Satz von Durchkontaktierungen 442 in der VG-Ebene der integrierten Schaltung 400 angeordnet. Die Vo-Ebene der integrierten Schaltung 400 ist zwischen der dritten und der vierten Ebene angeordnet. Andere Mengen oder Anordnungen des Satzes von Durchkontaktierungen 442 liegen innerhalb des Umfangs der vorliegenden Offenbarung.
  • In einigen Ausführungsformen umfasst/umfassen eine oder mehrere leitfähige Strukturen des Satzes leitfähiger Strukturen 420, 430 oder 440 ein Metall, eine Metallverbindung oder einen dotierten Halbleiter. In einigen Ausführungsformen umfasst/umfassen eine oder mehrere Durchkontaktierungen des Satzes von Durchkontaktierungen 422, 432, 442 ein Metall, eine Metallverbindung oder einen dotierten Halbleiter. In einigen Ausführungsformen umfasst ein Metall mindestens Cu (Kupfer), Co, W, Ru, Al oder dergleichen. In einigen Ausführungsformen umfasst eine Metallverbindung mindestens AlCu, W-TiN, TiSix, NiSix, TiN, TaN oder dergleichen. In einigen Ausführungsformen umfasst ein dotierter Halbleiter mindestens dotiertes Silizium oder dergleichen.
  • In einigen Ausführungsformen ist der Gateanschluss A1, 404b1 elektrisch mit dem Gateanschluss A2, 404c2 verbunden. Zum Beispiel ist der Gateanschluss A1, 404b1 über die Durchkontaktierung 422b elektrisch mit der leitfähigen Struktur 420a verbunden, und die leitfähige Struktur 420a ist über die Durchkontaktierung 422a elektrisch mit dem Gateanschluss A2, 404c2 verbunden.
  • In einigen Ausführungsformen ist der Gateanschluss B1, 404c1 elektrisch mit dem Gateanschluss B2, 404b2 verbunden. Zum Beispiel ist in einigen Ausführungsformen der Gateanschluss B1, 404c1 über die Durchkontaktierung 432b elektrisch mit der leitfähigen Struktur 430a verbunden. In einigen Ausführungsformen ist die leitfähige Struktur 430a über die Durchkontaktierung 442a, Vo, elektrisch mit der leitfähigen Struktur 440a, M1 verbunden. In einigen Ausführungsformen ist die leitfähige Struktur 440a über die Durchkontaktierung 442b, Vo, elektrisch mit der leitfähigen Struktur 430c verbunden. In einigen Ausführungsformen ist die leitfähige Struktur 430c über die Durchkontaktierung 432a elektrisch mit dem Gateanschluss B2, 404b2 verbunden.
  • In einigen Ausführungsformen ist der Satz leitfähiger Strukturen 420 unterhalb mindestens des Satzes von Gates 404, der Metall-o- (Mo-) Schicht oder der MD-Schicht der integrierten Schaltung 400 angeordnet. In einigen Ausführungsformen ist der Satz leitfähiger Strukturen 420 bezeichnet als ein verborgener Metallpfad (BMT), da die leitfähigen Strukturen des Satzes leitfähiger Strukturen 420 innerhalb des Substrats 480 verborgen und unterhalb mindestens der Mo-Schicht, der POLY-Schicht, der MD-Schicht der integrierten Schaltung 400 angeordnet sind.
  • In einigen Ausführungsformen ist durch Verwendung des Satzes leitfähiger Strukturen 420 ein oberer Metallschichtpfad (z.B. MD-Pfad, Mo-Pfad, M1-Pfad oder dergleichen) im Satz leitfähiger Strukturen 430 reduziert, und ergibt eine Standardzelle mit einer geringeren Höhe und einer geringeren Fläche im Vergleich zu anderen Ansätzen. In einigen Ausführungsformen ist ein oberer Metallschichtpfad (z.B. MD-Pfad, Mo-Pfad, M1-Pfad oder dergleichen) durch Verwendung des Satzes leitfähiger Strukturen 420 im Satz leitfähiger Strukturen 430 reduziert, und der vom entfernten oberen Metallpfad eingenommene Raum kann verwendet werden durch Erhöhen einer Breite mindestens einer leitfähigen Struktur im Satz leitfähiger Strukturen 430, was eine Standardzelle mit besserem Stromwiderstand (IR) und EM im Vergleich zu anderen Ansätzen ergibt.
  • In einigen Ausführungsformen kann die Zellenhöhe durch Reduzieren eines oberen Metallschichtpfads der vorliegenden Offenbarung reduziert werden, was eine Erhöhung der Gatedichte einer Standardzelle um bis zu 30 % im Vergleich zu anderen Ansätzen zur Folge hat. In einigen Ausführungsformen bietet die integrierte Schaltung 400 durch Reduzieren eines oberen Metallschichtpfads der vorliegenden Offenbarung mehr Routingflexibilität und erhöht die Routingressourcen im Vergleich zu anderen Ansätzen.
  • 5A ist ein Diagramm eines Lauoutentwurfs 500A einer integrierten Schaltung im Einklang mit einigen Ausführungsformen.
  • 5B, 5C und 5D sind Querschnittsansichten einer integrierten Schaltung 500B im Einklang mit einigen Ausführungsformen.
  • Der Layoutentwurf 500A ist eine Variation des Layoutentwurfs 300 (3). Zum Beispiel bildet Layoutentwurf 500A ein Beispiel ab, in dem sich die MU-Schicht (z.B. Satz leitfähiger Element-Layoutstrukturen 520) in zwei Richtungen erstreckt.
  • Der Layoutentwurf 500A ist verwendbar zum Herstellen einer integrierten Schaltung ähnlich der integrierten Schaltung 100 (1), der integrierten Schaltung 400 (4A - 4E) oder 500B.
  • 500A
  • Im Vergleich zu Layoutentwurf 300 von 3 ersetzen leitfähige Element-Layoutstrukturen 520a, 520b and 520c des Layoutentwurfs 500A die leitfähige Element-Layoutstruktur 320a.
  • Die leitfähigen Element-Layoutstrukturen 520a, 520b, 520c (zusammen bezeichnet als ein „Satz leitfähiger Element-Layoutstrukturen 520“) sind in der ersten Layoutebene angeordnet. Der Satz leitfähiger Element-Layoutstrukturen 520 ist verwendbar zum Herstellen eines entsprechenden Satzes zweidimensionaler (2D) leitfähiger Strukturen 420' der integrierten Schaltung 100, 400 oder 500B.
  • In einigen Ausführungsformen ist der Satz leitfähiger Element-Layoutstrukturen 520 auf dem MU-Abschnitt der ersten Layoutebene angeordnet. Der Satz leitfähiger Element-Layoutstrukturen 520 erstreckt sich in zwei Richtungen (z.B. der ersten Richtung X und der zweiten Richtung Y). Die leitfähigen Element-Layoutstrukturen 520a und 520c erstrecken sich jeweils in der ersten Richtung X. Die leitfähige Element-Layoutstruktur 520b erstreckt sich in der zweiten Richtung Y.
  • Der Satz leitfähiger Element-Layoutstrukturen 520 ist zwischen dem Satz aktiver Bereichs-Layoutstrukturen 202 angeordnet. Die leitfähige Element-Layoutstruktur 520a ist zwischen der leitfähigen Element-Layoutstruktur 330a und der leitfähigen Element-Layoutstrukturen 330b angeordnet. Die leitfähige Element-Layoutstruktur 520c ist zwischen der leitfähigen Element-Layoutstruktur 330b und der leitfähigen Element-Layoutstruktur 330c angeordnet.
  • Die leitfähige Element-Layoutstruktur 520b ist zwischen den Gate-Layoutstrukturen 204b und 204c angeordnet. In einigen Ausführungsformen ist die leitfähige Element-Layoutstruktur 520b zwischen der leitfähigen Element-Layoutstruktur 330a und der leitfähigen Element-Layoutstruktur 330c angeordnet. In einigen Ausführungsformen überlappt die Metall-über-Diffusions-Layoutstruktur 210a, 210b, 210c eine entsprechende leitfähige Element-Layoutstruktur 520a, 520b, 520c.
  • Der Satz von Gate-Layoutstrukturen 204 überlappt den Satz leitfähiger Element-Layoutstrukturen 520. In einigen Ausführungsformen überlappt die Gate-Layoutstruktur 204a, 204b die leitfähige Element-Layoutstruktur 520a. In einigen Ausführungsformen überlappen die Gate-Layoutstrukturen 204c, 204d die leitfähige Element-Layoutstruktur 520c. Andere Anordnungen oder Mengen von Strukturen in dem Satz leitfähiger Element-Layoutstrukturen 520 liegen innerhalb des Umfangs der vorliegenden Offenbarung.
  • Im Vergleich zum Layoutentwurf 300 von 3, ersetzen die Durchkontaktierungs-Layoutstrukturen 522a, 522b des Layoutentwurfs 500A entsprechende Durchkontaktierungs-Layoutstrukturen 322a, 322b. Der Satz von Durchkontaktierungs-Layoutstrukturen 522 ist ähnlich dem Satz von Durchkontaktierungs-Layoutstrukturen 322, weswegen eine ähnliche ausführliche Beschreibung weggelassen ist. In einigen Ausführungsformen ist der Satz von Durchkontaktierungs-Layoutstrukturen 522 verwendbar zum Herstellen eines entsprechenden Satzes von Durchkontaktierungen 422 (4A - 4E), die zwischen dem Satz von Gates 204 und dem Satz leitfähiger Strukturen 420', hergestellt durch den Satz leitfähiger Element-Layoutstrukturen 520, verbunden sind.
  • 5B ist eine Querschnittsansicht einer integrierten Schaltung 500B entsprechend dem Layoutentwurf 500A geschnitten durch Ebene F -F', 5C ist eine Querschnittsansicht einer integrierten Schaltung 500B entsprechend dem Layoutentwurf 500A geschnitten durch Ebene G- G' und 5D ist eine Querschnittsansicht einer integrierte Schaltung 500B entsprechend dem Layoutentwurf 500A geschnitten durch Ebene H-H' im Einklang mit einigen Ausführungsformen.Strukturelle Beziehungen umfassend Ausrichtung, Längen und Breiten, sowie Anordnungen der integrierten Schaltung 500B sind ähnlich den strukturellen Beziehungen und Anordnungen des Layoutentwurfs 500A von 5A und werden der Kürze halber in 5B - 5D nicht beschrieben.
  • Die integrierte Schaltung 500B wird durch Layoutentwurf 500A hergestellt. In einigen Ausführungsformen ist die integrierte Schaltung 500B ähnlich der integrierten Schaltung 400, weswegen der Kürze halber eine ähnliche ausführliche Beschreibung weggelassen ist.
  • Im Vergleich zur integrierten Schaltung 400 von 4A - 4E ersetzte der Satz leitfähiger Strukturen 420' der integrierten Schaltung 500B den Satz leitfähiger Strukturen 420, und der Satz von Durchkontaktierungen 422' der integrierten Schaltung 500B ersetzt den Satz von Durchkontaktierungen 422.
  • In einigen Ausführungsformen umfasst der Satz leitfähiger Strukturen 420' eine oder mehrere leitfähige Strukturen 420a', 420b' und 420c'. In einigen Ausführungsformen umfasst der Satz von Durchkontaktierungen 422' eine oder mehrere Durchkontaktierungen 422a' und 422b'.
  • Die leitfähigen Strukturen 420a' und 420c' erstrecken sich in der ersten Richtung X und sind in der zweiten Richtung Y voneinander getrennt. Die leitfähige Struktur 420b' erstreckt sich in der zweiten Richtung Y. Die leitfähige Struktur 420b' steht in direktem Kontakt mit den leitfähigen Strukturen 420a' und 420c'. In einigen Ausführungsformen bilden die leitfähigen Strukturen 420a', 420b' und 420c' einen Teil einer selben durchgehenden leitfähigen Struktur. In einigen Ausführungsformen wird der Satz leitfähiger Strukturen 420' als eine leitfähige 2D-Struktur bezeichnet.
  • In einigen Ausführungsformen sind der Gateanschluss A1 oder Gate 404b1 (hergestellt durch Gate-Layoutstruktur 204b) der integrierten Schaltung 500B elektrisch mit Gateanschluss A2 oder Gate 404c2 (herstellt durch Gate-Layoutstruktur 204c) verbunden. Zum Beispiel ist in einigen Ausführungsformen der Gateanschluss A1 oder Gate 404b1 (hergestellt durch Gate-Layoutstruktur 204b) über die Durchkontaktierung 422b' (hergestellt durch Durchkontaktierungs-Layoutstruktur 522b') elektrisch mit der zweidimensionalen (2D-) leitfähigen Struktur 420a', 420b' und 420c' (hergestellt durch die leitfähigen Element-Layoutstrukturen 520a, 520b, 520c) verbunden, und die leitfähige 2-D-Struktur 420a', 420b' und 420c' ist über Durchkontaktierung 422a' (hergestellt durch Durchkontaktierungs-Layoutstruktur 522a) elektrisch mit Gateanschluss A2 oder Gate 404c2 (hergestellt durch Gate-Layoutstruktur 204c) verbunden.
  • In einigen Ausführungsformen sind der Gateanschluss B1 oder Gate 404c1 (hergestellt durch Gate-Layoutstruktur 204c) der integrierten Schaltung 500B elektrisch mit Gateanschluss B2 oder Gate 404b2 (herstellt durch Gate-Layoutstruktur 204b) verbunden ähnlich wie die integrierte Schaltung 400 (4A - 4E), weswegen eine ähnliche ausführliche Beschreibung weggelassen ist.
  • 6A ist ein Diagramm eines Lauoutentwurfs 600A einer integrierten Schaltung 600B im Einklang mit einigen Ausführungsformen.
  • 6B, 6C, 6D und 6E sind Querschnittsansichten einer integrierten Schaltung 600B im Einklang mit einigen Ausführungsformen.
  • Der Layoutentwurf 600A ist eine Variation des Layoutentwurfs 300 (3). Zum Beispiel stellt Layoutentwurf 600A ein Beispiel dar, in dem die Gate-Layoutstruktur (z.B. Gate-Layoutstrukturen 204b, 204c) durchgehend ist, und sich die MU-Schicht (z.B. ein Satz leitfähiger Element-Layoutstrukturen 620) in einer einzigen Richtung erstreckt.
  • Der Layoutentwurf 600A ist verwendbar zum Herstellen einer integrierten Schaltung ähnlich der integrierten Schaltung 100 (1), der integrierten Schaltung 400 (4A - 4E) oder 600B (6B-6E).
  • Im Vergleich zum Layoutentwurf 300 von 3, umfasst der Layoutentwurf 600A nicht den Satz von Schnittelement-Layoutstrukturen 304. Durch das Nichtumfassen des Satzes von Schnittelement-Layoutstrukturen 304 ist der Layoutentwurf 600A verwendbar zum Herstellen der integrierten Schaltung 500B aufweisend einen Gateanschluss A1 der direkt mit dem Gateanschluss A2 verbunden ist, und einen Gateanschluss B1, der direkt mit dem Gateanschluss B2 verbunden ist. Zur einfacheren Darstellung zeigen Layoutentwurf 600A von 6A, Layoutentwurf 700A von 7A, Layoutentwurf 800A von 8A und Layoutentwurf 900A von 9A nicht den Satz von Finnen-Layoutstrukturen 316 von 3A.
  • Im Vergleich zu Layoutentwurf 300 von 3 ersetzt ein Satz von Metall-über-Diffusions-Layoutstrukturen 610 von Layoutentwurf 600A den Satz von Metall-über-Diffusions-Layoutstrukturen 210, weswegen eine ähnliche ausführliche Beschreibung weggelassen ist. Der Satz von Metall-über-Diffusions-Layoutstrukturen 610 ist verwendbar zum Herstellen eines entsprechenden Satzes von Kontakten 610' (6B - 6E) der integrierten Schaltung 600B.
  • Der Satz von Metall-über-Diffusions-Layoutstrukturen 610 umfasst eine oder mehrere Metall-über-Diffusions-Layoutstrukturen 610a, 610b, 610c, 612a oder 612c. Die Metall-über-Diffusions-Layoutstrukturen 610a, 610b, 610c, 612a und 612c sind verwendbar zum Herstellen entsprechender Kontakte 610a', 610b', 610c', 612a' und 612c' (6B - 6E) der integrierten Schaltung 600B.
  • Im Vergleich zum Layoutentwurf 300 von 3 ersetzen die Metall-über-Diffusions-Layoutstrukturen 610a, 612a des Layoutentwurfs 600A die Metall-über-Diffusions-Layoutstrukturen 210a, die Metall-über-Diffusions-Layoutstruktur 610b ersetzt die Metall-über-Diffusions-Layoutstruktur 210b, und die Metall-über-Diffusions-Layoutstrukturen 610c, 612c ersetzen die Metall-über-Diffusions-Layoutstruktur 210c, weswegen eine ähnliche ausführliche Beschreibung weggelassen ist.
  • Die Metall-über-Diffusions-Layoutstrukturen 610a, 612a sind ähnlich den Metall-über-Diffusions-Layoutstrukturen 210a. Die Metall-über-Diffusions-Layoutstruktur 610a ist von der Metall-über-Diffusions-Layoutstruktur 612a getrennt oder unterbrochen. In einigen Ausführungsformen erstreckt sich die Metall-über-Diffusions-Layoutstrukturen 610a in der zweiten Richtung Y von einem Rand der aktiven Bereichs-Layoutstruktur 202b zu einem Rand der leitfähigen Element-Layoutstruktur 330a. In einigen Ausführungsformen erstreckt sich die Metall-über-Diffusions-Layoutstruktur 612a in der zweiten Richtung Y von einem Rand der aktiven Bereichs-Layoutstruktur 202a zu einem Rand der leitfähigen Element-Layoutstruktur 630b.
  • Die Metall-über-Diffusions-Layoutstruktur 610b ist ähnlich der Metall-über-Diffusions-Layoutstruktur 310b mit einer um 300 erhöhten Bezugsziffer, weswegen eine ähnliche ausführliche Beschreibung weggelassen ist.
  • Die Metall-über-Diffusions-Layoutstrukturen 610c, 612c sind ähnlich den Metall-über-Diffusions-Layoutstrukturen 210c. Die Metall-über-Diffusions-Layoutstruktur 610c ist von der Metall-über-Diffusions-Layoutstruktur 612c getrennt oder unterbrochen. In einigen Ausführungsformen erstreckt sich die Metall-über-Diffusions-Layoutstruktur 610c in der zweiten Richtung Y von einem Rand der aktiven Bereichs-Layoutstruktur 202b zu einem Rand der leitfähigen Element-Layoutstruktur 630b'. In einigen Ausführungsformen erstreckt sich die Metall-über-Diffusions-Layoutstruktur 612c in der zweiten Richtung Y von einem Rand der aktiven Bereichs-Layoutstruktur 202a zu einem Rand der leitfähigen Element-Layoutstruktur 330c oder 630b'.
  • Im Vergleich zu Layoutentwurf 300 von 3 ersetzt ein Satz von Durchkontaktierungs-Layoutstrukturen 622, 632 oder 642 von Layoutentwurf 600A den entsprechenden Satz von Durchkontaktierungs-Layoutstrukturen 322, 332 oder 342, weswegen eine ähnliche ausführliche Beschreibung weggelassen ist. Der Satz von Durchkontaktierungs-Layoutstrukturen 622, 632 oder 642 ist verwendbar zum Herstellen eines entsprechenden Satzes von Durchkontaktierungen 622', 632' oder 642' (6B - 6E) der integrierten Schaltung 600B.
  • Der Satz von Durchkontaktierungs-Layoutstrukturen 622 umfasst eine oder mehrere Durchkontaktierungs-Layoutstrukturen 622a oder 622b. Der Satz von Durchkontaktierungs-Layoutstrukturen 632 umfasst eine oder mehrere Durchkontaktierungs-Layoutstrukturen 632a oder 632b. Der Satz von Durchkontaktierungs-Layoutstrukturen 642 umfasst eine oder mehrere Durchkontaktierungs-Layoutstrukturen 642a oder 642b.
  • Die Durchkontaktierungs-Layoutstrukturen 622a, 622b, 632a, 632b, 642a und 642b sind verwendbar zum Herstellen entsprechender Durchkontaktierungen 622a', 622b', 632a', 632b', 642a' und 642b' (6B - 6E) der integrierten Schaltung 600B.
  • Im Vergleich zu Layoutentwurf 300 von 3 ersetzen die Durchkontaktierungs-Layoutstrukturen 622a, 622b des Layoutentwurfs 600A entsprechende Durchkontaktierungs-Layoutstrukturen 322a, 322b, Durchkontaktierungs-Layoutstrukturen 632a, 632b ersetzen entsprechende Durchkontaktierungs-Layoutstrukturen 332a, 332b, und Durchkontaktierungs-Layoutstrukturen 642a, 642b ersetzen entsprechende Durchkontaktierungs-Layoutstrukturen 342a, 342b, weswegen eine ähnliche ausführliche Beschreibung weggelassen ist.
  • Die Durchkontaktierungs-Layoutstrukturen 622a, 622b sind ähnlich entsprechenden Durchkontaktierungs-Layoutstrukturen 322a, 322b. Die Durchkontaktierungs-Layoutstrukturen 622a, 622b (zusammen bezeichnet als ein „Satz von Durchkontaktierungs-Layoutstrukturen 622“) sind zwischen der leitfähigen Element-Layoutstruktur 620a und entsprechenden Metall-über-Diffusions-Layoutstrukturen 612a, 610c angeordnet. Der Satz von Durchkontaktierungs-Layoutstrukturen 622 ist verwendbar zum Herstellen eines entsprechenden Satzes von Durchkontaktierungen 622' (VU), die den Satz leitfähiger Strukturen 620' mit dem Satz von Kontakten 610' verbinden.
  • In einigen Ausführungsformen ist eine Durchkontaktierungs-Layoutstruktur 622a, 622b des Satzes von Durchkontaktierungs-Layoutstrukturen 622 dort angeordnet, wo eine entsprechende Layoutstruktur 612a, 610c des Satzes von Metall-über-Diffusions-Layoutstrukturen 610 die leitfähige Element-Layoutstruktur 620a des Satzes leitfähiger Element-Layoutstrukturen 620 überlappt. In einigen Ausführungsformen ist ein Zentrum der Durchkontaktierungs-Layoutstrukturen 622a, 622b des Satzes von Durchkontaktierungs-Layoutstrukturen 622 unter einem Zentrum einer entsprechenden Metall-über-Diffusions-Layoutstruktur 612a, 610c des Satzes von Metall-über-Diffusions-Layoutstrukturen 610 angeordnet. In einigen Ausführungsformen ist ein Zentrum der Durchkontaktierungs-Layoutstrukturen 622a, 622b des Satzes von Durchkontaktierungs-Layoutstrukturen 622 mindestens in der ersten Richtung X oder der zweiten Richtung Y auf ein Zentrum einer entsprechenden Metall-über-Diffusions-Layoutstruktur 612a, 610c des Satzes von Metall-über-Diffusions-Layoutstrukturen 610 ausgerichtet. Andere Anordnungen der Durchkontaktierung-Layoutstrukturen 622a, 622b des Satzes von Durchkontaktierungs-Layoutstrukturen 622 liegen innerhalb des Umfangs der vorliegenden Offenbarung.
  • Die Durchkontaktierungs-Layoutstrukturen 632a, 632b (zusammen bezeichnet als ein „Satz von Durchkontaktierungs-Layoutstrukturen 632“) sind ähnlich den entsprechenden Durchkontaktierungs-Layoutstrukturen 332a, 332b. Der Satz von Durchkontaktierungs-Layoutstrukturen 632 ist zwischen dem Satz leitfähiger Element-Layoutstrukturen 630 und dem Satz von Gate-Layoutstrukturen 204 angeordnet. Die Durchkontaktierungs-Layoutstruktur 632a, 632b des Satzes von Durchkontaktierungs-Layoutstrukturen 632 ist zwischen einer entsprechenden leitfähigen Element-Layoutstruktur 630b, 630b' und einer entsprechenden Gate-Layoutstruktur 204b, 204c des Satzes von Gate-Layoutstrukturen 204 angeordnet. Der Satz von Durchkontaktierungs-Layoutstrukturen 632 ist verwendbar zum Herstellen eines entsprechenden Satzes von Durchkontaktierungen 632' (6B - 6E). Wie in 6B - 6E gezeigt, verbinden die Durchkontaktierungen 632a', 632b' die entsprechenden leitfähigen Strukturen 660b1', 660b2' mit entsprechenden Gates 604b, 604c.
  • In einigen Ausführungsformen ist eine Durchkontaktierungs-Layoutstruktur 632a, 632b des Satzes von Durchkontaktierungs-Layoutstrukturen 632 dort angeordnet, wo eine entsprechende Layoutstruktur 630b, 630b' eine entsprechende Gate-Layoutstruktur 204b, 204c des Satzes von Gate-Layoutstrukturen 204 überlappt. In einigen Ausführungsformen ist ein Zentrum der Durchkontaktierungs-Layoutstruktur 632a, 632b des Satzes von Durchkontaktierungs-Layoutstrukturen 632 über einem Zentrum einer entsprechenden Gate-Layoutstruktur 204b, 204c des Satzes von Gate-Layoutstrukturen 204 angeordnet. In einigen Ausführungsformen ist ein Zentrum der Durchkontaktierungs-Layoutstruktur 332a, 332b des Satzes von Durchkontaktierungs-Layoutstrukturen 632 unter einem Zentrum einer entsprechenden leitfähigen Element-Layoutstruktur 630b, 630b' angeordnet. In einigen Ausführungsformen ist ein Zentrum der Durchkontaktierungs-Layoutstruktur 632a, 632b des Satzes von Durchkontaktierungs-Layoutstrukturen 632 mindestens in der ersten Richtung X oder der zweiten Richtung Y auf ein Zentrum einer entsprechenden Gate-Layoutstruktur 204b, 204c des Satzes von Gate-Layoutstrukturen 204 ausgerichtet. In einigen Ausführungsformen ist ein Zentrum der Durchkontaktierungs-Layoutstruktur 632a, 632b des Satzes von Durchkontaktierungs-Layoutstrukturen 632 mindestens in der ersten Richtung X oder der zweiten Richtung Y auf ein Zentrum einer entsprechenden leitfähigen Element-Layoutstruktur 630b, 630b' ausgerichtet. Andere Anordnungen von Durchkontaktierung-Layoutstrukturen 632a, 632b des Satzes von Durchkontaktierungs-Layoutstrukturen 632 liegen innerhalb des Umfangs der vorliegenden Offenbarung.
  • Die Durchkontaktierungs-Layoutstrukturen 642a, 642b sind ähnlich entsprechenden Durchkontaktierungs-Layoutstrukturen 342a, 342b. Die Durchkontaktierungs-Layoutstruktur 642a, 642b (zusammen bezeichnet als „Satz von Durchkontaktierungs-Layoutstrukturen 642“) ist zwischen der leitfähigen Element-Layoutstruktur 640a und entsprechenden leitfähigen Element-Layoutstrukturen 330a, 330b angeordnet. Die Durchkontaktierungs-Layoutstrukturen 642a, 642b sind verwendbar zum Herstellen entsprechender Durchkontaktierungen 642a', 642b'. Wie in 6B - 6E gezeigt verbinden die Durchkontaktierungen 642a', 642b' die leitfähige Struktur 640a' mit entsprechenden leitfähigen Strukturen 430a, 430b.
  • In einigen Ausführungsformen ist eine Durchkontaktierungs-Layoutstruktur 642a, 642b des Satzes von Durchkontaktierungs-Layoutstrukturen 642 dort angeordnet, wo die Layoutstruktur 640a des Satzes leitfähiger Element-Layoutstrukturen 640 eine entsprechende leitfähige Element-Layoutstruktur 330a, 330c überlappt. In einigen Ausführungsformen ist ein Zentrum der Durchkontaktierungs-Layoutstrukturen 642a, 642b des Satzes von Durchkontaktierungs-Layoutstrukturen 642 unter einem Zentrum der leitfähigen Element-Layoutstruktur 640a angeordnet. In einigen Ausführungsformen ist ein Zentrum der Durchkontaktierungs-Layoutstrukturen 642a, 642b des Satzes von Durchkontaktierungs-Layoutstrukturen 642 über einem Zentrum einer entsprechenden leitfähigen Element-Layoutstruktur 330a, 330c angeordnet. In einigen Ausführungsformen ist ein Zentrum der Durchkontaktierungs-Layoutstrukturen 642a, 642b des Satzes von Durchkontaktierungs-Layoutstrukturen 642 mindestens in der ersten Richtung X oder der zweiten Richtung Y auf ein Zentrum einer entsprechenden leitfähigen Element-Layoutstruktur 330a, 330c ausgerichtet. Andere Anordnungen von Durchkontaktierung-Layoutstrukturen 642a, 642b des Satzes von Durchkontaktierungs-Layoutstrukturen 642 liegen innerhalb des Umfangs der vorliegenden Offenbarung.
  • Im Vergleich zum Layoutentwurf 300 von 3, umfasst der Layoutentwurf 600A ferner Durchkontaktierungs-Layoutstrukturen 636a, 636b (zusammen bezeichnet als ein „Satz von Durchkontaktierungs-Layoutstrukturen 636“).
  • Die Durchkontaktierungs-Layoutstrukturen 636a, 636b (zusammen bezeichnet als ein „Satz von Durchkontaktierungs-Layoutstrukturen 636“) sind zwischen dem Satz leitfähiger Element-Layoutstrukturen 630 und dem Satz von Metall-über-Diffusions-Layoutstrukturen 610 angeordnet. Die Durchkontaktierungs-Layoutstruktur 636a, 636b des Satzes von Durchkontaktierungs-Layoutstrukturen 636 ist zwischen einer entsprechenden leitfähigen Element-Layoutstruktur 330a, 330c und einer entsprechenden Metall-über-Diffusions-Layoutstruktur 610a, 612c angeordnet. Der Satz von Durchkontaktierungs-Layoutstrukturen 636 ist verwendbar zum Herstellen eines entsprechenden Satzes von Durchkontaktierungen 636' (z.B. VD). Wie in 6B - 6E gezeigt, verbinden die Durchkontaktierungen 636a', 636b' die entsprechenden leitfähigen Strukturen 430a, 430c mit entsprechenden Kontakten 610a', 612c'.
  • In einigen Ausführungsformen ist eine Durchkontaktierungs-Layoutstruktur 636a, 636b des Satzes von Durchkontaktierungs-Layoutstrukturen 636 dort angeordnet, wo eine entsprechende Layoutstruktur 330a, 330c eine entsprechende Metall-über-Diffusions-Layoutstruktur 610a, 612c überlappt. In einigen Ausführungsformen ist ein Zentrum der Durchkontaktierungs-Layoutstruktur 636a, 636b des Satzes von Durchkontaktierungs-Layoutstrukturen 636 über einem Zentrum einer entsprechenden Metall-über-Diffusions-Layoutstruktur 610a, 612c angeordnet. In einigen Ausführungsformen ist ein Zentrum der Durchkontaktierungs-Layoutstruktur 636a, 636b des Satzes von Durchkontaktierungs-Layoutstrukturen 636 unter einem Zentrum einer entsprechenden leitfähigen Element-Layoutstruktur 330a, 330c angeordnet. In einigen Ausführungsformen ist ein Zentrum der Durchkontaktierungs-Layoutstruktur 636a, 636b des Satzes von Durchkontaktierungs-Layoutstrukturen 636 mindestens in der ersten Richtung X oder der zweiten Richtung Y auf ein Zentrum einer entsprechenden Metall-über-Diffusions-Layoutstruktur 610a, 612c ausgerichtet. In einigen Ausführungsformen ist ein Zentrum der Durchkontaktierungs-Layoutstruktur 636a, 636b des Satzes von Durchkontaktierungs-Layoutstrukturen 636 mindestens in der ersten Richtung X oder der zweiten Richtung Y auf ein Zentrum einer entsprechenden leitfähigen Element-Layoutstruktur 330a, 330c ausgerichtet. Andere Anordnungen von Durchkontaktierung-Layoutstrukturen 636a, 636b des Satzes von Durchkontaktierungs-Layoutstrukturen 636 liegen innerhalb des Umfangs der vorliegenden Offenbarung.
  • Im Vergleich zum Layoutentwurf 300 von 3 ersetzt die leitfähige Element-Layoutstruktur 620a von Layoutentwurf 600A die leitfähige Element-Layoutstruktur 320a, die leitfähige Element-Layoutstrukturen 630b, 630b' ersetzen die leitfähige Element-Layoutstruktur 330b, und die leitfähige Element-Layoutstruktur 640a ersetzt die leitfähige Element-Layoutstruktur 340a, weswegen ähnliche ausführliche Beschreibungen weggelassen sind.
  • Die leitfähige Element-Layoutstrukturen 620a ist ähnlich der leitfähigen Element-Layoutstruktur 320a mit den Bezugsziffern um 300 erhöht. Die leitfähige Element-Layoutstruktur 620a ist verwendbar zum Herstellen einer entsprechenden leitfähigen Struktur 620a' (6B - 6E). Andere Anordnungen der leitfähigen Element-Layoutstruktur 620a des Satzes leitfähiger Element-Layoutstrukturen 620 liegen innerhalb des Umfangs der vorliegenden Offenbarung.
  • Die leitfähigen Element-Layoutstrukturen 630b, 630b' sind ähnlich der leitfähigen Element-Layoutstruktur 330b mit den Bezugsziffern um 300 erhöht. Die leitfähigen Element-Layoutstrukturen 630b, 630b' sind verwendbar zum Herstellen entsprechender leitfähiger Struktur 660b1', 660b2' (6B - 6E). Die leitfähige Element-Layoutstruktur 630b ist von der leitfähigen Element-Layoutstruktur 630b' getrennt oder unterbrochen. In einigen Ausführungsformen erstreckt sich die leitfähige Element-Layoutstruktur 630b in der ersten Richtung X von einem Rand der Metall-über-Diffusions-Layoutstruktur 610b. In einigen Ausführungsformen erstreckt sich die leitfähige Element-Layoutstruktur 630b' in der ersten Richtung X zu einem anderen Rand der Metall-über-Diffusions-Layoutstruktur 610b. Andere Anordnungen der leitfähigen Element-Layoutstrukturen 630b, 630b' des Satzes leitfähiger Element-Layoutstrukturen 630 liegen innerhalb des Umfangs der vorliegenden Offenbarung.
  • Die leitfähige Element-Layoutstruktur 640a ist ähnlich der leitfähigen Element-Layoutstruktur 340a mit den Bezugsziffern um 300 erhöht. Die leitfähige Element-Layoutstruktur 640a ist verwendbar zum Herstellen einer entsprechenden leitfähigen Struktur 440a (6B - 6E). Die leitfähige Element-Layoutstruktur 640b ist zwischen der Gate-Layoutstruktur 204b und der Gate-Layoutstruktur 204c angeordnet. Andere Anordnungen der leitfähigen Element-Layoutstruktur 640a des Satzes leitfähiger Element-Layoutstrukturen 640 liegen innerhalb des Umfangs der vorliegenden Offenbarung.
  • 6B ist eine Querschnittsansicht einer integrierten Schaltung 600B entsprechend dem Layoutentwurf 600A geschnitten durch Ebene I-I', 6C ist eine Querschnittsansicht einer integrierten Schaltung 600B entsprechend dem Layoutentwurf 600A geschnitten durch Ebene J-J', 6D ist eine Querschnittsansicht einer integrierte Schaltung 600B entsprechend dem Layoutentwurf 600A geschnitten durch Ebene K-K', und 6E ist eine Querschnittsansicht einer integrierten Schaltung 600B entsprechend dem Layoutentwurf 600A geschnitten durch Ebene L-L' im Einklang mit einigen Ausführungsformen.Strukturelle Beziehungen umfassend Ausrichtung, Längen und Breiten, sowie Anordnungen der integrierten Schaltung 600B sind ähnlich den strukturellen Beziehungen und Anordnungen des Layoutentwurfs 600A von 6A und werden der Kürze halber in 6B - 6D nicht beschrieben.
  • Die integrierte Schaltung 600B wird durch Layoutentwurf 600A hergestellt. In einigen Ausführungsformen ist die integrierte Schaltung 600B ähnlich der integrierten Schaltung 400, weswegen der Kürze halber eine ähnliche ausführliche Beschreibung weggelassen ist.
  • Im Vergleich zur integrierten Schaltung 400 von 4A - 4E ersetzt ein Satz von Kontakten 610' der integrierten Schaltung 500B den Satz von Kontakten 410, ein Satz von Durchkontaktierungen 622', 632', 642' der integrierten Schaltung 500B ersetzt den entsprechenden Satz von Durchkontaktierungen 422, 432, 442, eine leitfähige Strukturen 620a' der integrierten Schaltung 500B ersetzt die leitfähige Struktur 420a, die leitfähige Struktur 640a' der integrierten Schaltung 500B ersetzt die leitfähige Struktur 440a, leitfähige Strukturen 660b1', 660b2' der integrierten Schaltung 500B ersetzen die leitfähige Struktur 430b, das Gate 604b der integrierten Schaltung 500B ersetzt die Gates 404b1 und 404b2, und ein Gate 604c der integrierten Schaltung 500B ersetzt die Gates 404c1 und 404c2, weswegen eine ähnliche ausführliche Beschreibung der Kürze halber weggelassen ist.
  • In einigen Ausführungsformen umfasst der Satz von Kontakten 610' einen oder mehrere Kontakte 610a', 610b', 610c', 612a' und 612c'. In einigen Ausführungsformen umfasst der Satz von Durchkontaktierungen 622' eine oder mehrere Durchkontaktierungen 622a' und 622b'. In einigen Ausführungsformen umfasst der Satz von Durchkontaktierungen 632' eine oder mehrere Durchkontaktierungen 632a' und 632b'. In einigen Ausführungsformen umfasst der Satz von Durchkontaktierungen 642' eine oder mehrere Durchkontaktierungen 642a' und 642b'.
  • Im Vergleich zum Kontakt 410a der integrierten Schaltung 400 von 4A - 4E sind die Kontakte 610a' und 612a' keine einzelne, durchgehende Struktur. Die Kontakte 610a' und 612a' sind in der zweiten Richtung Y voneinander getrennt.
  • Im Vergleich zum Kontakt 410c der integrierten Schaltung 400 von 4A - 4E sind die Kontakte 610c' und 612c' keine einzelne, durchgehende Struktur. Die Kontakte 610c' und 612c' sind in der zweiten Richtung Y voneinander getrennt.
  • Im Vergleich zur leitfähigen Struktur 430b der integrierten Schaltung 400 von 4A-4E sind die leitfähigen Strukturen 660b1', 660b2' keine einzelne, durchgehende Struktur. Mit anderen Worden sind die leitfähigen Strukturen 660b1' und 660b2' in der ersten Richtung X voneinander getrennt.
  • Im Vergleich zur integrierten Schaltung 400 von 4A - 4E umfasst die integrierte Schaltung 600B ferner einen Satz von Durchkontaktierungen 636'. In einigen Ausführungsformen umfasst der Satz von Durchkontaktierungen 636' eine oder mehrere Durchkontaktierungen 636a' oder 636b'.
  • Der Satz von Durchkontaktierungen 636' ist ähnlich dem Satz von Durchkontaktierungen 432, außer dass der Satz von Durchkontaktierungen 636' zwischen dem Satz von Kontakten 610' und einer oder mehreren leitfähigen Strukturen 430a, 430c, 660b1' oder 600b2' angeordnet ist, weswegen der Kürze halber eine ähnliche ausführliche Beschreibung weggelassen ist. Mit anderen Worten ist der Satz von Durchkontaktierungen 636' (z.B. VD-Ebene) über dem Satz von Kontakten 610c' (z.B. MD-Ebene) angeordnet und dafür ausgelegt, den Satz von Kontakten 610c' mit oberen Metallschichten (z.B. Mo-Ebene) zu verbinden. Zum Beispiel verbinden die Durchkontaktierungen 636a', 636b' die entsprechenden leitfähigen Strukturen 430a, 430c mit entsprechenden Kontakten 610a', 612c'. Andere Anordnungen des Satzes von Durchkontaktierungen 636' liegen innerhalb des Umfangs der vorliegenden Offenbarung.
  • Im Vergleich zu den Gates 404b1 und 404b2 der integrierten Schaltung 400 von 4A - 4E ist das Gate 604b eine durchgehende Struktur. In einigen Ausführungsformen ist der Gateanschluss A1 (hergestellt durch Gate-Layoutstruktur 204b) der integrierten Schaltung 600B über Gate 604b direkt mit Gateanschluss A2 (herstellt durch Gate-Layoutstruktur 204b) verbunden.
  • Im Vergleich zu den Gates 404c1 und 404c2 der integrierten Schaltung 400 von 4A-4E ist das Gate 604c eine durchgehende Struktur. In einigen Ausführungsformen ist der Gateanschluss B1 (hergestellt durch Gate-Layoutstruktur 204c) der integrierten Schaltung 600B über Gate 604c direkt mit Gateanschluss B2 (herstellt durch Gate-Layoutstruktur 204c) verbunden.
  • In einigen Ausführungsformen entspricht der Anschluss A1D dem Kontakt 610a', und Anschluss B2D entspricht Kontakt 612c'. In diesen Ausführungsformen ist der Anschluss A1D oder der Kontakt 610a' (hergestellt durch (Metall-über-Diffusions-Layoutstruktur 610a) der integrierten Schaltung 600B elektrisch mit Anschluss B2D oder Kontakt 612c' (herstellt durch Metall-über-Diffusions-Layoutstruktur 612c) verbunden. Zum Beispiel ist in einigen Ausführungsformen der Kontakt 610a' (hergestellt durch Metall-über-Diffusions-Layoutstruktur 610a) über die Durchkontaktierung 636a' (hergestellt durch Durchkontaktierungs-Layoutstruktur 636a) elektrisch mit der leitfähigen Struktur 430a (hergestellt durch die leitfähige Element-Layoutstruktur 330a) verbunden. In einigen Ausführungsformen ist die leitfähige Struktur 430a elektrisch über Durchkontaktierung 642a' (hergestellt durch Durchkontaktierungs-Layoutstruktur 642a) mit der leitfähigen Struktur 640a' (hergestellt durch die leitfähige Element-Layoutstruktur 640a) verbunden. In einigen Ausführungsformen ist die leitfähige Struktur 640a' elektrisch über Durchkontaktierung 642b' (hergestellt durch Durchkontaktierungs-Layoutstruktur 642b) mit der leitfähigen Struktur 430c (hergestellt durch die leitfähige Element-Layoutstruktur 330c) verbunden. In einigen Ausführungsformen ist die leitfähige Struktur 430c elektrisch über Durchkontaktierung 636b' (hergestellt durch Durchkontaktierungs-Layoutstruktur 636b) mit Kontakt 612c' (hergestellt durch Metall-über-Diffusions-Layoutstruktur 612c) verbunden. Somit ist der Anschluss A1D oder der Kontakt 610a' elektrisch mit dem Anschluss B2D oder dem Kontakt 612c' verbunden.
  • In einigen Ausführungsformen entspricht der Anschluss B1D dem Kontakt 610c', und Anschluss A2D entspricht Kontakt 612a'. In diesen Ausführungsformen ist der Anschluss B1D oder der Kontakt 610c' (hergestellt durch (Metall-über-Diffusions-Layoutstruktur 610c) der integrierten Schaltung 600B elektrisch mit Anschluss A2D oder Kontakt 612a' (herstellt durch Metall-über-Diffusions-Layoutstruktur 612a) verbunden. Zum Beispiel ist in einigen Ausführungsformen der Kontakt 610c' (hergestellt durch Metall-über-Diffusions-Layoutstruktur 610c) über die Durchkontaktierung 622b' (hergestellt durch Durchkontaktierungs-Layoutstruktur 622b) elektrisch mit der leitfähigen Struktur 620a' (hergestellt durch die leitfähige Element-Layoutstruktur 620a) verbunden. In einigen Ausführungsformen ist die leitfähige Struktur 620a' elektrisch über Durchkontaktierung 622a' (hergestellt durch Durchkontaktierungs-Layoutstruktur 622a) mit Kontakt 612a' (hergestellt durch Metall-über-Diffusions-Layoutstruktur 612a) verbunden. Somit ist der Anschluss B1D oder der Kontakt 610c' der integrierten Schaltung 600B elektrisch mit dem Anschluss A2D oder dem Kontakt 612a' verbunden.
  • Andere Anordnungen der Anschlüsse A1D, A2D, B1D, B2D liegen innerhalb des Umfangs der vorliegenden Offenbarung. Zum Beispiel entspricht in einigen Ausführungsformen der Anschluss A1D dem Kontakt 610c', und Anschluss B2D entspricht Kontakt 612a'. Zum Beispiel entspricht in einigen Ausführungsformen der Anschluss A2D dem Kontakt 610a', und Anschluss B1D entspricht Kontakt 612c'.
  • 7A ist ein Diagramm eines Lauoutentwurfs 700A einer integrierten Schaltung im Einklang mit einigen Ausführungsformen.
  • 7B, 7C oder 7D sind Querschnittsansichten einer integrierten Schaltung 700B im Einklang mit einigen Ausführungsformen.
  • Der Layoutentwurf 700A ist eine Variation des Layoutentwurfs 600A (6A). Zum Beispiel bildet Layoutentwurf 700A ein Beispiel ab, in dem sich die MU-Schicht (z.B. ein Satz leitfähiger Element-Layoutstrukturen 720) in zwei Richtungen erstreckt.
  • Der Layoutentwurf 700A ist verwendbar zum Herstellen einer integrierten Schaltung ähnlich der integrierten Schaltung 100 (1), der integrierten Schaltung 400 (4A - 4E) oder 700B (7B-7D).
  • Im Vergleich zu Layoutentwurf 600A von 6A ersetzen leitfähige Element-Layoutstrukturen 720a, 720b and 720c des Layoutentwurfs 700A die leitfähige Element-Layoutstruktur 620a, weswegen eine ähnliche ausführliche Beschreibung weggelassen ist.
  • Die leitfähige Element-Layoutstruktur 720a, 720b, 720c (im Folgenden bezeichnet als ein „Satz leitfähiger Element-Layoutstrukturen 720“) ist ähnlich den entsprechenden leitfähigen Element-Layoutstrukturen 520a, 520b, 520c von 5A.
  • Der Satz leitfähiger Element-Layoutstrukturen 720 ist verwendbar zum Herstellen eines entsprechenden Satzes zweidimensionaler (2D) leitfähiger Strukturen 720' der integrierten Schaltung 100 oder 700B (7B - 7D).
  • In einigen Ausführungsformen ist der Satz leitfähiger Element-Layoutstrukturen 720 auf dem MU-Abschnitt der ersten Layoutebene angeordnet. Der Satz leitfähiger Element-Layoutstrukturen 720 erstreckt sich in zwei Richtungen (z.B. der ersten Richtung X und der zweiten Richtung Y). Die leitfähigen Element-Layoutstrukturen 720a und 720c erstrecken sich in der ersten Richtung X. Die leitfähige Element-Layoutstruktur 720b erstreckt sich in der zweiten Richtung Y.
  • Der Satz leitfähiger Element-Layoutstrukturen 720 ist zwischen dem Satz aktiver Bereichs-Layoutstrukturen 202 angeordnet. Die leitfähige Element-Layoutstruktur 720a ist zwischen der leitfähigen Element-Layoutstruktur 330c und der leitfähigen Element-Layoutstruktur 630b angeordnet. Die leitfähige Element-Layoutstruktur 720c ist zwischen der leitfähigen Element-Layoutstruktur 330a und der leitfähigen Element-Layoutstruktur 630b' angeordnet.
  • Die leitfähige Element-Layoutstruktur 720b ist zwischen Gate-Layoutstruktur 204b und 204c angeordnet. In einigen Ausführungsformen ist die leitfähige Element-Layoutstruktur 720b zwischen der leitfähigen Element-Layoutstruktur 330a und der leitfähigen Element-Layoutstruktur 330c angeordnet. In einigen Ausführungsformen überlappt die Metall-über-Diffusions-Layoutstruktur 612a, 610b, 610c eine entsprechende leitfähige Element-Layoutstruktur 720a, 720b, 720c.
  • Der Satz von Gate-Layoutstrukturen 204 überlappt den Satz leitfähiger Element-Layoutstrukturen 720. In einigen Ausführungsformen überlappen die Gate-Layoutstrukturen 204a, 204b die leitfähige Element-Layoutstruktur 720a. In einigen Ausführungsformen überlappen die Gate-Layoutstrukturen 204c, 204d die leitfähige Element-Layoutstruktur 720c. Andere Anordnungen oder Mengen von Strukturen in dem Satz leitfähiger Element-Layoutstrukturen 720 liegen innerhalb des Umfangs der vorliegenden Offenbarung.
  • 7B ist eine Querschnittsansicht der integrierten Schaltung 700B entsprechend dem Layoutentwurf 700A geschnitten durch Ebene M-M', 7C ist eine Querschnittsansicht der integrierten Schaltung 700B entsprechend dem Layoutentwurf 700A geschnitten durch Ebene N-N', und 7D ist eine Querschnittsansicht der integrierte Schaltung 700B entsprechend dem Layoutentwurf 700A geschnitten durch Ebene O-O' im Einklang mit einigen Ausführungsformen.Strukturelle Beziehungen umfassend Ausrichtung, Längen und Breiten, sowie Anordnungen der integrierten Schaltung 700B sind ähnlich den strukturellen Beziehungen und Anordnungen des Layoutentwurfs 700A von 7A und werden der Kürze halber in 7B - 7D nicht beschrieben.
  • Die integrierte Schaltung 700B wird durch Layoutentwurf 700A hergestellt. In einigen Ausführungsformen ist die integrierte Schaltung 700B ähnlich der integrierten Schaltung 400 oder 600A, weswegen der Kürze halber eine ähnliche ausführliche Beschreibung weggelassen ist.
  • Im Vergleich zur integrierten Schaltung 600B von 6B - 6E ersetzt der Satz leitfähiger Strukturen 720' der integrierten Schaltung 700B den Satz leitfähiger Strukturen 620'.
  • In einigen Ausführungsformen umfasst der Satz leitfähiger Strukturen 720' eine oder mehrere leitfähige Strukturen 720a', 720b' und 720c'.
  • Die leitfähigen Strukturen 720a' und 720c' erstrecken sich in der ersten Richtung X und sind in der zweiten Richtung Y voneinander getrennt. Die leitfähige Struktur 720b' erstreckt sich in der zweiten Richtung Y. Die leitfähige Struktur 720b' steht in direktem Kontakt mit den leitfähigen Strukturen 720a' und 720c'. In einigen Ausführungsformen bilden die leitfähigen Strukturen 720a', 720b' und 720c' einen Teil einer selben durchgehenden leitfähigen Struktur. In einigen Ausführungsformen wird der Satz leitfähiger Strukturen 720' als eine leitfähige 2D-Struktur bezeichnet.
  • In einigen Ausführungsformen sind der Gateanschluss A1 (hergestellt durch Gate-Layoutstruktur 204b) der integrierten Schaltung 700B durch Gate 604b (herstellt durch Gate-Layoutstruktur 204b) direkt mit Gateanschluss A2 (herstellt durch Gate-Layoutstruktur 204b) verbunden ähnlich wie bei der integrierten Schaltung 600B von 6B - 6E, weswegen eine ausführliche Beschreibung weggelassen ist.
  • In einigen Ausführungsformen sind der Gateanschluss B1 (hergestellt durch Gate-Layoutstruktur 204c) der integrierten Schaltung 700B durch Gate 604b (herstellt durch Gate-Layoutstruktur 204c) direkt mit Gateanschluss B2 (herstellt durch Gate-Layoutstruktur 204c) verbunden ähnlich wie bei der integrierten Schaltung 600B von 6B - 6E, weswegen eine ausführliche Beschreibung weggelassen ist.
  • In einigen Ausführungsformen ist der Anschluss A1D (hergestellt durch Metall-über-Diffusions-Layoutstruktur 610a) der integrierten Schaltung 700B elektrisch mit Anschluss B2D (herstellt durch Metall-über-Diffusions-Layoutstruktur 612c) verbunden ähnlich wie bei der integrierten Schaltung 600B von 6B - 6E, weswegen eine ausführliche Beschreibung weggelassen ist.
  • In einigen Ausführungsformen entspricht der Anschluss B1D dem Kontakt 610c', und Anschluss A2D entspricht Kontakt 612a'. In diesen Ausführungsformen ist der Anschluss B1D oder der Kontakt 610c' (hergestellt durch (Metall-über-Diffusions-Layoutstruktur 610c) der integrierten Schaltung 700B elektrisch mit Anschluss A2D oder Kontakt 612a' (herstellt durch Metall-über-Diffusions-Layoutstruktur 612a) verbunden. Zum Beispiel ist in einigen Ausführungsformen der Kontakt 610c' (hergestellt durch Metall-über-Diffusions-Layoutstruktur 610c) über die Durchkontaktierung 622b' (hergestellt durch DurchkontaktierungsLayoutstruktur 622b) elektrisch mit der leitfähigen Struktur 720c' (hergestellt durch die leitfähige Element-Layoutstruktur 720c) verbunden. In einigen Ausführungsformen ist die leitfähige Struktur 720c' (hergestellt durch leitfähige Element-Layoutstruktur 720c) durch die leitfähige Struktur 720b' (hergestellt durch leitfähige Element-Layoutstruktur 720b) elektrisch mit der leitfähigen Struktur 720a' (hergestellt durch die leitfähige Element-Layoutstruktur 720a) verbunden. In einigen Ausführungsformen ist die leitfähige Struktur 720a' (hergestellt durch die leitfähige Element-Layoutstruktur 720) über die Durchkontaktierung 622a' (hergestellt durch Durchkontaktierungs-Layoutstruktur 622a) elektrisch mit Kontakt 612a (hergestellt durch die Metall-über-Diffusions-Layoutstruktur 612a) verbunden. Somit ist der Anschluss B1D oder der Kontakt 610c' der integrierten Schaltung 700B elektrisch mit dem Anschluss A2D oder dem Kontakt 612a' verbunden.
  • 8A ist ein Diagramm eines Lauoutentwurfs 800A einer integrierten Schaltung 800B im Einklang mit einigen Ausführungsformen.
  • 8B, 8C, 8D, 8E, 8F und 8G sind Querschnittsansichten einer integrierten Schaltung 800B im Einklang mit einigen Ausführungsformen.
  • Der Layoutentwurf 800A ist eine Variation des Layoutentwurfs 300 (3) und des Layoutentwurfs 700A (7A). Zum Beispiel stellt Layoutentwurf 800A einen Beispiel-Layoutentwurf dar, aufweisend eine 4-Poly-Teilungsbreite in der ersten Richtung X, und die MU-Schicht (z.B. ein Satz leitfähiger Element-Layoutstrukturen 820) erstreckt sich in einer einzigen Richtung, weist jedoch zwei Abschnitte (z.B. leitfähige Element-Layoutstrukturen 820a und 820b) auf.
  • Der Layoutentwurf 800A ist verwendbar zum Herstellen einer integrierten Schaltung ähnlich der integrierten Schaltung 100 (1), der integrierten Schaltung 400 (4A - 4E) oder der integrierten Schaltung 800B (8B-8G).
  • Im Vergleich zum Layoutentwurf 300 von 3, umfasst der Layoutentwurf 800A nicht den Satz von Schnittelement-Layoutstrukturen 304. Durch das Nichtumfassen des Satzes von Schnittelement-Layoutstrukturen 304 ist der Layoutentwurf 800A verwendbar zum Herstellen einer integrierten Schaltung aufweisend einen Gateanschluss A1 der direkt mit dem Gateanschluss A2 verbunden ist.
  • Im Vergleich zu Layoutentwurf 200, 300, 500A, 600A oder 700A umfasst der Layoutentwurf 800A ferner eine zusätzliche Gate-Layoutstruktur 804e, die sich in der zweiten Richtung Y erstreckt, was einen breiteren Layoutentwurf (z.B. Layoutentwurf 800A) zur Folge hat. Zum Beispiel umfasst der Layoutentwurf 800A eine 4-Poly-Teilungsbreite in der ersten Richtung X. Der Layoutentwurf 800A weist Elemente von Layoutentwurf 300 und 600A auf. Zum Beispiel umfasst der Layoutentwurf mindestens die Metalldiffusions-Layoutstrukturen 610a, 610c, 612a und 612c von Layoutentwurf 600A. Ebenso umfasst der Layoutentwurf 800A mindestens die Metalldiffusions-Layoutstrukturen 210a und 210c von Layoutentwurf 300.
  • Der Layoutentwurf 800A umfasst einen Satz aktiver Bereichs-Layoutstrukturen 202, Gate-Layoutstrukturen 204a, 204b, 204c, 204d, 804e (zusammen bezeichnet als ein „Satz von Gate-Layoutstrukturen 804“), Metall-über-Diffusions-Layoutstrukturen 210a, 210c, 610a, 610c, 612a, 612c (zusammen bezeichnet als ein „Satz von Metall-über-Diffusions-Layoutstrukturen 810“), einen Satz leitfähiger Element-Layoutstrukturen 330, eine leitfähige Element-Layoutstruktur 820a, 820b (zusammen bezeichnet als ein „Satz leitfähiger Element-Layoutstrukturen 820“), Durchkontaktierungs-Layoutstrukturen 822a, 822b, 822c, 822d (zusammen bezeichnet als ein „Satz von Durchkontaktierungs-Layoutstrukturen 822“), Durchkontaktierungs-Layoutstrukturen 832a, 832b, 832c (zusammen bezeichnet als ein „Satz von Durchkontaktierungs-Layoutstrukturen 832“) und Durchkontaktierungs-Layoutstrukturen 836a, 836b (zusammen bezeichnet als ein „Satz von Durchkontaktierungs-Layoutstrukturen 836“).
  • Im Vergleich zu Layoutentwurf 200, 300, 500A, 600A oder 700A erstrecken sich der Satz aktiver Bereichs-Layoutstrukturen 202 und der Satz leitfähiger Element-Layoutstrukturen 330 mindestens über die Gate-Layoutstrukturen 204a und 804e.
  • Die Gate-Layoutstruktur 804e ist ähnlich einer oder mehrerer der Gate-Layoutstrukturen 204a, 204b, 204c, 204d des Satzes von Gate-Layoutstrukturen 204, weswegen eine ausführliche Beschreibung weggelassen ist. Die Gate-Layoutstruktur 804e ist in der ersten Richtung X durch eine Poly-Teilung von Gate-Layoutstruktur 204d getrennt. Der Satz von Gate-Layoutstrukturen 804 ist verwendbar zum Herstellen eines entsprechenden Satzes von Gates 804' (8B - 8G) der integrierten Schaltung 800B. Die Gate-Layoutstruktur 804e ist verwendbar zum Herstellen eines entsprechenden Gates 804e' (8B - 8G) der integrierten Schaltung 800B.
  • Der Satz von Metall-über-Diffusions-Layoutstrukturen 810 ist ähnlich dem Satz von Metall-über-Diffusions-Layoutstrukturen 210 von 2 und dem Satz von Metall-über-Diffusions-Layoutstrukturen 610 von 6 - 7, weswegen eine ausführliche Beschreibung weggelassen ist. Der Satz von Metall-über-Diffusions-Layoutstrukturen 810 ist verwendbar zum Herstellen eines entsprechenden Satzes von Kontakten 810' (8B - 8G) der integrierten Schaltung 800B. Die Metall-über-Diffusions-Layoutstrukturen 210a, 210c, 610a, 610c, 612a und 612c sind verwendbar zum Herstellen entsprechender Kontakte 410a, 410c, 610a', 610c', 612a' und 612c' (8B - 8G) der integrierten Schaltung 800B.
  • Die Metall-über-Diffusions-Layoutstruktur 210a ist zwischen den Gate-Layoutstrukturen 204a und 204b angeordnet. Die Metall-über-Diffusions-Layoutstruktur 210C ist zwischen den Gate-Layoutstrukturen 204d und 804e angeordnet. Jede der Metall-über-Diffusions-Layoutstrukturen 610a und 612a ist zwischen den Gate-Layoutstrukturen 204b und 204c angeordnet. Jede der Metall-über-Diffusions-Layoutstrukturen 610c und 612c ist zwischen den Gate-Layoutstrukturen 204c und 204d angeordnet.
  • Der Satz leitfähiger Element-Layoutstrukturen 820 ist ähnlich dem Satz leitfähiger Element-Layoutstrukturen 320, 420, 520, 620, 720, weswegen eine ausführliche Beschreibung weggelassen ist. Der Satz leitfähiger Element-Layoutstrukturen 820 ist verwendbar zum Herstellen eines entsprechenden Satzes leitfähiger Strukturen 820' (8B - 8G) der integrierten Schaltung 800B. Die leitfähigen Element-Layoutstrukturen 820a und 820b sind ähnlich den entsprechenden leitfähigen Element-Layoutstrukturen 720a und 720c, weswegen eine ausführliche Beschreibung weggelassen ist. Die leitfähigen Element-Layoutstrukturen 820a und 820b sind verwendbar zum Herstellen entsprechender leitfähiger Strukturen 820a' und 820b' (8B - 8G) der integrierten Schaltung 800B.
  • Die leitfähige Element-Layoutstruktur 820a erstreckt sich in der ersten Richtung X und ist zwischen der leitfähigen Element-Layoutstruktur 330b und der leitfähigen Element-Layoutstruktur 330c angeordnet. Die leitfähige Element-Layoutstruktur 820a zwischen der Gate-Layoutstruktur 204a und der Gate-Layoutstruktur 204c angeordnet. Die leitfähige Element-Layoutstrukturen 820a ist mindestens unterhalb der Metall-über-Diffusions-Layoutstruktur 210a, 610a oder 612a angeordnet. In einigen Ausführungsformen überlappt die Metall-über-Diffusions-Layoutstruktur 210a oder die Metall-über-Diffusions-Layoutstrukturen 612a die leitfähige Element-Layoutstruktur 820a.
  • Die leitfähige Element-Layoutstruktur 820a erstreckt sich in der ersten Richtung X und ist zwischen der leitfähigen Element-Layoutstruktur 330a und der leitfähigen Element-Layoutstruktur 330b angeordnet. Die leitfähige Element-Layoutstruktur 820b ist zwischen der Gate-Layoutstruktur 204c und der Gate-Layoutstruktur 804e angeordnet. Die leitfähige Element-Layoutstruktur 820b ist mindestens unterhalb der Metall-über-Diffusions-Layoutstruktur 210c, 610c oder 612c angeordnet. In einigen Ausführungsformen überlappt die Metall-über-Diffusions-Layoutstruktur 210C oder die Metall-über-Diffusions-Layoutstruktur 610c die leitfähige Element-Layoutstruktur 820b.
  • Der Satz von Durchkontaktierungs-Layoutstrukturen 822 ist ähnlich dem Satz von Durchkontaktierungs-Layoutstrukturen 322, 522, 622, 722, weswegen eine ausführliche Beschreibung weggelassen ist. Der Satz von Durchkontaktierungs-Layoutstrukturen 822 ist verwendbar zum Herstellen eines entsprechenden Satzes von Durchkontaktierungen 822' ( 8B - 8G) der integrierten Schaltung 800B.
  • Die Durchkontaktierungs-Layoutstrukturen 822a, 822b, 822c und 822d sind verwendbar zum Herstellen entsprechender Durchkontaktierungen 822a', 822b', 822c' und 822d' (8B - 8G) der integrierten Schaltung 800B. Die Durchkontaktierungs-Layoutstrukturen 822a, 822c sind ähnlich der Durchkontaktierungs-Layoutstruktur 622a, weswegen eine ausführliche Beschreibung weggelassen ist. Die Durchkontaktierungs-Layoutstruktur 822c, 822a ist zwischen der leitfähigen Element-Layoutstruktur 820a und den entsprechenden Metall-über-Diffusions-Layoutstrukturen 210a, 612a angeordnet. In einigen Ausfiihrungsformen ist die Durchkontaktierungs-Layoutstruktur 822c, 822a des Satzes von Durchkontaktierungs-Layoutstrukturen 822 dort angeordnet, wo eine entsprechende Layoutstruktur 210a, 612a des Satzes von Metall-über-Diffusions-Layoutstrukturen 810 die leitfähige Element-Layoutstruktur 820a des Satzes leitfähiger Element-Layoutstrukturen 820 überlappt. In einigen Ausführungsformen ist ein Zentrum der Durchkontaktierungs-Layoutstrukturen 822c, 822a des Satzes von Durchkontaktierungs-Layoutstrukturen 822 unter einem Zentrum einer entsprechenden Metall-über-Diffusions-Layoutstruktur 210a, 612a des Satzes von Metall-über-Diffusions-Layoutstrukturen 810 angerordnet. In einigen Ausführungsformen ist ein Zentrum der Durchkontaktierungs-Layoutstrukturen 822c, 822a des Satzes von Durchkontaktierungs-Layoutstrukturen 822 mindestens in der ersten Richtung X oder der zweiten Richtung Y auf ein Zentrum einer entsprechenden Metall-über-Diffusions-Layoutstruktur 210a, 612a des Satzes von Metall-über-Diffusions-Layoutstrukturen 810 ausgerichtet.
  • Die Durchkontaktierungs-Layoutstrukturen 822b, 822d sind ähnlich der Durchkontaktierungs-Layoutstruktur 622b, weswegen eine ausführliche Beschreibung weggelassen ist. Die Durchkontaktierungs-Layoutstruktur 822b, 822d ist zwischen der leitfähigen Element-Layoutstruktur 820b und den entsprechenden Metall-über-Diffusions-Layoutstrukturen 610c, 210c angeordnet. In einigen Ausführungsformen ist eine Durchkontaktierungs-Layoutstruktur 822b, 822d des Satzes von Durchkontaktierungs-Layoutstrukturen 822 dort angeordnet, wo eine entsprechende Layoutstruktur 610c, 210c des Satzes von Metall-über-Diffusions-Layoutstrukturen 810 die leitfähige Element-Layoutstruktur 820b des Satzes leitfähiger Element-Layoutstrukturen 820 überlappt. In einigen Ausführungsformen ist ein Zentrum der Durchkontaktierungs-Layoutstrukturen 822b, 822d des Satzes von Durchkontaktierungs-Layoutstrukturen 822 unter einem Zentrum einer entsprechenden Metall-über-Diffusions-Layoutstruktur 610c, 210c des Satzes von Metall-über-Diffusions-Layoutstrukturen 810 angeordnet. In einigen Ausführungsformen ist ein Zentrum der Durchkontaktierungs-Layoutstrukturen 822b, 822d des Satzes von Durchkontaktierungs-Layoutstrukturen 822 mindestens in der ersten Richtung X oder der zweiten Richtung Y auf ein Zentrum einer entsprechenden Metall-über-Diffusions-Layoutstruktur 610c, 210c des Satzes von Metall-über-Diffusions-Layoutstrukturen 810 ausgerichtet. Andere Anordnungen der Durchkontaktierungs-Layoutstrukturen 822a, 822b, 822c, 822d des Satzes von Durchkontaktierungs-Layoutstrukturen 822 liegen innerhalb des Umfangs der vorliegenden Offenbarung.
  • Der Satz von Durchkontaktierungs-Layoutstrukturen 832 ist ähnlich dem Satz von Durchkontaktierungs-Layoutstrukturen 332, 632, weswegen eine ausführliche Beschreibung weggelassen ist. Der Satz von Durchkontaktierungs-Layoutstrukturen 832 ist verwendbar zum Herstellen eines entsprechenden Satzes von Durchkontaktierungen 832 (8B - 8G) der integrierten Schaltung 800B. Der Satz leitfähiger Element-Layoutstrukturen 832 ist zwischen dem Satz leitfähiger Element-Layoutstrukturen 330 und dem Satz von Gate-Layoutstrukturen 804 angeordnet. Die Durchkontaktierungs-Layoutstrukturen 832a, 832b und 832c sind verwendbar zum Herstellen entsprechender Durchkontaktierungen 832a', 832b' und 832c' ( 8B - 8G) der integrierten Schaltung 800B. Die Durchkontaktierungs-Layoutstrukturen 832a, 832b des Satzes von Durchkontaktierungs-Layoutstrukturen 832 sind zwischen der entsprechenden Gate-Layoutstruktur 330c, 204b des Satzes von Gate-Layoutstrukturen 204d und dem Satz leitfähiger Element-Layoutstrukturen 204 angeordnet. Die Durchkontaktierungs-Layoutstruktur 832b des Satzes von Durchkontaktierungs-Layoutstrukturen 832 ist zwischen der leitfähigen Element-Layoutstruktur 330a und der Gate-Layoutstruktur 204c des Satzes von Gate-Layoutstrukturen 204 angeordnet.
  • In einigen Ausführungsformen ist eine Durchkontaktierungs-Layoutstruktur 832a, 832c des Satzes von Durchkontaktierungs-Layoutstrukturen 832 dort angeordnet, wo eine Layoutstruktur 330c eine entsprechende Gate-Layoutstruktur 204b, 204d des Satzes von Gate-Layoutstrukturen 804 überlappt. In einigen Ausführungsformen ist eine Durchkontaktierungs-Layoutstruktur 832b des Satzes von Durchkontaktierungs-Layoutstrukturen 832 dort angeordnet, wo die Layoutstruktur 330a die Gate-Layoutstruktur 204c des Satzes von Gate-Layoutstrukturen 804 überlappt. In einigen Ausführungsformen ist ein Zentrum der Durchkontaktierungs-Layoutstruktur 832a, 832c des Satzes von Durchkontaktierungs-Layoutstrukturen 832 über einem Zentrum einer entsprechenden Gate-Layoutstruktur 204b, 204d des Satzes von Gate-Layoutstrukturen 804 angeordnet. In einigen Ausführungsformen ist ein Zentrum der Durchkontaktierungs-Layoutstruktur 832b des Satzes von Durchkontaktierungs-Layoutstrukturen 832 über einem Zentrum der Gate-Layoutstruktur 204c des Satzes von Gate-Layoutstrukturen 804 angeordnet. In einigen Ausführungsformen ist ein Zentrum der Durchkontaktierungs-Layoutstruktur 832a, 832b des Satzes von Durchkontaktierungs-Layoutstrukturen 832 unter einem Zentrum der leitfähigen Element-Layoutstruktur 330c angeordnet. In einigen Ausführungsformen ist ein Zentrum der Durchkontaktierungs-Layoutstruktur 832a des Satzes von Durchkontaktierungs-Layoutstrukturen 832 unter einem Zentrum der leitfähigen Element-Layoutstruktur 330a angeordnet. Andere Anordnungen der Durchkontaktierung-Layoutstrukturen 832a, 832b, 832c des Satzes von Durchkontaktierungs-Layoutstrukturen 832 liegen innerhalb des Umfangs der vorliegenden Offenbarung.
  • Der Satz von Durchkontaktierungs-Layoutstrukturen 836 ist ähnlich dem Satz von Durchkontaktierungs-Layoutstrukturen 636, weswegen eine ausführliche Beschreibung weggelassen ist. Der Satz von Durchkontaktierungs-Layoutstrukturen 836 ist verwendbar zum Herstellen eines entsprechenden Satzes von Durchkontaktierungen 836' (8B - 8G) der integrierten Schaltung 800B. Der Satz von Durchkontaktierungs-Layoutstrukturen 836 ist zwischen dem Satz leitfähiger Element-Layoutstrukturen 330 und dem Satz von Metall-über-Diffusions-Layoutstrukturen 810 angeordnet. Die Durchkontaktierungs-Layoutstrukturen 836a und 836b sind verwendbar zum Herstellen entsprechender Durchkontaktierungen 836a' und 836b' (8B - 8G) der integrierten Schaltung 800B. Die Durchkontaktierungs-Layoutstrukturen 836a, 836b des Satzes von Durchkontaktierungs-Layoutstrukturen 836 sind zwischen der leitfähigen Element-Layoutstruktur 330b und einer entsprechenden Metall-über-Diffusions-Layoutstruktur 610a, 612c angeordnet.
  • In einigen Ausführungsformen ist eine Durchkontaktierungs-Layoutstruktur 836a, 836b des Satzes von Durchkontaktierungs-Layoutstrukturen 836 dort angeordnet, wo die Layoutstruktur 330b eine entsprechende Metall-über-Diffusions-Layoutstruktur 610a, 612c mindestens teilweise überlappt. Die Durchkontaktierungs-Layoutstruktur 836a, 836b des Satzes von Durchkontaktierungs-Layoutstrukturen 836 ist über einer entsprechenden Metall-über-Diffusions-Layoutstruktur 610a, 612c angeordnet. In einigen Ausführungsformen ist ein Zentrum der Durchkontaktierungs-Layoutstruktur 836a, 836b des Satzes von Durchkontaktierungs-Layoutstrukturen 836 über einem Zentrum einer entsprechenden Metall-über-Diffusions-Layoutstruktur 610a, 612c angeordnet. In einigen Ausführungsformen ist ein Zentrum der Durchkontaktierungs-Layoutstruktur 836a, 836b des Satzes von Durchkontaktierungs-Layoutstrukturen 836 unter einem Zentrum der leitfähigen Element-Layoutstruktur 330b angeordnet. In einigen Ausführungsformen ist ein Zentrum der Durchkontaktierungs-Layoutstruktur 836a, 836b des Satzes von Durchkontaktierungs-Layoutstrukturen 836 mindestens in der ersten Richtung X oder der zweiten Richtung Y auf ein Zentrum einer entsprechenden Metall-über-Diffusions-Layoutstruktur 610a, 612c ausgerichtet. In einigen Ausführungsformen ist ein Zentrum der Durchkontaktierungs-Layoutstruktur 836a, 836b des Satzes von Durchkontaktierungs-Layoutstrukturen 836 mindestens in der ersten Richtung X oder der zweiten Richtung Y auf ein Zentrum einer entsprechenden leitfähigen Element-Layoutstruktur 330b ausgerichtet. Andere Anordnungen von Durchkontaktierung-Layoutstrukturen 836a, 836b des Satzes von Durchkontaktierungs-Layoutstrukturen 836 liegen innerhalb des Umfangs der vorliegenden Offenbarung.
  • 8B ist eine Querschnittsansicht der integrierten Schaltung 800B entsprechend dem Layoutentwurf 800A geschnitten durch Ebene P-P', 8C ist eine Querschnittsansicht der integrierten Schaltung 800B entsprechend dem Layoutentwurf 800A geschnitten durch Ebene Q-Q', 8D ist eine Querschnittsansicht der integrierten Schaltung 800B entsprechend dem Layoutentwurf 800A geschnitten durch Ebene R-R', 8E ist eine Querschnittsansicht der integrierten Schaltung 800B entsprechend dem Layoutentwurf 800A geschnitten durch Ebene S-S', 8F ist eine Querschnittsansicht der integrierten Schaltung 800B entsprechend dem Layoutentwurf 800A geschnitten durch Ebene T-T', und 8G ist eine Querschnittsansicht der integrierten Schaltung 800B entsprechend dem Layoutentwurf 800A geschnitten durch Ebene U-U' im Einklang mit einigen Ausführungsformen. Strukturelle Beziehungen umfassend Ausrichtung, Längen und Breiten, sowie Anordnungen der integrierten Schaltung 800B sind ähnlich den strukturellen Beziehungen und Anordnungen des Layoutentwurfs 800A von 8A und werden der Kürze halber in 8B - 8D nicht beschrieben.
  • Die integrierte Schaltung 800B wird durch Layoutentwurf 800A hergestellt. In einigen Ausführungsformen ist die integrierte Schaltung 800B ähnlich der integrierten Schaltung 400, 600B oder 700B, weswegen der Kürze halber eine ähnliche ausführliche Beschreibung weggelassen ist.
  • Die integrierte Schaltung 800B weist eine 4-Poly-Teilungsbreite in der ersten Richtung X auf. Die integrierte Schaltung 800B weist Elemente der integrierten Schaltung 400 und 600B auf. Zum Beispiel umfasst die integrierte Schaltung 800B mindestens die Kontakte 610a', 610c', 612a' und 612c' der integrierten Schaltung 600B. Ebenso umfasst die integrierte Schaltung 800B mindestens die Kontakte 210a' und 210c' der integrierten Schaltung 400.
  • Die integrierte Schaltung 800B umfasst den Satz aktiver Bereiche 402, Gates 404a, 404d, 604b, 604c und 804e' (zusammen bezeichnet als ein Satz von Gates 804'), Kontakte 410a, 410c, 610a', 610c', 612a', 612c' (zusammen bezeichnet als Kontakte 810'), den Satz leitfähiger Strukturen 430, die leitfähigen Strukturen 820a', 820b' (zusammen bezeichnet als ein Satz leitfähiger Strukturen 820'), Durchkontaktierungen 822a', 822b', 822c', 822d' (zusammen bezeichnet als ein Satz von Durchkontaktierungen 822'), Durchkontaktierungen 832a', 832b', 832c' (zusammen bezeichnet als ein Satz von Durchkontaktierungen 832') und Durchkontaktierungen 836a', 836b' (zusammen bezeichnet als ein Satz von Durchkontaktierungen 836').
  • Im Vergleich zur integrierten Schaltung 600 (6B - 6E) oder 700 (7B - 7D) ersetzen die leitfähigen Strukturen 820a', 820b' die leitfähigen Strukturen 720a', 720c' der integrierten Schaltung 700B, Durchkontaktierungen 822a', 822b', 822c', 822d' ersetzen die Durchkontaktierungen 622a', 622b' der integrierten Schaltung 700B, Durchkontaktierungen 832a', 832b', 832c' ersetzen die Durchkontaktierungen 632a', 632b' der integrierten Schaltung 600B, Durchkontaktierungen 836a', 836b' ersetzen die Durchkontaktierungen 636a', 636b' der integrierten Schaltung 600B, weswegen der Kürze halber eine ähnliche ausführliche Beschreibung weggelassen ist.
  • Im Vergleich zur integrierten Schaltung 600 (6B - 6E) oder 700 (7B - 7D), ist Gate 804e' ähnlich dem Gate 404a, 404d, 604b oder 604c, weswegen der Kürze halber eine ähnliche ausführliche Beschreibung weggelassen ist.
  • Im Vergleich zu den leitfähigen Strukturen 720a' und 720c' der integrierten Schaltung 700B von 7B - 7E sind die leitfähigen Strukturen 820a' und 820b' nicht miteinander verbunden. Mit anderen Worten sind die leitfähigen Strukturen 820a' und 820b' nicht durch eine andere leitfähige Struktur (z.B. leitfähige Struktur 720b') miteinander verbunden.
  • Der Satz von Durchkontaktierungen 822' verbindet den Satz leitfähiger Strukturen 820' elektrisch mit dem Satz von Kontakten 810'. Der Satz von Durchkontaktierungen 832' verbindet den Satz leitfähiger Strukturen 430 elektrisch mit dem Satz von Gates 804'. Der Satz von Durchkontaktierungen 836' verbindet den Satz leitfähiger Strukturen 430 elektrisch mit dem Satz von Kontakten 810'.
  • In einigen Ausführungsformen entspricht der Anschluss A1 oder A2 dem Gate 604c. Das Gate 604c ist eine durchgehende Struktur. In einigen Ausführungsformen ist der Gateanschluss A1 über Gate 604c (herstellt durch Gate-Layoutstruktur 204c des Layoutentwurfs 800A) direkt mit dem Gateanschluss A2 verbunden.
  • In einigen Ausführungsformen entspricht der Anschluss B1 dem Gate 404d, und der Anschluss B2 entspricht dem Gate 604b. In diesen Ausführungsformen ist das Gate 404d (hergestellt durch Gate-Layoutstruktur 204d des Layoutentwurfs 800A) der integrierten Schaltung 800B elektrisch mit dem Gate 604b (hergestellt durch Gate-Layoutstruktur 204b des Layoutentwurfs 800A) verbunden. Zum Beispiel ist in einigen Ausführungsformen Gate 404d (hergestellt durch Gate-Layoutstruktur 204d des Layoutentwurfs 800A) über die Durchkontaktierung 832c' (hergestellt durch Durchkontaktierungs-Layoutstruktur 832c) elektrisch mit der leitfähigen Struktur 430c (hergestellt durch die leitfähige Element-Layoutstruktur 330c) verbunden, und die leitfähige Struktur 430c ist über Durchkontaktierung 832a' (hergestellt durch Durchkontaktierungs-Layoutstruktur 832a) elektrisch mit Gate 604b (hergestellt durch Gate-Layoutstruktur 204b des Layoutentwurfs 800A) verbunden.
  • In einigen Ausführungsformen entspricht der Anschluss B2D dem Kontakt 410a, und der Anschluss B2D' entspricht Kontakt 612a'. In diesen Ausführungsformen ist der Anschluss B2D oder der Kontakt 410a (hergestellt durch Metall-über-Diffusions-Layoutstruktur 210a) der integrierten Schaltung 800B elektrisch mit Anschluss B2D' oder Kontakt 612a' (herstellt durch Metall-über-Diffusions-Layoutstruktur 612a) verbunden. Zum Beispiel ist in einigen Ausführungsformen der Kontakt 410a (hergestellt durch Metall-über-Diffusions-Layoutstruktur 210a) über die Durchkontaktierung 822c' (hergestellt durch Durchkontaktierungs-Layoutstruktur 822c) elektrisch mit der leitfähigen Struktur 820a' (hergestellt durch die leitfähige Element-Layoutstruktur 820a) verbunden. In einigen Ausführungsformen ist die leitfähige Struktur 820a' über Durchkontaktierung 822a' (hergestellt durch Durchkontaktierungs-Layoutstruktur 822a) elektrisch mit Kontakt 612a' (hergestellt durch Metall-über-Diffusions-Layoutstruktur 612a) verbunden. Somit ist der Anschluss B2D oder der Kontakt 410a elektrisch mit dem Anschluss B2D' oder dem Kontakt 612a' verbunden.
  • In einigen Ausführungsformen entspricht der Anschluss B1D dem Kontakt 410c, und der Anschluss B1D' entspricht Kontakt 610c'. In diesen Ausführungsformen ist der Anschluss B1D oder der Kontakt 410c (hergestellt durch Metall-über-Diffusions-Layoutstruktur 210c) der integrierten Schaltung 800B elektrisch mit Anschluss B1D' oder Kontakt 610c' (herstellt durch Metall-über-Diffusions-Layoutstruktur 610c) verbunden. Zum Beispiel ist in einigen Ausführungsformen der Kontakt 410c (hergestellt durch Metall-über-Diffusions-Layoutstruktur 210c) über die Durchkontaktierung 822d' (hergestellt durch Durchkontaktierungs-Layoutstruktur 822d) elektrisch mit der leitfähigen Struktur 820b' (hergestellt durch die leitfähige Element-Layoutstruktur 820b) verbunden. In einigen Ausführungsformen ist die leitfähige Struktur 820b über Durchkontaktierung 822b' (hergestellt durch Durchkontaktierungs-Layoutstruktur 822b) elektrisch mit Kontakt 610c' (hergestellt durch Metall-über-Diffusions-Layoutstruktur 610c) verbunden. Somit ist der Anschluss B1D oder der Kontakt 410c elektrisch mit dem Anschluss B1D' oder dem Kontakt 610c' verbunden.
  • 9A ist ein Diagramm eines Lauoutentwurfs 900A einer integrierten Schaltung 900B im Einklang mit einigen Ausführungsformen.
  • 9B, 9C, 9D und 9E sind Querschnittsansichten einer integrierten Schaltung 900B im Einklang mit einigen Ausführungsformen.
  • Der Layoutentwurf 900A ist eine Variation des Layoutentwurfs 800A (8A). Zum Beispiel stellt Layoutentwurf 900A ein Beispiel dar, in dem die das Gate (z.B. Gate 904b1' und 94b2') hergestellt durch Gate-Layoutstrukturen 204b nicht durchgehend ist, und sich die MU-Schicht (z.B. ein Satz leitfähiger Element-Layoutstrukturen 920) in einer einzigen Richtung erstreckt, jedoch zwei Abschnitte (z.B. leitfähige Element-Layoutstrukturen 920a und 920b) umfasst.
  • Der Layoutentwurf 900A ist verwendbar zum Herstellen einer integrierten Schaltung ähnlich der integrierten Schaltung 100 (1) oder der integrierten Schaltung 400 (4A-4E).
  • Im Vergleich zum Layoutentwurf 800A von 8A umfasst der Layoutentwurf 900A ferner einen Satz von Schnittelement-Layoutstrukturen 904 und Durchkontaktierungs-Layoutstrukturen 932a. Durch das Umfassen des Satzes von Schnittelement-Layoutstrukturen 904 ist der Layoutentwurf 900A verwendbar zum Herstellen einer integrierten Schaltung 900B (9B - 9E) aufweisend einen Gateanschluss A1 der nicht mit dem Gateanschluss B2 verbunden ist.
  • Der Satz von Schnittelement-Layoutstrukturen 904 ist verwendbar zum Schneiden von Gate 604b zum Bilden der Gates 904b1' und 904b2' der integrierten Schaltung 900B (9B - 9E). Der Satz von Schnittelement-Layoutstrukturen 904 ist ähnlich dem Satz von Schnittstellen-Layoutstrukturen 304, weswegen eine ausführliche Beschreibung weggelassen ist.
  • Die Durchkontaktierungs-Layoutstruktur 932a ist ähnlich einer oder mehreren der Layoutstrukturen des Satzes von Durchkontaktierungs-Layoutstrukturen 332, 632 und 832, weswegen eine ausführliche Beschreibung weggelassen ist. Die Durchkontaktierungs-Layoutstruktur 932a ist verwendbar zum Herstellen der Durchkontaktierung 932a' im Satz von Durchkontaktierungen 932' (9B - 9E).
  • Die Durchkontaktierungs-Layoutstruktur 932a des Layoutentwurfs 900A ist ähnlich der Durchkontaktierungs-Layoutstruktur 832a, weswegen eine ausführliche Beschreibung weggelassen ist. Die Durchkontaktierungs-Layoutstruktur 932a ist zwischen dem Satz leitfähiger Element-Layoutstrukturen 330 und dem Satz von Gate-Layoutstrukturen 804 angeordnet. Die Durchkontaktierungs-Layoutstruktur 932a ist zwischen der leitfähigen Element-Layoutstruktur 330a und der Gate-Layoutstruktur 204b des Satzes von Gate-Layoutstrukturen 804 angeordnet. In einigen Ausführungsformen ist die Durchkontaktierungs-Layoutstruktur 932a dort angeordnet, wo die Layoutstruktur 330a die Gate-Layoutstruktur 204b des Satzes von Gate-Layoutstrukturen 804 überlappt. In einigen Ausführungsformen ist ein Zentrum der Durchkontaktierungs-Layoutstruktur 932a über einem Zentrum der Gate-Layoutstruktur 204b des Satzes von Gate-Layoutstrukturen 804 angeordnet. In einigen Ausführungsformen ist ein Zentrum der Durchkontaktierungs-Layoutstruktur 932a unter einem Zentrum der leitfähigen Element-Layoutstruktur 330a angeordnet. Andere Anordnungen de Durchkontaktierungs-Layoutstruktur 932a liegen innerhalb des Umfangs der vorliegenden Offenbarung.
  • Der Layoutentwurf 900A ist eine Variation des Layoutentwurfs 800A (8). Im Vergleich zum Layoutentwurf 800A von 8A, umfasst der Layoutentwurf 900A nicht die Durchkontaktierungs-Layoutstruktur 836b. Im Vergleich zum Layoutentwurf 800A von 8A ersetzt der Satz von Metall-über-Diffusions- Layoutstrukturen 910 des Layoutentwurfs 900A den Satz von Metall-über-Diffusions-Layoutstrukturen 810, die leitfähigen Element-Layoutstrukturen 920a, 920b (zusammen bezeichnet als „Satz leitfähiger Element-Layoutstrukturen 920“) ersetzen die entsprechende leitfähige Element-Layoutstruktur 820a, 820b, and die Durchkontaktierungs-Layoutstrukturen 922a, 922c, 922d (zusammen bezeichnet als „Satz von Durchkontaktierungs-Layoutstrukturen 922“) ersetzen eine entsprechende Durchkontaktierungs-Layoutstruktur 822a, 822c, 822d, weswegen ein ähnliche ausführliche Beschreibung weggelassen ist.
  • Der Satz von Metall-über-Diffusions-Layoutstrukturen 910 ist verwendbar zum Herstellen eines entsprechenden Satzes von Kontakten 910' (9B - 9E) der integrierten Schaltung 900B. Der Satz von Metall-über-Diffusions-Layoutstrukturen 910 umfasst eine oder mehrere Metall-über-Diffusions-Layoutstrukturen 210a, 910b, 610c, 612c, 910c und 912c. Die Metall-über-Diffusions-Layoutstrukturen 210a, 910b, 610c, 612c, 910c und 912c sind verwendbar zum Herstellen entsprechender Kontakte 410a, 910b', 610c', 612c', 910c' und 912c' (9B - 9e) der integrierten Schaltung 900B. Die Metall-über-Diffusions-Layoutstruktur 910b ersetzt die Metall-über-Diffusions-Layoutstruktur 610a und die Metall-über-Diffusions-Layoutstruktur 612a des Layoutentwurfs 800A, weswegen eine ähnliche ausführliche Beschreibung weggelassen ist. Die Metall-über-Diffusions-Layoutstrukturen 910c und 912c ersetzen die Metall-über-Diffusions-Layoutstruktur 210c des Layoutentwurfs 800A, weswegen eine ähnliche ausführliche Beschreibung weggelassen ist.
  • In einigen Ausführungsformen erstreckt sich die Metall-über-Diffusions-Layoutstruktur 910b in der zweiten Richtung Y von einem Rand der aktiven Bereichs-Layoutstruktur 202b zu einem Rand der aktiven Bereichs-Layoutstruktur 202a. In einigen Ausführungsformen erstreckt sich die Metall-über-Diffusions-Layoutstruktur 910c in der zweiten Richtung Y von einem Rand der aktiven Bereichs-Layoutstruktur 202b zu einem Rand der leitfähigen Element-Layoutstruktur 330b. In einigen Ausführungsformen erstreckt sich die Metall-über-Diffusions-Layoutstruktur 912c in der zweiten Richtung Y von einem Rand der aktiven Bereichs-Layoutstruktur 202a zu einem anderen Rand der leitfähigen Element-Layoutstruktur 330b. Andere Anordnungen der Metall-über-Diffusions-Layoutstrukturen 210a, 910b, 610c, 612c, 910c und 912c des Satzes von Metall-über-Diffusions-Layoutstrukturen 910 liegen innerhalb des Umfangs der vorliegenden Offenbarung.
  • Der Satz leitfähiger Element-Layoutstrukturen 920 ist ähnlich dem Satz leitfähiger Element-Layoutstrukturen 320, 420, 520, 620, 720 und 820, weswegen eine ausführliche Beschreibung weggelassen ist. Der Satz leitfähiger Element-Layoutstrukturen 920 ist verwendbar zum Herstellen eines entsprechenden Satzes leitfähiger Strukturen 920' (9B-9E) der integrierten Schaltung 900B. Die leitfähigen Element-Layoutstrukturen 920a und 920b sind ähnlich den entsprechenden leitfähigen Element-Layoutstrukturen 820a und 820c, weswegen eine ausführliche Beschreibung weggelassen ist. Die leitfähigen Element-Layoutstrukturen 920a und 920b sind verwendbar zum Herstellen entsprechender leitfähiger Strukturen 920a' und 920b' (9B - 9E) der integrierten Schaltung 900B.
  • Die leitfähige Element-Layoutstruktur 920a erstreckt sich in der ersten Richtung X und ist zwischen der leitfähigen Element-Layoutstruktur 330b und der leitfähigen Element-Layoutstruktur 330c angeordnet. Die leitfähige Element-Layoutstruktur 920a ist zwischen der Gate-Layoutstruktur 204c und der Gate-Layoutstruktur 804e angeordnet. Die leitfähige Element-Layoutstruktur 920a ist mindestens unterhalb der Metall-über-Diffusions-Layoutstruktur 612c oder 912c angeordnet. In einigen Ausführungsformen überlappt die Metall-über-Diffusions-Layoutstruktur 612c oder die Metall-über-Diffusions-Layoutstruktur 912c die leitfähige Element-Layoutstruktur 920a.
  • Die leitfähige Element-Layoutstruktur 920b erstreckt sich in der ersten Richtung X und ist zwischen der leitfähigen Element-Layoutstruktur 330a und der leitfähigen Element-Layoutstruktur 330b angeordnet. Die leitfähige Element-Layoutstruktur 920b ist zwischen der Gate-Layoutstruktur 204b und der Gate-Layoutstruktur 204d angeordnet. Die leitfähige Element-Layoutstruktur 920b ist mindestens unterhalb der Metall-über-Diffusions-Layoutstruktur 910b oder 610c angeordnet. In einigen Ausführungsformen überlappt die Metall-über-Diffusions-Layoutstruktur 910b oder die Metall-über-Diffusions-Layoutstruktur 610c die leitfähige Element-Layoutstruktur 920b. Andere Anordnungen der leitfähigen Element-Layoutstrukturen 920a, 920b des Satzes leitfähiger Element-Layoutstrukturen 920 liegen innerhalb des Umfangs der vorliegenden Offenbarung.
  • Der Satz von Durchkontaktierungs-Layoutstrukturen 922 umfasst die Durchkontaktierungs-Layoutstrukturen 922a, 822b, 922c, 922d. Der Satz von Durchkontaktierungs-Layoutstrukturen 922 ist ähnlich dem Satz von Durchkontaktierungs-Layoutstrukturen 322, 522, 622, 722 und 822, weswegen eine ausführliche Beschreibung weggelassen ist. Der Satz von Durchkontaktierungs-Layoutstrukturen 922 ist verwendbar zum Herstellen eines entsprechenden Satzes von Durchkontaktierungen 922' (9B - 9E) der integrierten Schaltung 900B, die den Satz leitfähiger Strukturen 420 mit dem Satz von Kontakten 410 verbinden.
  • Die Durchkontaktierungs-Layoutstrukturen 922a, 822b, 922c und 922d sind verwendbar zum Herstellen entsprechender Durchkontaktierungen 922a', 822b', 922c' und 922d' (9B - 9E) der integrierten Schaltung 900B. Die Durchkontaktierungs-Layoutstrukturen 922a, 922c sind ähnlich den entsprechenden Durchkontaktierungs-Layoutstrukturen 822a, 822c, weswegen eine ausführliche Beschreibung weggelassen ist. Die Durchkontaktierungs-Layoutstruktur 922c, 922a ist zwischen der leitfähigen Element-Layoutstruktur 920a und den entsprechenden Metall-über-Diffusions-Layoutstrukturen 612c, 912e angeordnet. In einigen Ausführungsformen sind die Durchkontaktierungs-Layoutstrukturen 922c, 922a des Satzes von Durchkontaktierungs-Layoutstrukturen 922 dort angeordnet, wo eine entsprechende Layoutstruktur 612c, 912c des Satzes von Metall-über-Diffusions-Layoutstrukturen 910 die leitfähige Element-Layoutstruktur 920a des Satzes leitfähiger Element-Layoutstrukturen 920 überlappt. In einigen Ausführungsformen ist ein Zentrum der Durchkontaktierungs-Layoutstrukturen 922c, 922a des Satzes von Durchkontaktierungs-Layoutstrukturen 922 unter einem Zentrum einer entsprechenden Metall-über-Diffusions-Layoutstruktur 612c, 912c des Satzes von Metall-über-Diffusions-Layoutstrukturen 910 angeordnet. In einigen Ausführungsformen ist ein Zentrum der Durchkontaktierungs-Layoutstrukturen 922c, 922a des Satzes von Durchkontaktierungs-Layoutstrukturen 922 mindestens in der ersten Richtung X oder der zweiten Richtung Y auf ein Zentrum einer entsprechenden Metall-über-Diffusions-Layoutstruktur 612c, 912c des Satzes von Metall-über-Diffusions-Layoutstrukturen 910 ausgerichtet.
  • Die Durchkontaktierungs-Layoutstruktur 922d ist ähnlich der Durchkontaktierungs-Layoutstruktur 622b oder 822d, weswegen eine ausführliche Beschreibung weggelassen ist. Die Durchkontaktierungs-Layoutstruktur 922d ist zwischen der leitfähigen Element-Layoutstruktur 920b und der Metall-über-Diffusions-Layoutstruktur 910b angeordnet. In einigen Ausführungsformen ist die Durchkontaktierungs-Layoutstruktur 922d des Satzes von Durchkontaktierungs-Layoutstrukturen 922 dort angeordnet, wo die Layoutstruktur 910b des Satzes von Metall-über-Diffusions-Layoutstrukturen 910 die leitfähige Element-Layoutstruktur 920b des Satzes leitfähiger Element-Layoutstrukturen 920 überlappt. In einigen Ausführungsformen ist ein Zentrum der Durchkontaktierungs-Layoutstruktur 922d des Satzes von Durchkontaktierungs-Layoutstrukturen 922 unter einem Zentrum der Metall-über-Diffusions-Layoutstruktur 910b des Satzes von Metall-über-Diffusions-Layoutstrukturen 910 angeordnet. In einigen Ausführungsformen ist ein Zentrum der Durchkontaktierungs-Layoutstruktur 922d des Satzes von Durchkontaktierungs-Layoutstrukturen 922 mindestens in der ersten Richtung X oder der zweiten Richtung Y auf ein Zentrum der Metall-über-Diffusions-Layoutstruktur 910b des Satzes von Metall-über-Diffusions-Layoutstrukturen 910 ausgerichtet. Andere Anordnungen der Durchkontaktierung-Layoutstrukturen 922a, 922c, 922d des Satzes von Durchkontaktierungs-Layoutstrukturen 922 liegen innerhalb des Umfangs der vorliegenden Offenbarung.
  • 9B ist eine Querschnittsansicht einer integrierten Schaltung 900B entsprechend dem Layoutentwurf 900A geschnitten durch Ebene V-V', 9C ist eine Querschnittsansicht einer integrierten Schaltung 900B entsprechend dem Layoutentwurf 900A geschnitten durch Ebene W-W', 9D ist eine Querschnittsansicht einer integrierte Schaltung 900B entsprechend dem Layoutentwurf 900A geschnitten durch Ebene X-X', und 9E ist eine Querschnittsansicht einer integrierten Schaltung 900B entsprechend dem Layoutentwurf 900A geschnitten durch Ebene Y-Y' im Einklang mit einigen Ausführungsformen. Strukturelle Beziehungen umfassend Ausrichtung, Längen und Breiten, sowie Anordnungen der integrierten Schaltung 900B sind ähnlich den strukturellen Beziehungen und Anordnungen des Layoutentwurfs 900A von 9A und werden der Kürze halber in 9B - 9E nicht beschrieben.
  • Die integrierte Schaltung 900B wird durch Layoutentwurf 900A hergestellt. In einigen Ausführungsformen ist die integrierte Schaltung 900B ähnlich der integrierten Schaltung 400, 600B, 700B oder 800B, weswegen der Kürze halber eine ähnliche ausführliche Beschreibung weggelassen ist.
  • Die integrierte Schaltung 900B weist eine 4-Poly-Teilungsbreite in der ersten Richtung X auf.
  • Die integrierte Schaltung 900B umfasst den Satz aktiver Bereiche 402, Gates 404a, 404d, 904b1', 904b2', 604c und 804e' (zusammen bezeichnet als ein Satz von Gates 904'), Kontakte 410a, 910b', 610c', 612c', 910c' und 912c' (zusammen bezeichnet als Kontakte 910'), den Satz leitfähiger Strukturen 430, die leitfähigen Strukturen 920a', 920b' (zusammen bezeichnet als ein Satz leitfähiger Strukturen 920'), Durchkontaktierungen 922a', 822b', 922c', 922d' (zusammen bezeichnet als ein Satz von Durchkontaktierungen 922'), Durchkontaktierungen 832a', 832b', 832c', 932a' (zusammen bezeichnet als ein Satz von Durchkontaktierungen 932') und Durchkontaktierung 836b'.
  • Im Vergleich zur integrierten Schaltung 800B (8B - 8G), ersetzen die Gates 904b1' and 904b2' Gate 604b, die Kontakte 910b' ersetzen die Kontakte 610a' and 612a', die Kontakte 910c' and 912c' ersetzen den Kontakt 410c, die leitfähigen Strukturen 920a' and 920b' ersetzen die entsprechenden leitfähigen Strukturen 820a' and 820b', die Durchkontaktierungen 922a', 922c' and 922d' ersetzen die entsprechenden Durchkontaktierungen 822a', 822c' and 822d', weswegen eine ähnliche ausführliche Beschreibung der Kürze halber weggelassen ist.
  • Im Vergleich zur integrierten Schaltung 800B (8A - 8G) umfasst die integrierte Schaltung 900B ferner die Durchkontaktierung 932a'. Die Durchkontaktierung 932a' ist ähnlich der Durchkontaktierung 832a, weswegen eine ähnliche ausführliche Beschreibung der Kürze halber weggelassen ist. Die Durchkontaktierung 932a' verbindet die leitfähigen Strukturen 430a elektrisch mit Gate 904b1'.
  • Im Vergleich zur integrierten Schaltung 800B (8B - 8G) umfasst die integrierte Schaltung 900B nicht die Durchkontaktierung 836b'.
  • Der Satz von Durchkontaktierungen 932' verbindet den Satz leitfähiger Strukturen 430 elektrisch mit dem Satz von Gates 904'. Der Satz von Durchkontaktierungen 922' verbindet den Satz leitfähiger Strukturen 920' elektrisch mit dem Satz von Kontakten 910'.
  • In einigen Ausführungsformen entspricht der Anschluss A1 dem Gate 904b1', der Anschluss B2 entspricht dem Gate 904b2' und der Anschluss A2 entspricht dem Gate 604c. In diesen Ausführungsformen ist das Gate 904b1' (hergestellt durch Gate-Layoutstruktur 204b des Layoutentwurfs 900A) aufgrund der Schnittelement-Layoutstruktur 904 des Layoutentwurfs 900A nicht direkt mit dem Gate 904b2' (hergestellt durch Gate-Layoutstruktur 204b des Layoutentwurfs 900A) verbunden. Mit anderen Worten wird in einigen Ausführungsformen die Schnittelement-Layoutstruktur 904 des Layoutentwurfs 900A dazu verwendet, einen entfernten Abschnitt von Gate 604b (in 8F - 8G nicht gezeigt) zu bestimmen, wodurch die nicht durchgängigen Gates 904b1' und 904b2' gebildet werden.
  • In einigen Ausführungsformen entspricht der Anschluss A1 dem Gate 904b1', und der Anschluss A2 entspricht dem Gate 604c. In diesen Ausführungsformen ist das Gate 904b1' (hergestellt durch Gate-Layoutstruktur 204b des Layoutentwurfs 900A) der integrierten Schaltung 900B elektrisch mit dem Gate 604c (hergestellt durch Gate-Layoutstruktur 204c des Layoutentwurfs 900A) verbunden. Zum Beispiel ist in einigen Ausführungsformen Gate 904b1' (hergestellt durch Gate-Layoutstruktur 204b des Layoutentwurfs 900A) über die Durchkontaktierung 932a' (hergestellt durch Durchkontaktierungs-Layoutstruktur 932a) elektrisch mit der leitfähigen Struktur 430a (hergestellt durch die leitfähige Element-Layoutstruktur 330a) verbunden, und die leitfähige Struktur 430a ist über Durchkontaktierung 832b' (hergestellt durch Durchkontaktierungs-Layoutstruktur 832b) elektrisch mit Gate 604c (hergestellt durch Gate-Layoutstruktur 204c des Layoutentwurfs 900A) verbunden.
  • In einigen Ausführungsformen entspricht der Anschluss B1 dem Gate 404d, und der Anschluss B2 entspricht dem Gate 904b2'. In diesen Ausführungsformen ist das Gate 404d (hergestellt durch Gate-Layoutstruktur 204d des Layoutentwurfs 900A) elektrisch mit dem Gate 904b2' (hergestellt durch Gate-Layoutstruktur 204b des Layoutentwurfs 900A) verbunden. Zum Beispiel ist in einigen Ausführungsformen Gate 404d (hergestellt durch Gate-Layoutstruktur 204d des Layoutentwurfs 900A) über die Durchkontaktierung 832c' (hergestellt durch Durchkontaktierungs-Layoutstruktur 832c) elektrisch mit der leitfähigen Struktur 430c (hergestellt durch die leitfähige Element-Layoutstruktur 330c) verbunden, und die leitfähige Struktur 430c ist über Durchkontaktierung 832a' (hergestellt durch Durchkontaktierungs-Layoutstruktur 832a) elektrisch mit Gate 904b2' (hergestellt durch Gate-Layoutstruktur 204b des Layoutentwurfs 900A) verbunden.
  • In einigen Ausführungsformen entspricht der Anschluss A2D dem Kontakt 612c', und der Anschluss A2D' entspricht Kontakt 912c'. In diesen Ausführungsformen ist der Anschluss A2D oder der Kontakt 612c' (hergestellt durch Metall-über-Diffusions-Layoutstruktur 612c des Layoutentwurfs 900A) elektrisch mit Anschluss A2D' oder Kontakt 912c' (herstellt durch Metall-über-Diffusions-Layoutstruktur 912c des Layoutentwurfs 900A) verbunden. Zum Beispiel ist in einigen Ausführungsformen der Kontakt 612c' (hergestellt durch Metall-über-Diffusions-Layoutstruktur 612c) über die Durchkontaktierung 922c' (hergestellt durch Durchkontaktierungs-Layoutstruktur 922c) elektrisch mit der leitfähigen Struktur 920a' (hergestellt durch die leitfähige Element-Layoutstruktur 920a) verbunden. In einigen Ausführungsformen ist die leitfähige Struktur 920a' (hergestellt durch die leitfähige Element-Layoutstruktur 920a) über die Durchkontaktierung 922a' (hergestellt durch Durchkontaktierungs-Layoutstruktur 922a) elektrisch mit Kontakt 912c' (hergestellt durch die Metall-über-Diffusions-Layoutstruktur 912c des Layoutentwurfs 900A) verbunden. Somit ist der Anschluss A2D oder der Kontakt 612c' elektrisch mit dem Anschluss A2D' oder dem Kontakt 912c' verbunden.
  • In einigen Ausführungsformen entspricht der Anschluss A1D dem Kontakt 910b', und der Anschluss A1D' entspricht Kontakt 610c'. In diesen Ausführungsformen ist der Anschluss A1D oder der Kontakt 910b' (hergestellt durch Metall-über-Diffusions-Layoutstruktur 910b des Layoutentwurfs 900A) elektrisch mit Anschluss A1D' oder Kontakt 610c' (herstellt durch Metall-über-Diffusions-Layoutstruktur 610c des Layoutentwurfs 900A) verbunden. Zum Beispiel ist in einigen Ausführungsformen der Kontakt 910b' (hergestellt durch Metall-über-Diffusions-Layoutstruktur 910b) über die Durchkontaktierung 922d' (hergestellt durch Durchkontaktierungs-Layoutstruktur 922d) elektrisch mit der leitfähigen Struktur 920b' (hergestellt durch die leitfähige Element-Layoutstruktur 920b des Layoutentwurfs 900A) verbunden. In einigen Ausführungsformen ist die leitfähige Struktur 920b' über Durchkontaktierung 822b' (hergestellt durch Durchkontaktierungs-Layoutstruktur 822b) elektrisch mit Kontakt 610c' (hergestellt durch Metall-über-Diffusions-Layoutstruktur 610c) verbunden. Somit ist der Anschluss A1D oder der Kontakt 910b' elektrisch mit dem Anschluss A1D' oder dem Kontakt 610c' verbunden.
  • In einigen Ausführungsformen ist der Satz leitfähiger Element-Layoutstrukturen 320, 520, 620, 720, 820 und 920 unterhalb mindestens des Satzes von Gate-Layoutstrukturen 304 und der Metall-o (Mo) Ebene des Layoutentwurfs 300 & 500A - 900A angeordnet.
  • In einigen Ausführungsformen ist durch Verwendung des Satzes leitfähiger Element-Layoutstrukturen 320, 520, 620, 720, 820 and 920, ein Mo-Pfad im Satz leitfähiger Element-Layoutstrukturen 330 von 3 & 5A - 9A reduziert, was eine Standardzelle mit einer geringeren Höhe und einer geringeren Fläche im Vergleich zu anderen Ansätzen ergibt. In einigen Ausführungsformen ist durch Verwendung des Satzes leitfähiger Element-Layoutstrukturen 320, 520, 620, 720, 820 und 920 die Breite einer oder mehrerer Layoutstrukturen im Satz leitfähiger Element-Layoutstrukturen 330 von 3 & 5A - 9A erhöht, da ein Mo-Pfad reduziert ist, was eine Standardzelle mit besserem Stromwiderstand (IR) und besserer Elektromigration (EM) im Vergleich zu anderen Ansätzen ergibt.
  • In einigen Ausführungsformen ist durch Verwenden des Satzes leitfähiger Element-Layoutstrukturen 320, 520, 620, 720, 820 und 920 der vorliegenden Offenbarung die Gatedichte des Satzes von Gate-Layoutstrukturen einer Standardzelle im Vergleich zu anderen Ansätzen um bis zu 30 % erhöht. In einigen Ausführungsformen bieten der Layoutentwurf 200 - 300 und 500A - 900 A und die integrierten Schaltungen 400 und 500B - 900 B von 2 - 3 & 5A - 9E durch Verwenden des Satzes leitfähiger Element-Layoutstrukturen 320, 520, 620, 720, 820 und 920 der vorliegenden Offenbarung mehr Routingflexibilität und erhöhen die Routingressourcen im Vergleich zu anderen Ansätzen.
  • 10 ist ein Ablaufdiagramm eines Verfahrens 1000 zum Bilden einer integrierten Schaltung im Einklang mit einigen Ausführungsformen. Es wird davon ausgegangen, dass zusätzliche Arbeitsgänge vor, während und/oder nach dem Verfahren 1000, das in 10 dargestellt ist, ausgeführt werden können, und dass einige andere Verfahren hierin nur kurz beschrieben sein können. In einigen Ausführungsformen ist das Verfahren 1000 dazu verwendbar, integrierte Schaltungen zu bilden, wie zum Beispiel die integrierte Schaltung 100 (1), die integrierte Schaltung 400 (4A - 4E), die integrierte Schaltung 500B, die integrierte Schaltung 600B, die integrierte Schaltung 700B, die integrierte Schaltung 800B oder dir integrierte Schaltung 900B.
  • Im Arbeitsgang 1002 von Verfahren 1000, wird ein Layoutentwurf 300 (3) einer integrierten Schaltung (z.B. der integrierten Schaltung 100 (1) oder der integrierten Schaltung 400 (4A - 4E)) erzeugt. Arbeitsgang 1002 wird durch eine Verarbeitungsvorrichtung (z.B. Prozessor 1202 (12)), die dazu ausgelegt ist, Anweisungen zum Erzeugen eines Layoutentwurfs (z.B. Layoutentwurf 200 oder 300) auszuführen, ausgeführt. In einigen Ausführungsformen ist der Layoutentwurf 200 oder 300 ein graphisches Datenbanksystem- (GDSII-) Dateiformat. In einigen Ausführungsformen wird der Arbeitsgang 1002 des Verfahrens 1000 dazu verwendet, einen oder mehrere der Layoutentwürfe 200 ( 2), 300 (3), 500A (5) 600A (6) 700A (7) 8ooA (8) oder 900a (9) zu erzeugen.
  • Das Verfahren 1000 wird mit Arbeitsgang 1004 fortgesetzt, wo die integrierte Schaltung (z.B. die integrierte Schaltung 100 (1) oder die integrierte Schaltung 400 (4A - 4E)) basierend auf dem Layoutentwurf 300 (3) hergestellt wird. In einigen Ausführungsformen umfasst der Arbeitsgang 1004 das Herstellen der integrierten Schaltung 500B, 600B, 700B, 800B oder 900B basierend auf dem entsprechenden Layoutentwurf 500A, 600A, 700A, 800A oder 900A. In einigen Ausführungsformen umfasst die integrierte Schaltung 100 (1) oder die integrierte Schaltung 400 (4A - 4E) Gate 404b1, 404b2, 404c1 oder 404c2. In einigen Ausführungsformen umfasst Arbeitsgang 1004 das Herstellen mindestens einer Maske basierend auf einem oder mehreren der Layoutentwürfe 200 (2), 300 (3), 500A ( 5A), 600A (6A), 700A (7A), 800A (8A) oder 900A (9A), und das Herstellen der integrierten Schaltung (z.B. integrierte Schaltung 100 (1), integrierte Schaltung 400 ( 4A - 4E) oder integrierte Schaltung 500B, 600B, 700B, 800B oder 900B) basierend auf der mindestens einen Maske. In einigen Ausführungsformen wird der Arbeitsgang 1004 des Verfahrens 1000 dazu verwendet, eine integrierte Schaltung (z.B. integrierte Schaltung 100 ( 1), integrierte Schaltung 400 (4A - 4E) oder integrierte Schaltung 500B, 600B, 700B, 8ooB oder 900B) herzustellen basierend auf einem oder mehreren der Layoutentwürfe 200 (2), 300 (3), 500A (5A), 600A (6A), 700A (7A), 800A (8A) oder 900A (9A).
  • Das Verfahren 1000 wird mit Arbeitsgang 1006 fortgesetzt, wo ein Abschnitt eines Gates (z.B. Gate A1, A2, B1 oder B2) entfernt wird, wodurch eine erste Gatestruktur (z.B. Gate 404b1 oder 404c1) und eine zweite Gatestruktur (z.B. Gate 404b2 oder 404c2) der integrierten Schaltung 100, 400, 500B, 600B, 700B, 800B oder 900B gebildet wird. In einigen Ausführungsformen wird der Abschnitt des Gates (z.B. Gate A1, A2, B1 oder B2), der im Arbeitsgang 1006 entfernt wird, in den Layoutentwürfen 300, 500A und 900A durch die Schnittelement-Layoutstruktur 304a oder 304b (3 und 5A) oder die Schnittelement-Layoutstruktur 904 (9A) bestimmt. In einigen Ausführungsformen wird der Arbeitsgang 1006 des Verfahrens 1000 als ein Polyschnittprozess (CPO) bezeichnet. In einigen Ausführungsformen führt der Arbeitsgang 1006 zur Bildung der integrierten Schaltung 100 (1), 400 (4A - 4E), 500B, 600B, 700B, 800B oder 900B. In einigen Ausführungsformen wird der entfernte Gateabschnitt der Gates 404b1 und 404b2 und der Gates 404c1 und 404c2 als ein Schnittabschnitt bezeichnet.
  • In einigen Ausführungsformen wird der Arbeitsgang 1006 durch einen Entfernungsvorgang ausgeführt. In einigen Ausführungsformen weist der Entfernungsvorgang einen oder mehrere Ätzvorgänge auf, die dazu geeignet sind, einen Abschnitt des Gates (z.B. Gate A1, A2, B1 oder B2) zu entfernen. In einigen Ausführungsformen umfasst der Ätzvorgang von Arbeitsgang 1006 das Bestimmen eines Abschnitts des Gates (z.B. Gate A1, A2, B1 oder B2), der zu entfernen ist, und Ätzen des Abschnitts des Gates (z.B. Gate A1, A2, B1 oder B2), der zu entfernen ist. In einigen Ausführungsformen wird eine Maske verwendet, um Abschnitte des Gates (z.B. Gate A1, A2, B1 oder B2), die zu schneiden oder zu entfernen sind, festzulegen. In einigen Ausführungsformen ist die Maske eine Hartmaske. In einigen Ausführungsformen ist die Maske eine Softmaske. In einigen Ausführungsformen entspricht das Ätzen Plasmaätzen, reaktivem Ionenätzen, chemischem Ätzen, Trockenätzen, Nassätzen, anderen geeigneten Verfahren, irgendeiner Kombination davon oder dergleichen.
  • In einigen Ausführungsformen wird das Verfahren 1000 mit anderen Layoutentwürfen als Layoutentwurf 200 oder 300 verwendet. In einigen Ausführungsformen wird der Layoutentwurf 200 (2) oder 300 (3) von Arbeitsgang 1004 oder 1006 zum Beispiel durch einen oder mehreren der Layoutentwürfe 500A (5A), 600A (6A), 700A (7A), 800A (8A) oder 900A (9A) ersetzt.
  • In einigen Ausführungsformen wird der Arbeitsgang 1006 nicht ausgeführt. Zum Beispiel wird in einigen Ausführungsformen der Arbeitsvorgang 1006 nicht ausgeführt, um die integrierte Schaltung 100 oder 400 herzustellen. In einigen Ausführungsformen wird das Verfahren 1000 ausgeführt, um eine integrierte Schaltung 600B, 700B oder 800B basierend auf einem oder mehreren der Layoutentwürfe 600A, 700A oder 800A herzustellen, und der Arbeitsgang 1006 wird an der hergestellten integrierten Schaltung nicht ausgeführt.
  • 11A - 11B ist ein Ablaufdiagramm eines Verfahrens 1100 zum Erzeugen eines Lauoutentwurfs einer integrierten Schaltung im Einklang mit einigen Ausführungsformen. Es wird davon ausgegangen, dass zusätzliche Arbeitsgänge vor, während und/oder nach dem Verfahren 1100, das in 11A - 11B dargestellt ist, vorgenommen werden können, und dass einige andere Verfahren hierin nur kurz beschrieben sein können. In einigen Ausführungsformen ist das Verfahren 1100 dazu verwendbar, einen oder mehrere Layoutentwürfe, wie zum Beispiel Layoutentwurf 200 (2), Layoutentwurf 300 (3), Layoutentwurf 500A (5A), Layoutentwurf 600A (6A), Layoutentwurf 700A (7A), Layoutentwurf 8ooA (8A) oder Layoutentwurf 900A (9A) einer integrierten Schaltung, wie zum Beispiel der integrierten Schaltung 100 (1), der integrierten Schaltung 400 (4A - 4E) oder der integrierten Schaltung 500B, 600B, 700B, 800B oder 900B, zu erzeugen. In einigen Ausführungsformen ist das Verfahren 1100 dazu verwendbar, integrierte Schaltungen zu bilden, die ähnliche strukturelle Zusammenhänge aufweisen, wie einer oder mehrere der Layoutentwürfe 200 - 300 oder 500A - 900A (2 - 3 oder 5A - 9A). In einigen Ausführungsformen wird das Verfahren 1100 ausgeführt durch eine Bearbeitungsvorrichtung (z.B. Prozessor 1202 (12)), die dafür ausgelegt ist, Anweisungen zum Erzeugen des Layoutentwurfs 200 (2), des Layoutentwurfs 300 (3), des Layoutentwurfs 500A ( 5A), des Layoutentwurfs 600A (6A), des Layoutentwurfs 700A (7A), des Layoutentwurfs 800A (8A) oder des Layoutentwurfs 900A (9A) zu erzeugen.
  • In Arbeitsgang 1102 des Verfahrens 1100 wird ein Satz aktiver Bereichs-Layoutstrukturen erzeugt. In einigen Ausführungsformen umfasst der Satz aktiver Bereichs-Layoutstrukturen des Verfahrens 1100 eine oder mehrere Strukturen des Satzes aktiver Bereichs-Layoutstrukturen 202 von 2 - 3 und 5A - 9A, weswegen eine ausführliche Beschreibung dieser Layoutstrukturen weggelassen ist.
  • Im Arbeitsgang 1104 des Verfahrens 1100 wird der Satz aktiver Bereichs-Layoutstrukturen in der ersten Layoutebene von Layoutentwurf 200, 300 oder 500A - 900A platziert. In einigen Ausführungsformen entspricht die erste Layoutebene der OD-Layoutebene.
  • In Arbeitsgang 1106 des Verfahrens 1100 wird ein Satz von Finnen-Layoutstrukturen erzeugt. In einigen Ausführungsformen umfasst der Satz von Finnen-Layoutstrukturen des Verfahrens 1100 eine oder mehrere Strukturen des Satzes von Finnen-Layoutstrukturen 316 von 3, weswegen eine ausführliche Beschreibung dieser Layoutstrukturen weggelassen ist.
  • Im Arbeitsgang 1108 des Verfahrens 1100 wird der Satz von Finnen-Layoutstrukturen in einer zweiten Layoutebene von Layoutentwurf 200, 300 oder 500A - 900A platziert. In einigen Ausführungsformen entspricht die zweite Layoutebene der FINNEN-Layoutebene. In einigen Ausführungsformen ist die zweite Layoutebene dieselbe wie die erste Layoutebene. In einigen Ausführungsformen unterscheidet sich die zweite Layoutebene von der ersten Layoutebene. In einigen Ausführungsformen ist die zweite Layoutebene über der ersten Layoutebene angeordnet. In einigen Ausführungsformen ist die zweite Layoutebene unter der ersten Layoutebene angeordnet.
  • In Arbeitsgang 1110 des Verfahrens 1100 wird ein Satz leitfähiger Element-Layoutstrukturen erzeugt. In einigen Ausführungsformen umfasst der Satz leitfähiger Element-Layoutstrukturen des Verfahrens 1100 eine oder mehrere Strukturen des Satzes leitfähiger Element-Layoutstrukturen 320, 520, 620, 720, 820 oder 920 von 3 & 5A - 9A, weswegen eine ausführliche Beschreibung dieser Layoutstrukturen weggelassen ist.
  • Im Arbeitsgang 1112 des Verfahrens 1100 wird der erste Satz leitfähiger Element-Layoutstrukturen in einem ersten Abschnitt der ersten Layoutebene von Layoutentwurf 200, 300 oder 500A - 900A platziert. In einigen Ausführungsformen entspricht der erste Abschnitt der ersten Layoutebene der MU-Layoutebene.
  • In Arbeitsgang 1114 des Verfahrens 1100 wird ein Satz von Gate-Layoutstrukturen erzeugt. In einigen Ausführungsformen umfasst der Satz von Gate-Layoutstrukturen des Verfahrens 1100 eine oder mehrere Strukturen des Satzes von Gate-Layoutstrukturen 205 von 2, des Satzes von Gate-Layoutstrukturen 206 von 2, des Satzes von Gate-Layoutstrukturen 204 von 3 & 5A - 7A und des Satzes von Gate-Layoutstrukturen 804 von 8A - 9A, weswegen eine ausführliche Beschreibung dieser Layoutstrukturen weggelassen ist.
  • Im Arbeitsgang 1116 des Verfahrens 1100 wird der Satz von Gate-Layoutstrukturen in einer dritten Layoutebene von Layoutentwurf 200, 300 oder 500A - 900A platziert. In einigen Ausführungsformen entspricht die dritte Layoutebene der Poly- oder MD-Layoutebene. In einigen Ausführungsformen unterscheidet sich die dritte Layoutebene von der ersten Layoutebene und der zweiten Layoutebene. In einigen Ausführungsformen ist die dritte Layoutebene über einer oder mehreren der ersten oder zweiten Layoutebene angeordnet. In einigen Ausführungsformen ist die dritte Layoutebene unter einer oder mehreren der ersten oder zweiten Layoutebene angeordnet.
  • In Arbeitsgang 1118 des Verfahrens 1100 wird ein Satz von Durchkontaktierungs-Layoutstrukturen erzeugt. In einigen Ausführungsformen umfasst der erste Satz von Durchkontaktierungs-Layoutstrukturen des Verfahrens 1100 eine oder mehrere Strukturen des Satzes von Durchkontaktierungs-Layoutstrukturen 322, 522, 622, 822, 922 von 3 & 5A - 9A, weswegen eine ausführliche Beschreibung dieser Layoutstrukturen weggelassen ist.
  • Im Arbeitsgang 1120 des Verfahrens 1100 wird der erste Satz von Durchkontaktierungs-Layoutstrukturen zwischen der ersten Layoutebene und der dritten Layoutebene von Layoutentwurf 200, 300 oder 500A - 900A platziert. In einigen Ausführungsformen wird der erste Satz von Durchkontaktierungs-Layoutstrukturen in der VU-Layoutebene von Layoutentwurf 200, 300 oder 500A - 900A platziert. In einigen Ausführungsformen wird der erste Satz von Durchkontaktierungs-Layoutstrukturen zwischen dem Satz von Gate-Layoutstrukturen und dem ersten Satz leitfähiger Element-Layoutstrukturen platziert.
  • In Arbeitsgang 1122 des Verfahrens 1100 wird ein Satz von Metall-über-Diffusions-Layoutstrukturen erzeugt. In einigen Ausführungsformen umfasst der Satz von Metall-über-Diffusions-Layoutstrukturen des Verfahrens 1100 eine oder mehrere Layoutstrukturen des Satzes von Metall-über-Diffusions-Layoutstrukturen 210, 610, 612, 810 oder 910 von 2 - 3 & 5A - 9A, weswegen eine ausführliche Beschreibung dieser Layoutstrukturen weggelassen ist.
  • Im Arbeitsgang 1124 des Verfahrens 1100 wird der Satz von Metall-über-Diffusions-Layoutstrukturen in der dritten Layoutebene von Layoutentwurf 200, 300 oder 500A - 900A platziert. In einigen Ausführungsformen entspricht die dritte Layoutebene der MD-Layoutebene.
  • In Arbeitsgang 1126 des Verfahrens 1100 wird ein zweiter Satz von Durchkontaktierungs-Layoutstrukturen erzeugt. In einigen Ausführungsformen umfasst der zweite Satz von Durchkontaktierungs-Layoutstrukturen des Verfahrens 1100 eine oder mehrere Strukturen des Satzes von Durchkontaktierungs-Layoutstrukturen 322, 522, 622, 822, 922 von 3 & 5A - 9A, weswegen eine ausführliche Beschreibung dieser Layoutstrukturen weggelassen ist.
  • Im Arbeitsgang 1128 des Verfahrens 1100 wird der zweite Satz von Durchkontaktierungs-Layoutstrukturen in der dritten Layoutebene und der ersten Layoutebene von Layoutentwurf 200, 300 oder 500A - 900A platziert. In einigen Ausführungsformen wird der zweite Satz von Durchkontaktierungs-Layoutstrukturen in der VU-Layoutebene von Layoutentwurf 200, 300 oder 500A - 900A platziert. In einigen Ausführungsformen wird der zweite Satz von Durchkontaktierungs-Layoutstrukturen zwischen dem Satz von Metall-über-Diffusions-Layoutstrukturen und dem ersten Satz leitfähiger Element-Layoutstrukturen platziert.
  • In Arbeitsgang 1130 des Verfahrens 1100 wird ein zweiter Satz leitfähiger Element-Layoutstrukturen erzeugt. In einigen Ausführungsformen umfasst der zweite Satz leitfähiger Element-Layoutstrukturen des Verfahrens 1100 eine oder mehrere Strukturen des Satzes leitfähiger Element-Layoutstrukturen 330 von 3 & 5A - 9A, oder leitfähiger Element-Layoutstrukturen 630b, 630b' von 3 & 5A - 9A, weswegen eine ausführliche Beschreibung dieser Layoutstrukturen weggelassen ist.
  • Im Arbeitsgang 1132 des Verfahrens 1100 wird der zweite Satz leitfähiger Element-Layoutstrukturen in einer vierten Layoutebene von Layoutentwurf 200, 300 oder 500A - 900A platziert. In einigen Ausführungsformen unterscheidet sich die vierte Layoutebene von der ersten Layoutebene, der zweiten Layoutebene und der dritten Layoutebene. In einigen Ausführungsformen ist die vierte Layoutebene über einer oder mehreren der ersten, zweiten oder dritten Layoutebene angeordnet. In einigen Ausführungsformen ist die vierte Layoutebene unter einer oder mehreren der ersten, zweiten oder dritten Layoutebene angeordnet. In einigen Ausführungsformen entspricht die vierte Layoutebene der Mo-Layoutebene.
  • In Arbeitsgang 1134 des Verfahrens 1100 wird ein dritter Satz von Durchkontaktierungs-Layoutstrukturen erzeugt. In einigen Ausführungsformen umfasst der dritte Satz von Durchkontaktierungs-Layoutstrukturen des Verfahrens 1100 eine oder mehrere Strukturen des Satzes von Durchkontaktierungs-Layoutstrukturen 332, 632, 832, 932 von 3 & 5A - 9A, weswegen eine ausführliche Beschreibung dieser Layoutstrukturen weggelassen ist.
  • Im Arbeitsgang 1136 des Verfahrens 1100 wird der dritte Satz von Durchkontaktierungs-Layoutstrukturen zwischen der dritten Layoutebene und der vierten Layoutebene von Layoutentwurf 200, 300 oder 500A - 900A platziert. In einigen Ausführungsformen wird der dritte Satz von Durchkontaktierungs-Layoutstrukturen in der VG-Layoutebene von Layoutentwurf 200, 300 oder 500A - 900A platziert. In einigen Ausführungsformen wird der dritte Satz von Durchkontaktierungs-Layoutstrukturen zwischen dem Satz von Gate-Layoutstrukturen und dem zweiten Satz leitfähiger Element-Layoutstrukturen platziert.
  • In Arbeitsgang 1138 des Verfahrens 1100 wird ein vierter Satz von Durchkontaktierungs-Layoutstrukturen erzeugt. In einigen Ausführungsformen umfasst der vierte Satz von Durchkontaktierungs-Layoutstrukturen des Verfahrens 1100 eine oder mehrere Strukturen des Satzes von Durchkontaktierungs-Layoutstrukturen 636, 836 von 3 & 5A - 9A, weswegen eine ausführliche Beschreibung dieser Layoutstrukturen weggelassen ist.
  • Im Arbeitsgang 1140 des Verfahrens 1100 wird der vierte Satz von Durchkontaktierungs-Layoutstrukturen zwischen der dritten Layoutebene und der vierten Layoutebene von Layoutentwurf 200, 300 oder 500A - 900A platziert. In einigen Ausführungsformen wird der vierte Satz von Durchkontaktierungs-Layoutstrukturen in der VD-Layoutebene von Layoutentwurf 200, 300 oder 500A - 900A platziert. In einigen Ausführungsformen wird der vierte Satz von Durchkontaktierungs-Layoutstrukturen zwischen dem Satz von Metall-über-Diffusions-Layoutstrukturen und dem zweiten Satz leitfähiger Element-Layoutstrukturen platziert.
  • In Arbeitsgang 1142 des Verfahrens 1100 wird ein dritter Satz leitfähiger Element-Layoutstrukturen erzeugt. In einigen Ausführungsformen umfasst der dritte Satz leitfähiger Element-Layoutstrukturen des Verfahrens 1100 eine oder mehrere Strukturen des Satzes leitfähiger Element-Layoutstrukturen 340, 640 von 3 & 5A - 9A, weswegen eine ausführliche Beschreibung dieser Layoutstrukturen weggelassen ist.
  • Im Arbeitsgang 1144 des Verfahrens 1100 wird der dritte Satz leitfähiger Element-Layoutstrukturen in einer fünften Layoutebene von Layoutentwurf 200, 300 oder 500A - 900A platziert. In einigen Ausführungsformen unterscheidet sich die fünfte Layoutebene von der ersten Layoutebene, der zweiten Layoutebene, der dritten Layoutebene und der vierten Layoutebene. In einigen Ausführungsformen ist die fünfte Layoutebene über einer oder mehreren der ersten, zweiten, dritten oder vierten Layoutebene angeordnet. In einigen Ausführungsformen ist die fünfte Layoutebene unter einer oder mehreren der ersten, zweiten, dritten oder vierten Layoutebene angeordnet. In einigen Ausführungsformen entspricht die fünfte Layoutebene der M1-Layoutebene.
  • In Arbeitsgang 1146 des Verfahrens 1100 wird ein fünfter Satz von Durchkontaktierungs-Layoutstrukturen erzeugt. In einigen Ausführungsformen umfasst der fünfte Satz von Durchkontaktierungs-Layoutstrukturen des Verfahrens 1100 eine oder mehrere Strukturen des Satzes von Durchkontaktierungs-Layoutstrukturen 342, 642 von 3 & 5A - 9A, weswegen eine ausführliche Beschreibung dieser Layoutstrukturen weggelassen ist.
  • Im Arbeitsgang 1148 des Verfahrens 1100 wird der fünfte Satz von Durchkontaktierungs-Layoutstrukturen zwischen der vierten Layoutebene und der fünften Layoutebene von Layoutentwurf 200, 300 oder 500A - 900A platziert. In einigen Ausführungsformen wird der fünfte Satz von Durchkontaktierungs-Layoutstrukturen in der Vo-Layoutebene von Layoutentwurf 200, 300 oder 500A - 900A platziert. In einigen Ausführungsformen wird der fünfte Satz von Durchkontaktierungs-Layoutstrukturen zwischen dem ersten Satz leitfähiger Layoutstrukturen und dem zweiten Satz leitfähiger Element-Layoutstrukturen platziert.
  • In Arbeitsgang 1150 des Verfahrens 1100 wird eine Schnittelement-Layoutstruktur erzeugt. In einigen Ausführungsformen umfasst die Schnittelement-Layoutstruktur des Verfahrens 1100 die Schnittelement-Layoutstruktur 304a oder 304b (3 und 5A), oder die Schnittelement-Layoutstruktur 904 (9A), weswegen eine ausführliche Beschreibung dieser Layoutstrukturen weggelassen ist.
  • Im Arbeitsgang 1152 des Verfahrens 1100 wird die Schnittelement-Layoutstruktur in einer oder mehreren der dritten, vierten oder fünften Layoutebene von Layoutentwurf 200, 300 oder 500A - 900A platziert.
  • In einigen Ausführungsformen wird/werden einer oder mehrere der Arbeitsgänge des Verfahrens 1100 nicht ausgeführt. Einer oder mehrere der Arbeitsgänge der Verfahren 1000 - 1100 wird durch eine Bearbeitungsvorrichtung ausgeführt, die dazu ausgelegt ist, Befehle zum Herstellen einer integrierten Schaltung, wie zum Beispiel der integrierten Schaltung 100, 400, 500B, 600B, 700B, 800B oder 900B, auszuführen. In einigen Ausführungsformen wird einer oder mehrere der Arbeitsgänge der Verfahren 1000-1100 unter Verwendung derselben Bearbeitungsvorrichtung ausgeführt, die in einem oder mehreren anderen Arbeitsgängen der Verfahren 1000-1100 verwendet werden. In einigen Ausführungsformen wird eine andere Bearbeitungsvorrichtung als jene, die verwendet wird, um einen oder mehrere andere Arbeitsvorgänge der Verfahren 1000 - 1100 auszuführen, zum Ausführen eines oder mehrerer Arbeitsgänge der Verfahren 1000 - 1100 verwendet.
  • 12 ist eine schematische Ansicht eines Systems 1200 zum Entwerfen eines IC-Lauoutentwurfs im Einklang mit einigen Ausführungsformen. In einigen Ausführungsformen erzeugt oder platziert das System 1200 einen oder mehrere der hierin beschriebenen IC-Layoutentwürfe. Das System 1200 umfasst einen Hardwareprozessor 1202 und ein nichtflüchtiges, computerlesbares Speichermedium 1204, in welchem der Computerprogrammkode 1206, d.h. ein Satz ausführbarer Anweisungen, einkodiert, d.h. gespeichert, ist. Das computerlesbare Speichermedium 1204 ist dazu ausgelegt, eine Schnittstelle zu Fertigungsmaschinen zum Produzieren der integrierten Schaltung zu bilden. Der Prozessor 1202 ist über einen Bus 1208 elektrisch mit dem computerlesbaren Speichermedium 1204 verbunden. Der Prozessor 1202 ist durch den Bus 1208 auch mit einer Eingabe/Ausgabe-Schnittstelle elektrisch verbunden. Eine Netzwerkschnittstelle 1212 ist über den Bus 1208 ebenfalls mit dem Prozessor 1202 verbunden. Die Netzwerkschnittstelle 1212 ist mit einem Netzwerk 1214 verbunden, sodass der Prozessor 1202 und das computerlesbare Speichermedium 1204 imstande sind, externe Elemente über das Netzwerk 1214 zu verbinden. Der Prozessor 1202 ist dazu ausgelebt, den Computerprogrammkode 1206, der im computerlesbaren Speichermedium 1204 einkodiert ist, auszuführen, um zu bewirken, dass das System 1200 dazu verwendbar ist, einen Abschnitt oder sämtliche Arbeitsgänge auszuführen, wie in Verfahren 1000 oder 1100 beschrieben.
  • In einigen Ausführungsformen ist der Prozessor 1202 eine zentrale Recheneinheit (CPU), ein Multiprozessor, ein verteiltes Verarbeitungssystem, eine anwendungsspezifische integrierte Schaltung (ASIC), und/oder eine geeignete Verarbeitungseinheit.
  • In einigen Ausführungsformen ist das computerlesbare Speichermedium 1204 ein elektronisches, magnetisches, optisches, elektromagnetisches, Infrarot- und/oder Halbleitersystem (oder eine entsprechende Einrichtung oder Vorrichtung). Zum Beispiel umfasst das computerlesbare Speichermedium 1204 einen Halbleiter- oder Festkörperspeicher, ein Magnetband, eine entfernbare Computerdiskette, einen Direktzugriffsspeicher (RAM), einen Festwertspeicher (ROM), eine magnetische Festplatte und/oder eine optische Platte. In einigen Ausführungsformen, die optische Platten verwenden, umfasst das computerlesbare Speichermedium 1204 einen Kompaktdisk-Festwertspeicher (CD-ROM), eine Kompaktdisk zum Lesen/Schreiben (CD-R/W) und/oder eine digitale Videodisk (DVD).
  • In einigen Ausführungsformen speichert das Speichermedium 1204 den Computerprogrammkode 1206, der dazu ausgelegt ist, zu bewirken, dass das System 1200 das Verfahren 1000 oder 1100 ausführt. In einigen Ausführungsformen speichert das Speichermedium 1204 auch Informationen, die notwendig sind, die Verfahren 1000 oder 1100 auszuführen, sowie Informationen, die während der Ausführung des Verfahrens 1000 oder 1100 erzeugt werden, wie zum Beispiel Layoutentwurf 1216 und Bedienerschnittstelle 1218, und/oder einen Satz ausführbarer Anweisungen zum Ausführen der Arbeitsgänge der Verfahren 1000 oder 1100. In einigen Ausführungsformen umfasst der Layoutentwurf 1216 einen oder mehrere der Layoutentwürfe 200-300 oder 500A - 900A. In einigen Ausführungsformen umfasst der Layoutentwurf 1216 eine oder mehrere Layoutstrukturen der Layoutentwürfe 200-300 oder 500A-900A.
  • In einigen Ausführungsformen speichert das Speichermedium 1204 Anweisungen (z.B. Computerprogrammkode 1206) zum Bilden einer Schnittstelle zu Fertigungsmaschinen. Die Anweisungen (z.B. Computerprogrammkode 1206) ermöglichen dem Prozessor 1202 Fertigungsanweisungen zu erzeugen, die für die Fertigungsmaschinen lesbar sind, um das Verfahren 1000 oder 1000 während eines Herstellungsvorgangs wirksam umzusetzen.
  • Das System 1200 umfasst eine Eingabe/Ausgabe-Schnittstelle 1210. Die Eingabe/Ausgabe-Schnittstelle 1210 ist mit einer externen Schaltung verbunden. In einigen Ausführungsformen umfasst die Eingabe/Ausgabe-Schnittstelle 1210 eine Tastatur, ein Tastenfeld, eine Maus, eine Steuerkugel, ein Tastfeld und/oder Cursorrichtungstasten zum Übermitteln von Informationen und Befehlen zum Prozessor 1202.
  • Ferner umfasst das System 1200 eine Netzwerkschnittstelle 1212, die mit dem Prozessor 1202 verbunden ist. Die Netzwerkschnittstelle 1212 ermöglicht dem System 1200, mit dem Netzwerk 1214 zu kommunizieren, mit welchem ein oder mehrere andere Computersysteme verbunden sind. Die Netzwerkschnittstelle 1212 umfasst drahtlose Netzwerkschnittstellen wie BLUETOOTH, WIFI, WIMAX, GPRS oder WCDMA; oder verdrahtete Netzwerkschnittstellen wie ETHERNET, USB oder IEEE-1394. In einigen Ausführungsformen ist das Verfahren 1000 oder 1100 in zwei oder mehr Systemen 1200 umgesetzt, und Informationen, wie zum Beispiel Layoutentwurf, sowie die Bedienerschnittstelle werden durch das Netzwerk 1214 zwischen verschiedenen Systemen 1200 ausgetauscht.
  • Das System 1200 ist dafür ausgelegt, Informationen in Zusammenhang mit einem Layoutentwurf durch die Eingabe/Ausgabe-Schnittstelle 1210 oder die Netzwerkschnittstelle 1212 zu empfangen. Die Informationen werden durch den Bus 1208 zum Prozessor 1202 übertragen, um einen Layoutentwurf zum Produzieren einer integrierten Schaltung, zum Beispiel, der integrierten Schaltung 100, 400, 500B, 600B, 700B, 800B oder 900B, festzulegen. Der Layoutentwurf wird dann im computerlesbaren Medium 1204 als Layoutentwurf 1216 gespeichert. Das System 1200 ist dafür ausgelegt, Informationen in Zusammenhang mit einer Bedienerschnittstelle über die Eingabe/Ausgabe-Schnittstelle 1210 oder die Netzwerkschnittstelle 1212 zu empfangen. Die Informationen werden im computerlesbaren Medium 1204 als Bedienerschnittstelle 1218 gespeichert.
  • In einigen Ausführungsformen ist das Verfahren 1000 oder 1100 als eine eigenständige Softwareanwendung zur Ausführung durch einen Prozessor umgesetzt. In einigen Ausführungsformen ist das Verfahren 1000 oder 1100 als eine Softwareanwendung umgesetzt, die einen Teil einer zusätzlichen Softwareanwendung bildet. In einigen Ausführungsformen ist das Verfahren 1000 oder 1100 als eine Programmerweiterung (Plug-in) einer Softwareanwendung umgesetzt. In einigen Ausführungsformen ist das Verfahren 1000 oder 1100 als eine Softwareanwendung umgesetzt, die einen Abschnitt eines EDA-Tools (elektronische Entwurfsautomatisierung) darstellt. In einigen Ausführungsformen ist das Verfahren 1000 oder 1100 als eine Softwareanwendung umgesetzt, die von einem EDA-Tool (elektronische Entwurfsautomatisierung) verwendet wird. In einigen Ausführungsformen wird das EDA-Tool dazu verwendet, einen Entwurf der integrierten Schaltungsvorrichtung zu erzeugen. In einigen Ausführungsformen ist das Layout auf einem nichtflüchtigen computerlesbaren Medium gespeichert. In einigen Ausführungsformen wird das Layout unter Verwendung eines Tools, wie zum Beispiel dem von CADENCE DESIGN SYSTMS, Inc. erhältlichen VIRTUOSO®, oder einem anderen geeigneten Layout-Erstellungstool erstellt. In einigen Ausführungsformen wird das Layout basierend auf einer Netzliste erstellt, die basierend auf dem schematischen Aufbau geschaffen wird. In einigen Ausführungsformen ist das Verfahren 1000 oder 1100 durch eine Fertigungsvorrichtung zum Herstellen einer integrierten Schaltung (z.B. der integrierten Schaltung 100, 400, 500B, 600B, 700B, 800B oder 900B) unter Verwendung eines Satzes von Masken, die durch das System 1200 basierend auf einem oder mehreren Layoutentwürfen (z.B. Layoutentwurf 200 - 300 oder 500A - 900A) erzeugt werden, umgesetzt. Das System 1200 von 12 erstellt Layoutentwürfe (z.B. Layoutentwurf 200 - 300 oder 500A - 900A) einer integrierten Schaltung (z.B. der integrierten Schaltung 100, 400, 500B, 600B, 700B, 800B oder 900B), die kleiner sind, als andere Ansätze.
  • Ein Aspekt dieser Beschreibung betrifft eine integrierte Schaltung umfassend einen Satz aktiver Bereiche in einem Substrat, einen ersten Satz leitfähiger Strukturen, einen Grabenisolationsbereich (STI), einen Satz von Gates und einen ersten Satz von Durchkontaktierungen. Der Satz aktiver Bereiche, die sich in einer ersten Richtung erstrecken, ist in einer ersten Ebene angeordnet, und in einer zweiten Richtung, die sich von der ersten Richtung unterscheidet, voneinander getrennt. Der erste Satz leitfähiger Strukturen, die sich mindestens in der ersten Richtung oder der zweiten Richtung erstrecken, ist in der ersten Ebene und zwischen dem Satz aktiver Bereiche angeordnet. Der ST-Bereich, der sich mindestens in der ersten Richtung oder der zweiten Richtung erstreckt, ist mindestens in der ersten Ebene und zwischen dem Satz aktiver Bereiche und dem ersten Satz leitfähiger Strukturen angeordnet. Der Satz von Gates erstreckt sich in der zweiten Richtung, überlappt mindestens den ersten Satz leitfähiger Strukturen und ist in einer zweiten Ebene angeordnet, die sich von der ersten Ebene unterscheidet, wobei jedes der Gates des Satzes von Gates von einem benachbarten Gate des Satzes von Gates in der ersten Richtung durch eine erste Teilung getrennt ist. Der erste Satz von Durchkontaktierungen verbindet den ersten Satz leitfähiger Strukturen mit dem Satz von Gates, wobei der erste Satz von Durchkontaktierungen zwischen dem ersten Satz leitfähiger Strukturen und dem Satz von Gates angeordnet ist, und eine Durchkontaktierung des ersten Satzes von Durchkontaktierungen dort angeordnet ist, wo ein Gate des Satzes von Gates eine leitfähige Struktur des ersten Satzes leitfähiger Strukturen überlappt. In einigen Ausführungsformen erstreckt sich ein Satz von Kontakten in der zweiten Richtung, überlappt den ersten Satz leitfähiger Strukturen und ist in der zweiten Ebene angeordnet, wobei jeder der Kontakte des Satzes von Kontakten von einem benachbarten Kontakt des Satzes von Kontakten in der ersten Richtung getrennt ist; und ein zweiter Satz von Durchkontaktierungen verbindet den ersten Satz leitfähiger Strukturen mit dem Satz von Kontakten, wobei der zweite Satz von Durchkontaktierungen zwischen dem ersten Satz leitfähiger Strukturen und dem Satz von Kontakten angeordnet ist, und eine Durchkontaktierung des zweiten Satzes von Durchkontaktierungen dort angeordnet ist, wo ein Kontakt des Satzes von Kontakten die leitfähige Struktur des ersten Satzes leitfähiger Strukturen überlappt. In einigen Ausführungsformen erstreckt sich ein zweiter Satz leitfähiger Strukturen in der ersten Richtung, ist zwischen dem Satz aktiver Bereiche angeordnet, überlappt mindestens den Satz von Gates, und ist in einer dritten Ebene angeordnet, die sich von der ersten Ebene und der zweiten Ebene unterscheidet, und jede der leitfähigen Strukturen des zweiten Satzes leitfähiger Strukturen ist von einer benachbarten leitfähigen Struktur des zweiten Satzes leitfähiger Strukturen in der zweiten Richtung getrennt; und ein dritter Satz von Durchkontaktierungen verbindet den zweiten Satz leitfähiger Strukturen mit dem Satz von Kontakten, wobei der dritte Satz von Durchkontaktierungen zwischen dem zweiten Satz leitfähiger Strukturen und dem Satz von Kontakten angeordnet ist, und eine Durchkontaktierung des dritten Satzes von Durchkontaktierungen dort angeordnet ist, wo eine leitfähige Struktur des zweiten Satzes leitfähiger Strukturen einen anderen Kontakt des Satzes von Kontakten überlappt. In einigen Ausführungsformen erstreckt sich ein zweiter Satz leitfähiger Strukturen in der ersten Richtung, ist zwischen dem Satz aktiver Bereiche angeordnet, überlappt mindestens den Satz von Gates, und ist in einer dritten Ebene angeordnet, die sich von der ersten Ebene und der zweiten Ebene unterscheidet, und jede der leitfähigen Strukturen des zweiten Satzes leitfähiger Strukturen ist von einer benachbarten leitfähigen Struktur des zweiten Satzes leitfähiger Strukturen in der zweiten Richtung getrennt; und ein dritter Satz von Durchkontaktierungen verbindet den zweiten Satz leitfähiger Strukturen mit dem Satz von Gates, wobei der dritte Satz von Durchkontaktierungen zwischen dem zweiten Satz leitfähiger Strukturen und dem Satz von Gates angeordnet ist, und eine Durchkontaktierung des dritten Satzes von Durchkontaktierungen dort angeordnet ist, wo eine leitfähige Struktur des zweiten Satzes leitfähiger Strukturen ein anderes Gate des Satzes von Gates überlappt. In einigen Ausführungsformen erstreckt sich ein dritter Satz leitfähiger Strukturen in der zweiten Richtung, ist zwischen dem Satz von Gates angeordnet, überlappt mindestens den ersten Satz leitfähiger Strukturen, und ist in einer vierten Ebene angeordnet, die sich von der ersten Ebene, der zweiten Ebene und der dritten Ebene unterscheidet, und jede der Strukturen des vierten Satzes leitfähiger Strukturen ist von einer benachbarten Struktur des vierten Satzes leitfähiger Strukturen in der ersten Richtung getrennt; und ein vierter Satz von Durchkontaktierungen verbindet den dritten Satz leitfähiger Strukturen mit dem zweiten Satz leitfähiger Strukturen, der vierte Satz von Durchkontaktierungen ist zwischen dem dritten Satz leitfähiger Strukturen und dem zweiten Satz leitfähiger Strukturen angeordnet, und eine Durchkontaktierung des vierten Satzes von Durchkontaktierungen ist dort angeordnet, wo eine Struktur des dritten Satzes leitfähiger Strukturen eine andere Struktur des zweiten Satzes leitfähiger Strukturen überlappt. In einigen Ausführungsformen erstreckt sich ein Satz von Finnen in der ersten Richtung und unter dem Satz von Gates, wobei jede der Finnen von einer benachbarten Finne des Satzes von Finnen in der zweiten Richtung durch eine Finnenteilung getrennt ist. In einigen Ausführungsformen ist eine Oberfläche der leitfähigen Struktur des ersten Satzes leitfähiger Strukturen unterhalb einer Oberfläche eines aktiven Bereichs des Satzes aktiver Bereiche oder einer Oberfläche einer Finne des Satzes von Finnen angeordnet. In einigen Ausführungsformen weist die integrierte Schaltung ein Transfergate auf.
  • Ein anderer Aspekt dieser Beschreibung betrifft ein Verfahren zum Herstellen einer integrierten Schaltung. Das Verfahren umfasst das Erstellen, durch einen Prozessor, eines Layoutentwurfs der integrierten Schaltung, und das Herstellen der integrierten Schaltung basierend auf dem Layoutentwurf. In einigen Ausführungsformen umfasst das Erstellen des Layoutentwurfs das Erzeugen eines Satzes aktiver Bereichs-Layoutstrukturen, das Erzeugen eines ersten Satzes leitfähiger Element-Layoutstrukturen, das Erzeugen eines Satzes von Gate-Layoutstrukturen und das Erzeugen eines ersten Satzes von Durchkontaktierungs-Layoutstrukturen. In einigen Ausführungsformen erstreckt sich der Satz aktiver Bereichs-Layoutstrukturen in einer ersten Richtung, ist in einer ersten Layoutebene angeordnet, und ist voneinander in einer zweiten Richtung, die sich von der ersten Richtung unterscheidet getrennt, wobei der Satz aktiver Bereichs-Layoutstrukturen dem Fertigen eines Satzes aktiver Bereiche der integrierten Schaltung entspricht. In einigen Ausführungsformen erstreckt sich der erste Satz leitfähiger Element-Layoutstrukturen mindestens in der ersten Richtung oder der zweiten Richtung, ist in der ersten Layoutebene angeordnet, und ist zwischen dem Satz aktiver Bereichs-Layoutstrukturen angeordnet, wobei der erste Satz leitfähiger Element-Layoutstrukturen dem Fertigen eines ersten Satzes leitfähiger Strukturen der integrierten Schaltung entspricht. In einigen Ausführungsformen erstreckt sich der Satz von Gate-Layoutstrukturen in der zweiten Richtung, überlappt mindestens den ersten Satz leitfähiger Element-Layoutstrukturen und ist in einer zweiten Layoutebene angeordnet, die sich von der ersten Layoutebene unterscheidet, wobei jede der Layoutstrukturen des Satzes von Gate-Layoutstrukturen von einer benachbarten Layoutstruktur des Satzes von Gate-Layoutstrukturen in der ersten Richtung durch eine erste Teilung getrennt ist, wobei der Satz von Gate-Layoutstrukturen dem Fertigen eines Satzes von Gates der integrierten Schaltung entspricht. In einigen Ausführungsformen entspricht der erste Satz von Durchkontaktierungs-Layoutstrukturen dem Fertigen eines ersten Satzes von Durchkontaktierungen, der erste Satz von Durchkontaktierungen verbindet den ersten Satz leitfähiger Strukturen des Satzes von Gates, der erste Satz der Durchkontaktierungs-Layoutstrukturen ist zwischen dem ersten Satz leitfähiger Element-Layoutstrukturen und dem Satz von Gate-Layoutstrukturen angeordnet, und eine Layoutstruktur des ersten Satzes von Durchkontaktierungs-Layoutstrukturen ist dort angeordnet, wo eine Layoutstruktur des Satzes von Gate-Layoutstrukturen eine Layoutstruktur des ersten Satzes leitfähiger Element-Layoutstrukturen überlappt. In einigen Ausführungsformen umfasst das Erstellen des Layoutentwurfs ferner das Erzeugen eines zweiten Satzes leitfähiger Element-Layoutstrukturen entsprechend dem Fertigen eines zweiten Satzes leitfähiger Strukturen der integrierten Schaltung, wobei sich der zweite Satz leitfähiger Element-Layoutstrukturen in der ersten Richtung erstreckt, zwischen dem Satz aktiver Bereichs-Layoutstrukturen angeordnet ist, mindestens den Satz von Gate-Layoutstrukturen überlappt, und in einer dritten Layoutebene angeordnet ist, die sich von der ersten Layoutebene und der zweiten Layoutebene unterscheidet, und wobei jede der Layoutstrukturen des zweiten Satzes leitfähiger Layoutstrukturen von einer benachbarten Layoutstruktur des zweiten Satzes leitfähiger Layoutstrukturen in der zweiten Richtung getrennt ist. In einigen Ausführungsformen umfasst das Erstellen des Layoutentwurfs ferner das Erzeugen eines zweiten Satzes von Durchkontaktierungs-Layoutstrukturen entsprechend dem Fertigen eines zweiten Satzes von Durchkontaktierungen, wobei der zweite Satz von Durchkontaktierungen den zweiten Satz leitfähiger Strukturen mit dem Satz von Gates verbindet, der zweite Satz von Durchkontaktierungs-Layoutstrukturen zwischen dem zweiten Satz leitfähiger Element-Layoutstrukturen und dem Satz von Gate-Layoutstrukturen angeordnet ist, und eine Layoutstruktur des zweiten Satzes von Durchkontaktierungs-Layoutstrukturen dort angeordnet ist, wo eine Layoutstruktur des zweiten Satzes leitfähiger Element-Layoutstrukturen eine andere Layoutstruktur des Satzes von Gate-Layoutstrukturen überlappt. In einigen Ausführungsformen umfasst das Erstellen des Layoutentwurfs ferner das Erzeugen eines dritten Satzes leitfähiger Element-Layoutstrukturen entsprechend dem Fertigen eines dritten Satzes leitfähiger Strukturen der integrierten Schaltung, wobei sich der dritte Satz leitfähiger Element-Layoutstrukturen in der zweiten Richtung erstreckt, zwischen dem Satz von Gate-Layoutstrukturen angeordnet ist, mindestens den ersten Satz leitfähiger Element-Layoutstrukturen überlappt, und in einer vierten Layoutebene angeordnet ist, die sich von der ersten Layoutebene, der zweiten Layoutebene und dritten Layoutebene unterscheidet, und jede der Layoutstrukturen des vierten Satzes leitfähiger Layoutstrukturen von einer benachbarten Layoutstruktur des vierten Satzes leitfähiger Layoutstrukturen in der ersten Richtung getrennt ist; und das Erzeugen eines vierten Satzes von Durchkontaktierungs-Layoutstrukturen entsprechend dem Fertigen eines vierten Satzes von Durchkontaktierungen, wobei der vierte Satz von Durchkontaktierungen den dritten Satz leitfähiger Strukturen mit dem zweiten Satz leitfähiger Strukturen verbindet, der vierte Satz von Durchkontaktierungs-Layoutstrukturen zwischen dem dritten Satz leitfähiger Element-Layoutstrukturen und dem zweiten Satz leitfähiger Element-Layoutstrukturen angeordnet ist, und eine Layoutstruktur des vierten Satzes von Durchkontaktierungs-Layoutstrukturen dort angeordnet ist, wo eine Layoutstruktur des dritten Satzes leitfähiger Element-Layoutstrukturen eine andere Layoutstruktur des zweiten Satzes leitfähiger Element-Layoutstrukturen überlappt. In einigen Ausführungsformen umfasst das Erstellen des Layoutentwurfs ferner das Erzeugen eines Satzes von Metall-über-Diffusions-Layoutstrukturen entsprechend dem Fertigen eines Satzes von Kontakten der integrierten Schaltung, wobei sich der Satz von Metall-über-Diffusions-Layoutstrukturen in der zweiten Richtung erstreckt, den ersten Satz leitfähiger Strukturen überlappt, und in der zweiten Layoutebene angeordnet ist, wobei jede der Layoutstrukturen des Satzes von Metall-über-Diffusions-Strukturen von einer benachbarten Layoutstruktur des Satzes von Metall-über-Diffusions-Layoutstrukturen in der ersten Richtung getrennt ist; und das Erzeugen eines zweiten Satzes von Durchkontaktierungs-Layoutstrukturen entsprechend dem Fertigen eines zweiten Satzes von Durchkontaktierungen, wobei der zweite Satz von Durchkontaktierungen den ersten Satz leitfähiger Strukturen mit dem Satz von Kontakten verbindet, der zweite Satz von Durchkontaktierungs-Layoutstrukturen zwischen dem ersten Satz leitfähiger Element-Layoutstrukturen und dem Satz von Metall-über-Diffusions-Layoutstrukturen angeordnet ist, und eine Layoutstruktur des zweiten Satzes von Durchkontaktierungs-Layoutstrukturen dort angeordnet ist, wo eine Layoutstruktur des Satzes von Metall-über-Diffusions-Layoutstrukturen die Layoutstruktur des ersten Satzes leitfähiger Element-Layoutstrukturen überlappt. In einigen Ausführungsformen umfasst das Erstellen des Layoutentwurfs ferner das Erzeugen eines Satzes von Finnen-Layoutstrukturen entsprechend dem Fertigen eines Satzes von Finnen der integrierten Schaltungsstruktur, wobei sich der Satz von Finnen-Layoutstrukturen in der ersten Richtung und unterhalb des Satzes von Gate-Layoutstrukturen erstreckt, und jede der Layoutstrukturen des Satzes von Finnen-Layoutstrukturen von einer benachbarten Layoutstruktur des Satzes von Finnen-Layoutstrukturen in der zweiten Richtung durch eine Finnenteilung getrennt ist. In einigen Ausführungsformen umfasst das Erstellen des Layoutentwurfs ferner das Erzeugen einer Schnittelement-Layoutstruktur entsprechend einem Schnittbereich eines ersten Gates des Satzes von Gates der integrierten Schaltung, wobei sich die Schnittelement-Layoutstruktur in der ersten Richtung erstreckt und mindestens eine erste Gate-Layoutstruktur des Satzes von Gate-Layoutstrukturen überlappt. In einigen Ausführungsformen umfasst das Verfahren ferner das Entfernen eines Abschnitts des ersten Gates des Satzes von Gatestrukturen zum Bilden einer ersten Gatestruktur und einer zweiten Gatestruktur, und das Bestimmen einer Position des entfernten Abschnitts des ersten Gates des Satzes von Gatestrukturen durch die Schnittelement-Layoutstruktur.
  • Noch ein anderer Aspekt dieser Beschreibung betrifft ein Verfahren zum Herstellen einer integrierten Schaltung. Das Verfahren umfasst das Erstellen, durch einen Prozessor, eines Layoutentwurfs der integrierten Schaltung, und das Herstellen der integrierten Schaltung basierend auf dem Layoutentwurf. In einigen Ausführungsformen weist die integrierte Schaltung ein Transfergate auf. In einigen Ausführungsformen umfasst das Erstellen des Layoutentwurfs das Platzieren eines Satzes aktiver Bereichs-Layoutstrukturen in einer ersten Layoutebene, das Platzieren eines ersten Satzes leitfähiger Element-Layoutstrukturen in der ersten Layoutebene, das Platzieren eines Satzes von Metall-über-Diffusions-Layoutstrukturen in einer zweiten Layoutebene, die sich von der ersten Layoutebene unterscheidet, und das Platzieren eines ersten Satzes von Durchkontaktierungs-Layoutstrukturen zwischen dem ersten Satz leitfähiger Element-Layoutstrukturen und dem Satz von Metall-über-Diffusions-Layoutstrukturen. In einigen Ausführungsformen erstreckt sich der Satz aktiver Bereichs-Layoutstrukturen in einer ersten Richtung, und ist in voneinander in einer zweiten Richtung, die sich von der ersten Richtung unterscheidet, getrennt, wobei der Satz aktiver Bereichs-Layoutstrukturen dem Fertigen eines Satzes aktiver Bereiche der integrierten Schaltung entspricht. In einigen Ausführungsformen erstreckt sich der erste Satz leitfähiger Element-Layoutstrukturen mindestens in der ersten Richtung oder der zweiten Richtung, und ist zwischen dem Satz aktiver Bereichs-Layoutstrukturen angeordnet, wobei der erste Satz leitfähiger Element-Layoutstrukturen dem Fertigen eines ersten Satzes leitfähiger Strukturen der integrierten Schaltung entspricht. In einigen Ausführungsformen entspricht der Satz von Metall-über-Diffusions-Layoutstrukturen dem Fertigen eines Satzes von Kontakten der integrierten Schaltung, der Satz von Metall-über-Diffusions-Layoutstrukturen erstreckt sich in der zweiten Richtung und überlappt den ersten Satz leitfähiger Element-Layoutstrukturen, wobei jede der Layoutstrukturen des Satzes von Metall-über-Diffusions-Strukturen von einer benachbarten Layoutstruktur des Satzes von Metall-über-Diffusions-Layoutstrukturen in der ersten Richtung getrennt ist. In einigen Ausführungsformen entspricht der erste Satz von Durchkontaktierungs-Layoutstrukturen dem Fertigen eines ersten Satzes von Durchkontaktierungen, der erste Satz von Durchkontaktierungen verbindet den ersten Satz leitfähiger Strukturen mit dem Satz von Kontakten, und eine Layoutstruktur des ersten Satzes von Durchkontaktierungs-Layoutstrukturen ist dort angeordnet, wo eine Layoutstruktur des Satzes von Metall-über-Diffusions-Layoutstrukturen die Layoutstruktur des ersten Satzes leitfähiger Element-Layoutstrukturen überlappt. In einigen Ausführungsformen umfasst das Erstellen des Layoutentwurfs ferner das Platzieren eines zweiten Satzes leitfähiger Element-Layoutstrukturen in einer dritten Layoutebene, die sich von der ersten Layoutebene und der zweiten Layoutebene unterscheidet, der zweite Satz leitfähiger Element-Layoutstrukturen entspricht dem Fertigen eines zweiten Satzes leitfähiger Strukturen der integrierten Schaltung, der zweite Satz leitfähiger Element-Layoutstrukturen erstreckt sich in der ersten Richtung, ist zwischen dem Satz aktiver Bereichs-Layoutstrukturen angeordnet und überlappt mindestens den ersten Satz leitfähiger Element-Layoutstrukturen, wobei jede der Layoutstrukturen des zweiten Satzes leitfähiger-Layoutstrukturen von einer benachbarten Layoutstruktur des zweiten Satzes leitfähiger Layoutstrukturen in der zweiten Richtung getrennt ist; und das Platzieren eines zweiten Satzes von Durchkontaktierungs-Layoutstrukturen zwischen dem ersten Satz leitfähiger Element-Layoutstrukturen und dem Satz von Metall-über-Diffusions-Layoutstrukturen, der zweite Satz von Durchkontaktierungs-Layoutstrukturen entsprechend dem Fertigen eines zweiten Satzes von Durchkontaktierungen, wobei der zweite Satz von Durchkontaktierungen den zweiten Satz leitfähiger Strukturen mit dem Satz von Kontakten verbindet, und eine Layoutstruktur des zweiten Satzes von Durchkontaktierungs-Layoutstrukturen dort angeordnet ist, wo eine Layoutstruktur des zweiten Satzes leitfähiger Element-Layoutstrukturen eine andere Layoutstruktur des Satzes von Metall-über-Diffusions-Layoutstrukturen überlappt. In einigen Ausführungsformen umfasst das Erstellen des Layoutentwurfs ferner das Platzieren eines Satzes von Gate-Layoutstrukturen in der zweiten Layoutebene, wobei sich der Satz von Gate-Layoutstrukturen in der zweiten Richtung erstreckt und mindestens den ersten Satz leitfähiger Element-Layoutstrukturen überlappt, wobei jede der Layoutstrukturen des Satzes von Gate-Layoutstrukturen von einer benachbarten Layoutstruktur des Satzes von Gate-Layoutstrukturen in der ersten Richtung durch eine erste Teilung getrennt ist, und der Satz von Gate-Layoutstrukturen dem Fertigen eines Satzes von Gates der integrierten Schaltung entspricht. In einigen Ausführungsformen umfasst das Erstellen des Layoutentwurfs ferner das Platzieren eines zweiten Satzes von Durchkontaktierungs-Layoutstrukturen zwischen dem ersten Satz leitfähiger Element-Layoutstrukturen und dem Satz von Gate-Layoutstrukturen, der zweite Satz von Durchkontaktierungs-Layoutstrukturen entsprechend dem Fertigen eines zweiten Satzes von Durchkontaktierungen, wobei der zweite Satz von Durchkontaktierungen den ersten Satz leitfähiger Strukturen mit dem Satz von Gates verbindet, und eine Layoutstruktur des zweiten Satzes von Durchkontaktierungs-Layoutstrukturen dort angeordnet ist, wo eine Layoutstruktur des zweiten Satzes von Gate-Layoutstrukturen eine Layoutstruktur des ersten Satzes leitfähiger Element-Layoutstrukturen überlappt.
  • Das Voranstehende umreißt Merkmale/Funktionen mehrerer Ausführungsformen, sodass Fachleute die Aspekte der vorliegenden Offenbarung besser verstehen können. Fachleute sollten erkennen, dass sie die vorliegende Offenbarung problemlos als eine Basis zum Entwerfen oder Modifizieren anderer Verfahren und Strukturen verwenden können, um dieselben Zwecke zu erfüllen und/oder dieselben Vorteile zu erreichen, wie die hierin vorgestellten Ausführungsformen. Fachleute sollten auch erkennen, dass solche gleichwertigen Konstruktionen nicht vom Geist und Umfang der vorliegenden Offenbarung abweichen, und dass sie verschiedene Änderungen, Ersetzungen und Abwandlungen vornehmen können, ohne vom Geist und Umfang der vorliegenden Offenbarung abzuweichen.
  • ZITATE ENTHALTEN IN DER BESCHREIBUNG
  • Diese Liste der vom Anmelder aufgeführten Dokumente wurde automatisiert erzeugt und ist ausschließlich zur besseren Information des Lesers aufgenommen. Die Liste ist nicht Bestandteil der deutschen Patent- bzw. Gebrauchsmusteranmeldung. Das DPMA übernimmt keinerlei Haftung für etwaige Fehler oder Auslassungen.
  • Zitierte Patentliteratur
    • US 62564663 [0001]

Claims (21)

  1. BEANSPRUCHT WIRD FOLGENDES:
  2. Integrierte Schaltung umfassend: einen Satz aktiver Bereiche in einem Substrat, wobei sich der Satz aktiver Bereiche in einer ersten Richtung erstreckt, in einer ersten Ebene angeordnet ist, und in einer zweiten Richtung, die sich von der ersten Richtung unterscheidet, voneinander getrennt ist; einen ersten Satz leitfähiger Strukturen, die sich mindestens in der ersten Richtung oder der zweiten Richtung erstrecken, in der ersten Ebene angeordnet sind, und zwischen dem Satz aktiver Bereiche angeordnet sind; einen Grabenisolationsbereich (STI), der sich mindestens in der ersten Richtung oder der zweiten Richtung erstreckt, mindestens in der ersten Ebene angeordnet ist, und zwischen dem Satz aktiver Bereiche und dem ersten Satz leitfähiger Strukturen angeordnet ist; einen Satz von Gates, die sich in der zweiten Richtung erstrecken, mindestens den ersten Satz leitfähiger Strukturen überlappen und in einer zweiten Ebene, die sich von der ersten Ebene unterscheidet, angeordnet sind, wobei jedes der Gates des Satzes von Gates von einem benachbarten Gate des Satzes von Gates in der ersten Richtung durch einen ersten Abstand getrennt ist; und einen Satz von Kontakten, die sich in der zweiten Richtung erstrecken, den ersten Satz leitfähiger Strukturen überlappen, und in der zweiten Ebene angeordnet sind, wobei jeder der Kontakte des Satzes von Kontakten von einem benachbarten Kontakt des Satzes von Kontakten in der ersten Richtung getrennt ist.
  3. Integrierte Schaltung nach Anspruch 1, ferner umfassend: einen ersten Satz von Durchkontaktierungen, welche den ersten Satz leitfähiger Strukturen mit dem Satz von Gates verbinden, wobei der erste Satz von Durchkontaktierungen zwischen dem ersten Satz leitfähiger Strukturen und dem Satz von Gates angeordnet ist, und wobei eine Durchkontaktierung des ersten Satzes von Durchkontaktierungen dort angeordnet ist, wo ein Gate des Satzes von Gates eine leitfähige Struktur des ersten Satzes leitfähiger Strukturen überlappt; einen zweiten Satz von Durchkontaktierungen, welche den ersten Satz leitfähiger Strukturen mit dem Satz von Kontakten verbinden, wobei der zweite Satz von Durchkontaktierungen zwischen dem ersten Satz leitfähiger Strukturen und dem Satz von Kontakten angeordnet ist, und wobei eine Durchkontaktierung des zweiten Satzes von Durchkontaktierungen dort angeordnet ist, wo ein Kontakt des Satzes von Kontakten eine leitfähige Struktur des ersten Satzes leitfähiger Strukturen überlappt.
  4. Integrierte Schaltung nach Anspruch 2, ferner umfassend: einen zweiten Satz leitfähiger Strukturen, die sich in der ersten Richtung erstrecken, zwischen dem Satz aktiver Bereiche angeordnet sind, mindestens den Satz von Gates überlappen, und in einer dritten Ebene, die sich von der ersten Ebene und der zweiten Ebene unterscheidet, angeordnet sind, und wobei jede der leitfähigen Strukturen des zweiten Satzes leitfähiger Strukturen von einer benachbarten leitfähigen Struktur des zweiten Satzes leitfähiger Strukturen in der zweiten Richtung getrennt ist; und einen dritten Satz von Durchkontaktierungen, welche den zweiten Satz leitfähiger Strukturen mit dem Satz von Kontakten verbinden, wobei der dritte Satz von Durchkontaktierungen zwischen dem zweiten Satz leitfähiger Strukturen und dem Satz von Kontakten angeordnet ist, und wobei eine Durchkontaktierung des dritten Satzes von Durchkontaktierungen dort angeordnet ist, wo eine leitfähige Struktur des zweiten Satzes leitfähiger Strukturen einen anderen Kontakt des Satzes von Kontakten überlappt.
  5. Integrierte Schaltung nach Anspruch 2, ferner umfassend: einen zweiten Satz leitfähiger Strukturen, die sich in der ersten Richtung erstrecken, zwischen dem Satz aktiver Bereiche angeordnet sind, mindestens den Satz von Gates überlappen, und in einer dritten Ebene, die sich von der ersten Ebene und der zweiten Ebene unterscheidet, angeordnet sind, und wobei jede der leitfähigen Strukturen des zweiten Satzes leitfähiger Strukturen von einer benachbarten leitfähigen Struktur des zweiten Satzes leitfähiger Strukturen in der zweiten Richtung getrennt ist; und einen dritten Satz von Durchkontaktierungen, welche den zweiten Satz leitfähiger Strukturen mit dem Satz von Gates verbinden, wobei der dritte Satz von Durchkontaktierungen zwischen dem zweiten Satz leitfähiger Strukturen und dem Satz von Gates angeordnet ist, und wobei eine Durchkontaktierung des dritten Satzes von Durchkontaktierungen dort angeordnet ist, wo eine leitfähige Struktur des zweiten Satzes leitfähiger Strukturen ein anderes Gate des Satzes von Gates überlappt.
  6. Integrierte Schaltung nach Anspruch 4, ferner umfassend: einen dritten Satz leitfähiger Strukturen, die sich in der zweiten Richtung erstrecken, zwischen dem Satz von Gates angeordnet sind , mindestens den ersten Satz leitfähiger Strukturen überlappen, und in einer vierten Ebene, die sich von der ersten Ebene, der zweiten Ebene und der dritten Ebene unterscheidet, angeordnet sind, und wobei jede der Strukturen des vierten Satzes leitfähiger Strukturen von einer benachbarten Struktur des vierten Satzes leitfähiger Strukturen in der ersten Richtung getrennt ist; und einen vierten Satz von Durchkontaktierungen, welche den dritten Satz leitfähiger Strukturen mit dem zweiten Satz leitfähiger Strukturen verbinden, wobei der vierte Satz von Durchkontaktierungen zwischen dem dritten Satz leitfähiger Strukturen und dem zweiten Satz leitfähiger Strukturen angeordnet ist, und wobei eine Durchkontaktierung des vierten Satzes von Durchkontaktierungen dort angeordnet ist, wo eine Struktur des dritten Satzes leitfähiger Strukturen eine andere Struktur des zweiten Satzes leitfähiger Strukturen überlappt.
  7. Integrierte Schaltung nach Anspruch 5, ferner umfassend: einen Satz von Finnen, die sich in der ersten Richtung und unter dem Satz von Gates erstrecken, wobei jede der Finnen von einer benachbarten Finne des Satzes von Finnen in der zweiten Richtung durch einen Finnenabstand getrennt ist.
  8. Integrierte Schaltung nach Anspruch 6, wobei eine Oberfläche der leitfähigen Struktur des ersten Satzes leitfähiger Strukturen unter einer Oberfläche eines aktiven Bereichs des Satzes aktiver Bereiche oder einer Oberfläche einer Finne des Satzes von Finnen angeordnet ist.
  9. Integrierte Schaltung nach einem der vorstehenden Ansprüche, wobei die integrierte Schaltung ein Transfergate umfasst.
  10. Verfahren zum Bilden einer integrierten Schaltung, das Verfahren umfassend: Erstellen, durch einen Prozessor, eines Layoutentwurfs der integrierten Schaltung, wobei das Erstellen des Layoutentwurfs umfasst: Erzeugen eines Satzes aktiver Bereichs-Layoutstrukturen, die sich in einer ersten Richtung erstrecken, in einer ersten Layoutebene angeordnet sind, und voneinander in einer zweiten Richtung, die sich von der ersten Richtung unterscheidet, getrennt sind, der Satz aktiver Bereichs-Layoutstrukturen entsprechend dem Fertigen eines Satzes aktiver Bereiche innerhalb eines Substrats der integrierten Schaltung; Erzeugen eines ersten Satzes leitfähiger Element-Layoutstrukturen, die sich mindestens in der ersten Richtung oder der zweiten Richtung erstrecken, in der ersten Layoutebene angeordnet sind, und zwischen dem Satz aktiver Bereichs-Layoutstrukturen angeordnet sind, der erste Satz leitfähiger Element-Layoutstrukturen entsprechend dem Fertigen eines ersten Satzes leitfähiger Strukturen der integrierten Schaltung innerhalb des Substrats; Erzeugen eines Satzes von Gate-Layoutstrukturen, die sich in der zweiten Richtung erstrecken, mindestens den ersten Satz leitfähiger Element-Layoutstrukturen überlappen und in einer zweiten Layoutebene, die sich von der ersten Layoutebene unterscheidet, angeordnet sind, wobei jede der Layoutstrukturen des Satzes von Gate-Layoutstrukturen von einer benachbarten Layoutstruktur des Satzes von Gate-Layoutstrukturen in der ersten Richtung durch einen ersten Abstand getrennt ist, der Satz von Gate-Layoutstrukturen entsprechend dem Fertigen eines Satzes von Gates der integrierten Schaltung; Erzeugen eines Satzes von Metall-über-Diffusions-Layoutstrukturen entsprechend dem Fertigen eines Satzes von Kontakten der integrierten Schaltung, wobei sich der Satz von Metall-über-Diffusions-Layoutstrukturen in der zweiten Richtung erstreckt, den ersten Satz leitfähiger Element-Layoutstrukturen überlappt, und in der zweiten Layoutebene angeordnet ist, wobei jede der Layoutstrukturen des Satzes von Metall-über-Diffusions-Strukturen von einer benachbarten Layoutstruktur des Satzes von Metall-über-Diffusions-Layoutstrukturen in der ersten Richtung getrennt ist; und Erzeugen eines ersten Satzes von Durchkontaktierungs-Layoutstrukturen entsprechend dem Fertigen eines ersten Satzes von Durchkontaktierungen, wobei der erste Satz von Durchkontaktierungen den ersten Satz leitfähiger Strukturen mit dem Satz von Kontakten verbindet, der erste Satz der Durchkontaktierungs-Layoutstrukturen zwischen dem ersten Satz leitfähiger Element-Layoutstrukturen und dem Satz von Metall-über-Diffusions-Layoutstrukturen angeordnet ist, und eine Layoutstruktur des ersten Satzes von Durchkontaktierungs-Layoutstrukturen dort angeordnet ist, wo eine Layoutstruktur des Satzes von Metall-über-Diffusions-Layoutstrukturen die Layoutstruktur des ersten Satzes leitfähiger Element-Layoutstrukturen überlappt; und Herstellen der integrierten Schaltung basierend auf dem Layoutentwurf.
  11. Verfahren nach Anspruch 9, wobei das Erstellen des Layoutentwurfs ferner umfasst: Erzeugen eines zweiten Satzes leitfähiger Element-Layoutstrukturen entsprechend dem Fertigen eines zweiten Satzes leitfähiger Strukturen der integrierten Schaltung, wobei sich der zweite Satz leitfähiger Element-Layoutstrukturen in der ersten Richtung erstreckt, zwischen dem Satz aktiver Bereichs-Layoutstrukturen angeordnet ist, mindestens den Satz von Gate-Layoutstrukturen überlappt, und in einer dritten Layoutebene, die sich von der ersten Layoutebene und der zweiten Layoutebene unterscheidet, angeordnet ist, und wobei jede der Layoutstrukturen des zweiten Satzes leitfähiger Layoutstrukturen von einer benachbarten Layoutstruktur des zweiten Satzes leitfähiger Layoutstrukturen in der zweiten Richtung getrennt ist.
  12. Verfahren nach Anspruch 10, wobei das Erstellen des Layoutentwurfs ferner umfasst: Erzeugen eines zweiten Satzes von Durchkontaktierungs-Layoutstrukturen entsprechend dem Fertigen eines zweiten Satzes von Durchkontaktierungen, wobei der zweite Satz von Durchkontaktierungen den zweiten Satz leitfähiger Strukturen mit dem Satz von Gates verbindet, der zweite Satz von Durchkontaktierungs-Layoutstrukturen zwischen dem zweiten Satz leitfähiger Element-Layoutstrukturen und dem Satz von Gate-Layoutstrukturen angeordnet ist, und eine Layoutstruktur des zweiten Satzes von Durchkontaktierungs-Layoutstrukturen dort angeordnet ist, wo eine Layoutstruktur des zweiten Satzes leitfähiger Element-Layoutstrukturen eine andere Layoutstruktur des Satzes von Gate-Layoutstrukturen überlappt
  13. Verfahren nach Anspruch 11, wobei das Erstellen des Layoutentwurfs ferner umfasst: Erzeugen eines dritten Satzes leitfähiger Element-Layoutstrukturen entsprechend dem Fertigen eines dritten Satzes leitfähiger Strukturen der integrierten Schaltung, wobei sich der dritte Satz leitfähiger Element-Layoutstrukturen in der zweiten Richtung erstreckt, zwischen dem Satz von Gate-Layoutstrukturen angeordnet ist, mindestens den ersten Satz leitfähiger Element-Layoutstrukturen überlappt, und in einer vierten Layoutebene, die sich von der ersten Layoutebene, der zweiten Layoutebene und der dritten Layoutebene unterscheidet, angeordnet ist, und wobei jede der Layoutstrukturen des vierten Satzes leitfähiger Layoutstrukturen von einer benachbarten Layoutstruktur des vierten Satzes leitfähiger Layoutstrukturen in der ersten Richtung getrennt ist; und Erzeugen eines vierten Satzes von Durchkontaktierungs-Layoutstrukturen entsprechend dem Fertigen eines vierten Satzes Durchkontaktierungen, wobei der vierte Satz von Durchkontaktierungen den dritten Satz leitfähiger Strukturen mit dem zweiten Satz leitfähiger Strukturen verbindet, der vierte Satz von Durchkontaktierungs-Layoutstrukturen zwischen dem dritten Satz leitfähiger Element-Layoutstrukturen und dem zweiten Satz leitfähiger Element-Layoutstrukturen angeordnet ist, und eine Layoutstruktur des vierten Satzes von Durchkontaktierungs-Layoutstrukturen dort angeordnet ist, wo eine Layoutstruktur des dritten Satzes leitfähiger Element-Layoutstrukturen eine andere Layoutstruktur des zweiten Satzes leitfähiger Element-Layoutstrukturen überlappt.
  14. Verfahren nach Anspruch 9, wobei das Erstellen des Layoutentwurfs ferner umfasst: Erzeugen eines zweiten Satzes von Durchkontaktierungs-Layoutstrukturen entsprechend dem Fertigen eines zweiten Satzes von Durchkontaktierungen, wobei der zweite Satz von Durchkontaktierungen den ersten Satz leitfähiger Strukturen mit dem Satz von Gates verbindet, der zweite Satz von Durchkontaktierungs-Layoutstrukturen zwischen dem ersten Satz leitfähiger Element-Layoutstrukturen und dem Satz von Gate-Layoutstrukturen angeordnet ist, und eine Layoutstruktur des zweiten Satzes von Durchkontaktierungs-Layoutstrukturen dort angeordnet ist, wo eine Layoutstruktur des Satzes von Gate-Layoutstrukturen eine Layoutstruktur des ersten Satzes leitfähiger Element-Layoutstrukturen überlappt
  15. Verfahren nach einem der Ansprüche 9 bis 13, wobei das Erstellen des Layoutentwurfs ferner umfasst: Erzeugen eines Satzes von Finnen-Layoutstrukturen entsprechend dem Fertigen eines Satzes von Finnen der integrierten Schaltungsstruktur, wobei sich der Satz von Finnen-Layoutstrukturen in der ersten Richtung erstreckt und unter dem Satz von Gate-Layoutstrukturen angeordnet ist, und jede der Layoutstrukturen des Satzes von Finnen-Layoutstrukturen von einer benachbarten Layoutstruktur des Satzes von Finnen-Layoutstrukturen in der zweiten Richtung durch einen Finnenabstand getrennt ist.
  16. Verfahren nach einem der Ansprüche 9 bis 14, wobei das Erstellen des Layoutentwurfs ferner umfasst: Erzeugen einer Schnittelement-Layoutstruktur entsprechend einem Schnittbereich eines ersten Gates des Satzes von Gates der integrierten Schaltung, wobei sich die Schnittelement-Layoutstruktur in der ersten Richtung erstreckt und mindestens eine erste Gate-Layoutstruktur des Satzes von Gate-Layoutstrukturen überlappt.
  17. Verfahren nach Anspruch 15, ferner umfassend: Entfernen eines Abschnitts des ersten Gates des Satzes von Gatestrukturen zum Bilden einer ersten Gatestruktur und einer zweiten Gatestruktur, und das Bestimmen einer Position des entfernten Abschnitts des ersten Gates des Satzes von Gatestrukturen durch die Schnittelement-Layoutstruktur.
  18. Verfahren zum Bilden einer integrierten Schaltung, das Verfahren umfassend: Erstellen, durch einen Prozessor, eines Layoutentwurfs der integrierten Schaltung, wobei das Erstellen des Layoutentwurfs umfasst: Platzieren eines Satzes aktiver Bereichs-Layoutstrukturen in einer ersten Layoutebene, wobei sich der Satz aktiver Bereichs-Layoutstrukturen in einer ersten Richtung erstreckt und in einer zweiten Richtung, die sich von der ersten Richtung unterscheidet, voneinander getrennt ist, der Satz aktiver Bereichs-Layoutstrukturen entsprechend dem Fertigen eines Satzes aktiver Bereiche innerhalb eines Substrats der integrierten Schaltung; Platzieren eines ersten Satzes leitfähiger Element-Layoutstrukturen in der ersten Layoutebene, wobei sich der erste Satz leitfähiger Element-Layoutstrukturen mindestens in der ersten Richtung oder der zweiten Richtung erstreckt und zwischen dem Satz aktiver Bereichs-Layoutstrukturen angeordnet sind, der erste Satz leitfähiger Element-Layoutstrukturen entsprechend dem Fertigen eines ersten Satzes leitfähiger Strukturen innerhalb des Substrats der integrierten Schaltung; Platzieren eines Satzes von Metall-über-Diffusions-Layoutstrukturen in einer zweiten Layoutebene, die sich von der ersten Layoutebene unterscheidet, der Satz von Metall-über-Diffusions-Layoutstrukturen entsprechend dem Fertigen eines Satzes von Kontakten der integrierten Schaltung, wobei der Satz von Metallkontakten elektrisch mit den aktiven Bereichen der integrierten Schaltung verbunden ist, sich der Satz von Metall-über-Diffusions-Layoutstrukturen in der zweiten Richtung erstreckt und den ersten Satz leitfähiger Element-Layoutstrukturen überlappt, wobei jede der Layoutstrukturen des Satzes von Metall-über-Diffusions-Strukturen von einer benachbarten Layoutstruktur des Satzes von Metall-über-Diffusions-Layoutstrukturen in der ersten Richtung getrennt ist; und Platzieren eines ersten Satzes von Durchkontaktierungs-Layoutstrukturen zwischen dem ersten Satz leitfähiger Element-Layoutstrukturen und dem Satz von Metall-über-Diffusions-Layoutstrukturen, der erste Satz von Durchkontaktierungs-Layoutstrukturen entsprechend dem Fertigen eines ersten Satzes von Durchkontaktierungen, wobei der erste Satz von Durchkontaktierungen den ersten Satz leitfähiger Strukturen mit dem Satz von Kontakten verbindet, und eine Layoutstruktur des ersten Satzes von Durchkontaktierungs-Layoutstrukturen dort angeordnet ist, wo eine Layoutstruktur des Satzes von Metall-über-Diffusions-Layoutstrukturen die Layoutstruktur des ersten Satzes leitfähiger Element-Layoutstrukturen überlappt; und Herstellen der integrierten Schaltung basierend auf dem Layoutentwurf, die integrierte Schaltung umfassend ein Transfergate.
  19. Verfahren nach Anspruch 17, wobei das Erstellen des Layoutentwurfs ferner umfasst: Platzieren eines zweiten Satzes leitfähiger Element-Layoutstrukturen in einer dritten Layoutebene, die sich von der ersten Layoutebene und der zweiten Layoutebene unterscheidet, der zweite Satz leitfähiger Element-Layoutstrukturen entsprechend dem Fertigen eines zweiten Satzes leitfähiger Strukturen der integrierten Schaltung, wobei sich der zweite Satz leitfähiger Element-Layoutstrukturen in der ersten Richtung erstreckt, zwischen dem Satz aktiver Bereichs-Layoutstrukturen angeordnet ist, mindestens den ersten Satz leitfähiger Element-Layoutstrukturen überlappt, und wobei jede der Layoutstrukturen des zweiten Satzes leitfähiger Layoutstrukturen von einer benachbarten Layoutstruktur des zweiten Satzes leitfähiger Layoutstrukturen in der zweiten Richtung getrennt ist; und Platzieren eines zweiten Satzes von Durchkontaktierungs-Layoutstrukturen zwischen dem ersten Satz leitfähiger Element-Layoutstrukturen und dem Satz von Metall-über-Diffusions-Layoutstrukturen, der zweite Satz von Durchkontaktierungs-Layoutstrukturen entsprechend dem Fertigen eines zweiten Satzes von Durchkontaktierungen, wobei der zweite Satz von Durchkontaktierungen den zweiten Satz leitfähiger Strukturen mit dem Satz von Kontakten verbindet, und eine Layoutstruktur des zweiten Satzes von Durchkontaktierungs-Layoutstrukturen dort angeordnet ist, wo eine Layoutstruktur des zweiten Satzes leitfähiger Element-Layoutstrukturen die Layoutstruktur des Satzes von Metall-über-Diffusions-Layoutstrukturen überlappt.
  20. Verfahren nach Anspruch 17 oder 18, wobei das Erstellen des Layoutentwurfs ferner umfasst: Platzieren eines Satzes von Gate-Layoutstrukturen in der zweiten Layoutebene, wobei sich der Satz von Gate-Layoutstrukturen in der zweiten Richtung erstreckt und mindestens den ersten Satz leitfähiger Element-Layoutstrukturen überlappt, wobei jede der Layoutstrukturen des Satzes von Gate-Layoutstrukturen von einer benachbarten Layoutstruktur des Satzes von Gate-Layoutstrukturen in der ersten Richtung durch einen ersten Abstand getrennt ist, und der Satz von Gate-Layoutstrukturen dem Fertigen eines Satzes von Gates der integrierten Schaltung entspricht.
  21. Verfahren nach Anspruch 17, wobei das Erstellen des Layoutentwurfs ferner umfasst: Platzieren eines zweiten Satzes von Durchkontaktierungs-Layoutstrukturen zwischen dem ersten Satz leitfähiger Element-Layoutstrukturen und dem Satz von Gate-Layoutstrukturen, der zweite Satz von Durchkontaktierungs-Layoutstrukturen entsprechend dem Fertigen eines zweiten Satzes von Durchkontaktierungen, wobei der zweite Satz von Durchkontaktierungen den ersten Satz leitfähiger Strukturen mit dem Satz von Gates verbindet, und eine Layoutstruktur des zweiten Satzes von Durchkontaktierungs-Layoutstrukturen dort angeordnet ist, wo eine Layoutstruktur des Satzes von Gate-Layoutstrukturen eine Layoutstruktur des ersten Satzes leitfähiger Element-Layoutstrukturen überlappt.
DE102018123548.8A 2017-09-28 2018-09-25 Integrierte schaltung und verfahren zur herstellung derselben Pending DE102018123548A1 (de)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762564663P 2017-09-28 2017-09-28
US62/564,663 2017-09-28
US16/135,684 US10734321B2 (en) 2017-09-28 2018-09-19 Integrated circuit and method of manufacturing same
US16/135,684 2018-09-19

Publications (1)

Publication Number Publication Date
DE102018123548A1 true DE102018123548A1 (de) 2019-03-28

Family

ID=65638364

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102018123548.8A Pending DE102018123548A1 (de) 2017-09-28 2018-09-25 Integrierte schaltung und verfahren zur herstellung derselben

Country Status (3)

Country Link
US (1) US20230060387A1 (de)
CN (1) CN109920788B (de)
DE (1) DE102018123548A1 (de)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111834362A (zh) * 2019-04-15 2020-10-27 台湾积体电路制造股份有限公司 集成电路和制造集成电路的方法
DE102020124907A1 (de) 2020-09-18 2022-03-24 Taiwan Semiconductor Manufacturing Co., Ltd. Integrierte schaltung

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102020132921A1 (de) * 2020-04-30 2021-11-04 Taiwan Semiconductor Manufacturing Co., Ltd. HALBLEITERVORRICHTUNG MIT GESTUFTEM GATESTUMPFGRÖßENPROFIL UND VERFAHREN ZUR HERSTELLUNG DAVON
US20230106921A1 (en) * 2021-10-04 2023-04-06 Advanced Micro Devices, Inc. Low congestion standard cells

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006196511A (ja) * 2005-01-11 2006-07-27 Sony Corp 半導体集積回路
US7956421B2 (en) * 2008-03-13 2011-06-07 Tela Innovations, Inc. Cross-coupled transistor layouts in restricted gate level layout architecture
US8488359B2 (en) * 2010-08-20 2013-07-16 Shine C. Chung Circuit and system of using junction diode as program selector for one-time programmable devices
US9443851B2 (en) * 2014-01-03 2016-09-13 Samsung Electronics Co., Ltd. Semiconductor devices including finFETs and local interconnect layers and methods of fabricating the same
KR102314778B1 (ko) * 2015-08-21 2021-10-21 삼성전자주식회사 반도체 소자
CN106910716B (zh) * 2015-12-22 2021-10-15 Imec 非营利协会 Si基高迁移率CMOS装置的制造方法及所得装置

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111834362A (zh) * 2019-04-15 2020-10-27 台湾积体电路制造股份有限公司 集成电路和制造集成电路的方法
CN111834362B (zh) * 2019-04-15 2024-05-14 台湾积体电路制造股份有限公司 集成电路和制造集成电路的方法
DE102020124907A1 (de) 2020-09-18 2022-03-24 Taiwan Semiconductor Manufacturing Co., Ltd. Integrierte schaltung
US11710743B2 (en) 2020-09-18 2023-07-25 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit
DE102020124907B4 (de) 2020-09-18 2023-12-07 Taiwan Semiconductor Manufacturing Co., Ltd. Integrierte schaltung

Also Published As

Publication number Publication date
US20230060387A1 (en) 2023-03-02
CN109920788B (zh) 2022-03-25
CN109920788A (zh) 2019-06-21

Similar Documents

Publication Publication Date Title
DE102017119760A1 (de) Integrierte schaltung und verfahren zu deren herstellung
KR102146762B1 (ko) 집적 회로 및 이를 제조하는 방법
DE102018123548A1 (de) Integrierte schaltung und verfahren zur herstellung derselben
DE102018108579B4 (de) Integrierte schaltung und verfahren zu deren herstellung
DE102018130328B4 (de) Integrierte Schaltungen mit Überkreuz-Kopplungskonstrukten und Halbleitervorrichtungen, die integrierte Schaltungen enthalten
DE102017125779B4 (de) Integrierte Schaltung und Verfahren zur Bildung einer integrierten Schaltung
DE102014119646A1 (de) System und Verfahren einer Layoutgestaltung für integrierte Schaltungen
DE102019113506B4 (de) Integrierte Schaltung umfassend eine Zelle mit mehrfacher Höhe
DE102017110226A1 (de) Füller für integrierte Schaltungen und Verfahren davon
DE102013106539B4 (de) Verfahren zur Verifikation eines Layouts für Polysilizium-Zellrandstrukturen in FinFET-Standardzellen
DE102019103481B4 (de) Durchkontaktierungsgrößen zur Reduzierung der Brückenbildungsgefahr und zur Verbesserung der Leistung
DE102012220822A1 (de) Finfet mit verbesserter gate-planarität
DE102013112351A1 (de) Mehrschicht-Halbleitervorrichtungsstruktur
DE202016008735U1 (de) Integrierter Schaltkreis und Masken zur Herstellung eines integrierten Schaltkreises
DE102016117156A1 (de) Verfahren zur Herstellung einer statischen Direktzugriffsspeichervorrichtung
DE102021110387A1 (de) Integrierte schaltungsanlage und verfahren
DE102019128571A1 (de) Verfahren zum erzeugen eines layoutdiagramms mit zelle mit darauf basierenden stiftmustern und halbleitervorrichtung
DE102019121157A1 (de) Transfer-gate-struktur, layout, verfahren und system
DE102017120185A1 (de) Verfahren und integrierter Schaltungsentwurf mit nichtlinearen Stromschienen
DE102020106252A1 (de) Integrierte schaltung
DE102019113408A1 (de) Transistorlayout und dimensionierung für hochgeschwindigkeitsanwendungen
DE19752014A1 (de) Integrierte Halbleiterschaltungsanordnung
DE102020127331B4 (de) Integrierte Schaltung und Verfahren zur Herstellung einer integrierten Schaltung
DE102021111423A1 (de) Halbleitervorrichtung und Verfahren zu ihrer Herstellung
DE102022110640A1 (de) Integrierte schaltung und herstellungsverfahren davon

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R079 Amendment of ipc main class

Free format text: PREVIOUS MAIN CLASS: H01L0027105000

Ipc: H01L0023522000

R079 Amendment of ipc main class

Free format text: PREVIOUS MAIN CLASS: H01L0023522000

Ipc: H01L0021822000

R016 Response to examination communication