KR102146762B1 - 집적 회로 및 이를 제조하는 방법 - Google Patents

집적 회로 및 이를 제조하는 방법 Download PDF

Info

Publication number
KR102146762B1
KR102146762B1 KR1020180116307A KR20180116307A KR102146762B1 KR 102146762 B1 KR102146762 B1 KR 102146762B1 KR 1020180116307 A KR1020180116307 A KR 1020180116307A KR 20180116307 A KR20180116307 A KR 20180116307A KR 102146762 B1 KR102146762 B1 KR 102146762B1
Authority
KR
South Korea
Prior art keywords
layout
layout patterns
patterns
conductive
integrated circuit
Prior art date
Application number
KR1020180116307A
Other languages
English (en)
Other versions
KR20190037180A (ko
Inventor
포천 왕
유-쑤안 후앙
중-찬 양
치-밍 라이
궈-휴이 우
야-치 초우
유-중 창
후이-종 주앙
시-밍 창
루-건 리우
이-시엉 린
팅-웨이 치앙
Original Assignee
타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 filed Critical 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Publication of KR20190037180A publication Critical patent/KR20190037180A/ko
Application granted granted Critical
Publication of KR102146762B1 publication Critical patent/KR102146762B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/535Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including internal interconnections, e.g. cross-under constructions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/0203Particular design considerations for integrated circuits
    • H01L27/0207Geometrical layout of the components, e.g. computer aided design; custom LSI, semi-custom LSI, standard cell technique
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/392Floor-planning or layout, e.g. partitioning or placement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • H01L27/0611Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration integrated circuits having a two-dimensional layout of components without a common active region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Theoretical Computer Science (AREA)
  • General Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Geometry (AREA)
  • Evolutionary Computation (AREA)
  • Architecture (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)
  • Semiconductor Integrated Circuits (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

집적 회로는 기판에서의 활성 영역들의 세트, 전도성 구조체들의 제 1 세트, 얕은 트렌치 격리(STI) 영역, 게이트들의 세트, 및 비아들의 제 1 세트를 포함한다. 활성 영역들의 세트는 제 1 방향으로 연장되고, 제 1 레벨 상에 위치된다. 전도성 구조체들의 제 1 세트 및 STI 영역은 적어도 제 1 방향 또는 제 2 방향으로 연장되고, 제 1 레벨 상에 위치되고, 활성 영역들의 세트 사이에 있다. STI 영역은 활성 영역들의 세트와 전도성 구조체들의 제 1 세트 사이에 있다. 게이트들의 세트는 제 2 방향으로 연장되고, 전도성 구조체들의 제 1 세트와 중첩한다. 비아들의 제 1 세트는 전도성 구조체들의 제 1 세트를 게이트들의 세트에 결합한다.

Description

집적 회로 및 이를 제조하는 방법{INTEGRATED CIRCUIT AND METHOD OF MANUFACTURING SAME}
이 출원은 그 전체적으로 참조로 본원에 편입되는, 2017년 9월 28일자로 출원된 미국 가출원 제62/564,663호의 이익을 주장한다.
집적 회로(integrated circuit; IC)들을 최소화함에 있어서의 최근의 추세는 더 적은 전력을 소비하지만, 더 높은 속도들에서 더 많은 기능성을 제공하는 더 작은 디바이스들로 귀착되었다. 소형화 프로세스는 또한, 더 엄격한 설계 및 제조 사양들 뿐만 아니라, 신뢰성 과제들로 귀착되었다. 다양한 전자 설계 자동화(electronic design automation; EDA) 툴들은 표준 셀 레이아웃 설계 및 제조 사양들이 충족된다는 것을 보장하면서, 집적 회로들을 위한 표준 셀 레이아웃 설계들을 생성하고, 최적화하고, 검증한다.
집적 회로는 기판에서의 활성 영역들의 세트, 전도성 구조체들의 제 1 세트, 얕은 트렌치 격리(STI) 영역, 게이트들의 세트, 및 비아들의 제 1 세트를 포함한다. 활성 영역들의 세트는 제 1 방향으로 연장되고, 제 1 레벨 상에 위치된다. 전도성 구조체들의 제 1 세트 및 STI 영역은 적어도 제 1 방향 또는 제 2 방향으로 연장되고, 제 1 레벨 상에 위치되고, 활성 영역들의 세트 사이에 있다. STI 영역은 활성 영역들의 세트와 전도성 구조체들의 제 1 세트 사이에 있다. 게이트들의 세트는 제 2 방향으로 연장되고, 전도성 구조체들의 제 1 세트와 중첩한다. 비아들의 제 1 세트는 전도성 구조체들의 제 1 세트를 게이트들의 세트에 결합한다.
본 개시내용의 양태들은 동반된 도면들과 함께 판독될 때에 다음의 상세한 설명으로부터 최상으로 이해된다. 산업에서의 표준 관례에 따르면, 다양한 특징부들은 축척에 맞게 그려진 것이 아니라는 것이 주목된다. 실제로, 다양한 특징부들의 치수들은 논의의 명료함을 위하여 임의적으로 증가될 수도 있거나 감소될 수도 있다.
도 1은 일부 실시예들에 따른, 집적 회로의 회로도이다.
도 2는 일부 실시예들에 따른, 집적 회로의 레이아웃 설계의 도면이다.
도 3은 일부 실시예들에 따른, 집적 회로의 레이아웃 설계의 도면이다.
도 4a, 도 4b, 도 4c, 도 4d, 및 도 4e는 일부 실시예들에 따른, 집적 회로의 단면도들이다.
도 5a는 일부 실시예들에 따른, 집적 회로의 레이아웃 설계의 도면이다.
도 5b, 도 5c, 및 도 5d는 일부 실시예들에 따른, 집적 회로의 단면도들이다.
도 6a는 일부 실시예들에 따른, 집적 회로의 레이아웃 설계의 도면이다.
도 6a, 도 6c, 도 6d, 및 도 6e는 일부 실시예들에 따른, 집적 회로의 단면도들이다.
도 7a는 일부 실시예들에 따른, 집적 회로의 레이아웃 설계의 도면이다.
도 7b, 도 7c, 및 도 7d는 일부 실시예들에 따른, 집적 회로의 단면도들이다.
도 8a는 일부 실시예들에 따른, 집적 회로의 레이아웃 설계의 도면이다.
도 8b, 도 8c, 도 8d, 도 8e, 도 8f, 및 도 8g는 일부 실시예들에 따른, 집적 회로의 단면도들이다.
도 9a는 일부 실시예들에 따른, 집적 회로의 레이아웃 설계의 도면이다.
도 9b, 도 9c, 도 9d, 및 도 9e는 일부 실시예들에 따른, 집적 회로의 단면도들이다.
도 10은 일부 실시예들에 따른, IC를 제조하는 방법의 플로우차트이다.
도 11은 일부 실시예들에 따른, 집적 회로의 레이아웃 설계를 생성하는 방법의 플로우차트이다.
도 12는 일부 실시예들에 따른, IC 레이아웃 설계를 설계하는 시스템의 블록도이다.
다음의 개시내용은 제공된 발명 요지의 특징들을 구현하기 위한 다수의 상이한 실시예들 또는 예들을 제공한다. 구성부품들, 재료들, 값들, 단계들, 배열들, 또는 등의 특정 예들은 본 개시내용을 단순화하기 위하여 이하에서 설명된다. 물론, 이것들은 예들에 불과하고, 제한하는 것은 아니다. 다른 구성부품들, 재료들, 값들, 단계들, 배열들, 또는 등이 고려된다. 예를 들어, 뒤따르는 설명에서 제 2 특징부의 상부 또는 그 위의 제 1 특징부의 형성은, 제 1 및 제 2 특징부들이 직접 접촉하여 형성되는 실시예들을 포함할 수도 있고, 제 1 및 제 2 특징부들이 직접 접촉하지 않을 수도 있도록, 추가적인 특징부들이 제 1 및 제 2 특징부들 사이에 형성될 수도 있는 실시예들을 또한 포함할 수도 있다. 게다가, 본 개시내용은 다양한 예들에서 참조 번호들 및/또는 문자들을 반복할 수도 있다. 이 반복은 단순화 및 명료함의 목적을 위한 것이고, 그 자체적으로 논의된 다양한 실시예들 및/또는 구성들 사이의 관계를 기술하지는 않는다.
또한, "바로 아래", "아래", "하부", "위", "상부" 및 등과 같은 공간적으로 상대적인 용어들은 도면들에서 예시된 바와 같이 또 다른 구성요소(들) 또는 특징부(들)에 대한 하나의 구성요소 또는 특징부의 관계를 설명하기 위한 설명의 용이함을 위하여 본원에서 이용될 수도 있다. 공간적으로 상대적인 용어들은 도면들에서 도시된 배향에 추가하여 이용 또는 동작 시에 디바이스의 상이한 배향들을 망라하도록 의도된다. 장치는 이와 다르게 배향(90도 또는 다른 배향들로 회전)될 수도 있고, 본원에서 이용된 공간적으로 상대적인 기술자(descriptor)들은 이에 따라 마찬가지로 해독될 수도 있다.
일부 실시예들에 따르면, 집적 회로는 기판에서의 활성 영역들의 세트, 전도성 구조체들의 제 1 세트, 얕은 트렌치 격리(shallow trench isolation; STI) 영역, 게이트들의 세트, 및 비아(via)들의 제 1 세트를 포함한다. 일부 실시예들에서, 전도성 구조체들의 제 1 세트는 집적 회로의 게이트들 및 금속-0(M0) 층의 세트 아래에 위치된다. 일부 실시예들에서는, 전도성 구조체들의 제 1 세트의 전도성 구조체들이 기판에서, 그리고 적어도 M0-층, MD 층, 또는 POLY 층 바로 아래에 매립되므로, 전도성 구조체들의 제 1 세트는 "매립된 트랙(buried track)"으로서 지칭된다. 일부 실시예들에서, M0-층 바로 아래의 매립된 트랙을 이용함으로써, 하나의 M0 트랙이 감소되어, 다른 접근법들과 비교하여 더 작은 높이 및 더 작은 면적을 갖는 표준 셀을 산출한다. 일부 실시예들에서, 본 개시내용의 표준 셀의 높이는 다른 접근법들과 비교하여 최대로 50 %만큼 감소된다.
일부 실시예들에서, 전도성 구조체들의 제 1 세트는 제 1 방향 또는 제 2 방향으로 연장되는 단일 전도성 구조체를 포함한다. 일부 실시예들에서, 단일 전도성 구조체는 오직 단일 방향(예컨대, 제 1 방향 또는 제 2 방향)에서 연장되고, 1 차원(1D) 구조체로서 지칭된다. 일부 실시예들에서, 전도성 구조체들의 제 1 세트는 제 1 및 제 2 전도성 구조체를 포함한다. 일부 실시예들에서, 제 1 전도성 구조체는 제 1 방향으로 연장되고, 제 2 전도성 구조체는 제 2 방향으로 연장되고, 제 1 및 제 2 전도성 구조체는 2 차원(2D) 구조체로서 지칭된다.
일부 실시예들에서, STI 영역은 활성 영역들의 세트와 전도성 구조체들의 제 1 세트 사이에 있다. 일부 실시예들에서, 전도성 구조체들의 세트의 상단 표면은 집적 회로의 활성 영역의 상단 표면 또는 집적 회로의 핀(fin)의 상단 표면 아래에 있다. 일부 실시예들에서, 집적 회로는 전송 게이트(transmission gate)를 포함한다.
일부 실시예들에서, 본 개시내용의 집적 회로의 전도성 구조체들의 제 1 세트를 사용함으로써, 표준 셀의 게이트 밀도는 다른 접근법들보다 더 많은 라우팅 플렉시빌리티(routing flexibility)를 제공함으로써 최대로 30 %만큼 증가된다. 일부 실시예들에서, 전도성 구조체들의 제 1 세트는 다른 접근법들과 비교하여 표준 셀들에 대한 라우팅 자원들을 증가시킨다. 일부 실시예들에서, 본 개시내용의 전류 저항(IR) 및 일렉트로마이그레이션(Electromigration; EM)은 다른 접근법들과 비교하여 개선된다.
도 1은 일부 실시예들에 따른, 집적 회로(100)의 회로도이다. 일부 실시예들에서, 집적 회로(100)는 전송 게이트에 대응한다.
집적 회로(100)는 2 개의 P-형 금속 산화물 반도체(P-type metal oxide semiconductor; PMOS) 트랜지스터들(P1 및 P2), 및 2 개의 N-형 금속 산화물 반도체(N-type metal oxide semiconductor; NMOS) 트랜지스터들(N1 및 N2)을 포함한다.
PMOS 트랜지스터(P1)는 게이트 단자(A1), 단자(T1), 및 단자(T3)를 포함한다.
NMOS 트랜지스터(N1)는 게이트 단자(B2), 단자(T2), 및 단자(T4)를 포함한다.
PMOS 트랜지스터(P1)의 단자(T1)는 NMOS 트랜지스터(N1)의 단자(T2)에 전기적으로 결합된다. PMOS 트랜지스터(P1)의 단자(T3)는 NMOS 트랜지스터(N1)의 단자(T4)에 전기적으로 결합된다. NMOS 트랜지스터(N1) 및 PMOS 트랜지스터(P1)는 제 1 트랜지스터 쌍을 형성하기 위하여 서로에 결합된다.
PMOS 트랜지스터(P2)는 게이트 단자(B1), 단자(T5), 및 단자(T7)를 포함한다.
NMOS 트랜지스터(N2)는 게이트 단자(A2), 단자(T6), 및 단자(T8)를 포함한다. PMOS 트랜지스터(P2)의 단자(T7)는 NMOS 트랜지스터(N2)의 단자(T8)에 전기적으로 결합된다. PMOS 트랜지스터(P2)의 단자(T5)는 NMOS 트랜지스터(N2)의 단자(T6)에 전기적으로 결합된다. NMOS 트랜지스터(N2) 및 PMOS 트랜지스터(P2)는 제 2 트랜지스터 쌍을 형성하기 위하여 서로에 결합된다.
PMOS 트랜지스터(P1)의 게이트 단자(A1)는 NMOS 트랜지스터(N2)의 게이트 단자(A2)에 전기적으로 결합된다. PMOS 트랜지스터(P2)의 게이트 단자(B1)는 NMOS 트랜지스터(N1)의 게이트 단자(B2)에 전기적으로 결합된다. PMOS 트랜지스터(P1)의 단자(T3) 및 NMOS 트랜지스터(N1)의 단자(T4)는 NMOS 트랜지스터(N2)의 단자(T5) 및 PMOS 트랜지스터(P2)의 단자(T6)에 전기적으로 결합된다.
일부 실시예들에서, 단자들(T1, T2, T3, T4, T5, T6, T7, 또는 T8) 중의 적어도 하나의 단자는 소스 단자에 대응한다. 일부 실시예들에서, 단자들(T1, T2, T3, T4, T5, T6, T7, 또는 T8) 중의 적어도 하나의 단자는 드레인 단자에 대응한다. 집적 회로(100)에서의 단자들의 다른 구성들은 본 개시내용의 범위 내에 있다.
일부 실시예들에서, 적어도 집적 회로(100), 집적 회로(400)(도 4a 내지 도 4e), 레이아웃 설계(200), 레이아웃 설계(300)(도 3), 레이아웃 설계(500A)(도 5), 레이아웃 설계(600A)(도 6), 레이아웃 설계(700A)(도 7), 레이아웃 설계(도 8), 또는 레이아웃 설계(800A)(도 9)는 하나 이상의 표준 셀들에 대응한다. 일부 실시예들에서, 표준 셀은 전송 게이트이다. 일부 실시예들에서, 표준 셀은 논리 게이트 셀(logic gate cell)이다. 일부 실시예들에서, 논리 게이트 셀은 AND, OR, NAND, NOR, XOR, INV, AND-OR-Invert(AOI), OR-AND-Invert(OAI), MUX, 플립플롭(Flip-flop), BUFF, 래치(Latch), 지연기(delay), 클록 셀(clock cell)들, 또는 등을 포함한다. 일부 실시예들에서, 표준 셀은 메모리 셀이다. 일부 실시예들에서, 메모리 셀은 정적 랜덤 액세스 메모리(static random access memory; SRAM), 동적 RAM(dynamic RAM; DRAM), 저항성 RAM(resistive RAM; RRAM), 자기저항성 RAM(magnetoresistive RAM; MRAM), 판독 전용 메모리(read only memory; ROM), 또는 등을 포함한다. 일부 실시예들에서, 표준 셀은 하나 이상의 능동 또는 수동 구성요소들을 포함한다. 능동 구성요소들의 예들은 트랜지스터들, 다이오드들, 또는 등을 포함하지만, 이것으로 제한되지는 않는다. 트랜지스터들의 예들은 금속 산화물 반도체 전계 효과 트랜지스터(metal oxide semiconductor field effect transistor; MOSFET)들, 상보형 금속 산화물 반도체(complementary metal oxide semiconductor; CMOS) 트랜지스터들, 양극성 접합 트랜지스터(bipolar junction transistor; BJT)들, 고전압 트랜지스터들, 고주파수 트랜지스터들, p-채널 및/또는 n-채널 전계 효과 트랜지스터들(PFET들/NFET들), FinFET들, 융기된 소스/드레인을 갖는 평면형 MOS 트랜지스터들, 또는 등을 포함하지만, 이것으로 제한되지는 않는다. 수동 구성요소들의 예들은 커패시터(capacitor)들, 인덕터(inductor)들, 퓨즈(fuse)들, 저항기들, 또는 등을 포함하지만, 이것으로 제한되지는 않는다. 일부 실시예들에서, IC(100)는 예시의 용이함을 위하여 도시되지 않은 다른 특징부들을 포함한다.
도 2는 일부 실시예들에 따른, 집적 회로의 레이아웃 설계(200)의 도면이다.
레이아웃 설계(200)는 도 1의 집적 회로(100), 도 4a 내지 도 4e의 집적 회로(400), 도 5b 내지 도 5d의 집적 회로(500B), 도 6b 내지 도 6e의 집적 회로(600B), 도 7b 내지 도 7d의 집적 회로(700B), 도 8b 내지 도 8g의 집적 회로(800B), 또는 도 9b 내지 도 9e의 집적 회로(900B)를 제조하기 위하여 이용가능하다. 도 2 내지 도 3, 또는 도 5a 내지 도 9e의 각각에서의 것들과 동일하거나 유사한 구성부품들은 동일한 참조 번호들을 부여받고, 그 상세한 설명은 이에 따라 생략된다.
레이아웃 설계(200)는 제 1 방향(X)에서 연장되는 활성 영역 레이아웃 패턴들(202a, 202b)("활성 영역 레이아웃 패턴들의 세트(202)"로서 집합적으로 지칭됨)을 포함한다. 활성 영역 레이아웃 패턴들의 세트(202)의 활성 영역 레이아웃 패턴들(202a, 202b)은 제 1 방향(X)과는 상이한 제 2 방향(Y)에서 서로로부터 분리된다. 활성 영역 레이아웃 패턴들의 세트(202)는 집적 회로(400)의 활성 영역들의 대응하는 세트(402)(도 4a 내지 도 4e)를 제조하기 위하여 이용가능하다. 일부 실시예들에서, 활성 영역 레이아웃 패턴들의 세트(202)는 제 1 레이아웃 레벨 상에 위치된다.
레이아웃 설계(200)는 각각이 제 2 방향(Y)에서 연장되는, 게이트 레이아웃 패턴들(205a, 205b, 205c, 205d)("게이트 레이아웃 패턴들의 세트(205)"로서 집합적으로 지칭됨) 및 게이트 레이아웃 패턴들(206a, 206b, 206c, 206d)("게이트 레이아웃 패턴들의 세트(206)"로서 집합적으로 지칭됨)을 더 포함한다. 게이트 레이아웃 패턴들의 세트(205)의 레이아웃 패턴들의 각각은 제 1 피치(pitch)만큼 제 1 방향(X)에서 게이트 레이아웃 패턴들의 세트(205)의 인접한 레이아웃 패턴으로부터 분리된다. 게이트 레이아웃 패턴들의 세트(206)의 레이아웃 패턴들의 각각은 제 1 피치만큼 제 1 방향(X)에서 게이트 레이아웃 패턴들의 세트(206)의 인접한 레이아웃 패턴으로부터 분리된다. 게이트 레이아웃 패턴들의 세트(205 및 206)는 제 2 방향(Y)에서 서로로부터 분리된다. 일부 실시예들에서, 게이트 레이아웃 패턴들의 세트(205 및 206)는 제 2 방향으로 서로로부터 분리되지 않아서, (도 3, 및 도 5a 내지 도 9e에서 도시된 바와 같이) 게이트 레이아웃 패턴들의 단일 세트(204)를 형성한다. 게이트 레이아웃 패턴들의 세트(204, 205, 또는 206)는 집적 회로(400)의 게이트들의 대응하는 세트(404)(도 4a 내지 도 4e)를 제조하기 위하여 이용가능하다. 게이트 레이아웃 패턴들의 세트(204, 205, 및 206)는 제 1 레이아웃 레벨과는 상이한 제 2 레이아웃 레벨(POLY) 상에 위치된다. 활성 영역 레이아웃 패턴들의 세트(202)는 게이트 레이아웃 패턴들의 세트(204, 205, 또는 206) 아래에 있다.
게이트 레이아웃 패턴(206b)은 도 1의 PMOS 트랜지스터(P1)의 게이트 단자(A1)를 제조하기 위하여 이용가능하다. 게이트 레이아웃 패턴(206c)은 도 1의 PMOS 트랜지스터(P2)의 게이트 단자(B1)를 제조하기 위하여 이용가능하다. 게이트 레이아웃 패턴(205b)은 도 1의 NMOS 트랜지스터(N1)의 게이트 단자(B2)를 제조하기 위하여 이용가능하다. 게이트 레이아웃 패턴(205c)은 도 1의 NMOS 트랜지스터(N2)의 게이트 단자(A2)를 제조하기 위하여 이용가능하다.
레이아웃 설계(200)는 제 2 방향(Y)에서 연장되는 금속 상부 확산 레이아웃 패턴들(210a, 210b, 210c)("금속 상부 확산 레이아웃 패턴들의 세트(210)"로서 집합적으로 지칭됨)을 더 포함한다. 금속 상부 확산 레이아웃 패턴들의 세트(210)의 레이아웃 패턴들의 각각은 제 1 방향(X)에서 금속 상부 확산 레이아웃 패턴들의 세트(210)의 인접한 레이아웃 패턴으로부터 분리된다. 금속 상부 확산 레이아웃 패턴들의 세트는 제 2 레이아웃 레벨 상에 위치된다. 금속 상부 확산 레이아웃 패턴들의 세트(210)는 집적 회로(400)의 콘택트들의 대응하는 세트(410)(도 4a 내지 도 4e)를 제조하기 위하여 이용가능하다.
일부 실시예들에서, 금속 상부 확산 레이아웃 패턴(210a)은 도 1의 PMOS 트랜지스터(P1)의 단자(T1), 및 도 1의 NMOS 트랜지스터(N1)의 단자(T2)를 제조하기 위하여 이용가능하다. 일부 실시예들에서, 금속 상부 확산 레이아웃 패턴(210a)은 도 1의 PMOS 트랜지스터(P2)의 단자(T7), 및 도 1의 NMOS 트랜지스터(N2)의 단자(T8)를 제조하기 위하여 이용가능하다. 일부 실시예들에서, 금속 상부 확산 레이아웃 패턴(210b)은 도 1의 PMOS 트랜지스터(P1)의 단자(T3), 도 1의 NMOS 트랜지스터(N1)의 단자(T4), 도 1의 PMOS 트랜지스터(P2)의 단자(T5), 및 도 1의 NMOS 트랜지스터(N2)의 단자(T6)를 제조하기 위하여 이용가능하다. 일부 실시예들에서, 금속 상부 확산 레이아웃 패턴(210c)은 도 1의 PMOS 트랜지스터(P2)의 단자(T7), 및 도 1의 NMOS 트랜지스터(N2)의 단자(T8)를 제조하기 위하여 이용가능하다. 일부 실시예들에서, 금속 상부 확산 레이아웃 패턴(210c)은 도 1의 PMOS 트랜지스터(P1)의 단자(T1), 및 도 1의 NMOS 트랜지스터(N1)의 단자(T2)를 제조하기 위하여 이용가능하다.
레이아웃 설계(200)는 적어도 제 1 방향(X) 또는 제 2 방향(Y)에서 연장되는 전도성 특징부 레이아웃 패턴들(212a, 212b)("전도성 특징부 레이아웃 패턴들의 세트(212)"로서 집합적으로 지칭됨)을 더 포함한다. 일부 실시예들에서, 전도성 특징부 레이아웃 패턴들의 세트(212)는 적어도 제 1 레이아웃 레벨, 제 2 레이아웃 레벨, 또는 제 3 레이아웃 레벨 상에 위치된다. 일부 실시예들에서, 제 3 레이아웃 레벨은 제 1 레이아웃 레벨 및 제 2 레이아웃 레벨과는 상이하다. 전도성 특징부 레이아웃 패턴들의 세트(212)는 집적 회로(400)의 전도성 구조체들의 대응하는 세트(예컨대, 전도성 구조체들의 세트(420) 또는 전도성 구조체들의 세트(430)(도 4a 내지 도 4e)를 제조하기 위하여 이용가능하다. 일부 실시예들에서, 전도성 특징부 레이아웃 패턴들의 세트(212)는 집적 회로(500B)의 전도성 구조체들의 대응하는 세트(420'), 집적 회로(600B)의 전도성 구조체들의 세트(620'), 집적 회로(700B)의 전도성 구조체들의 세트(720'), 집적 회로(800B)의 전도성 구조체들의 세트(820'), 또는 집적 회로(900B)의 전도성 구조체들의 세트(920')를 제조하기 위하여 이용가능하다. 전도성 특징부 레이아웃 패턴(212a)은 PMOS 트랜지스터(P1)의 게이트 단자(A1)를 도 1의 NMOS 트랜지스터(N1)의 게이트 단자(A2)에 전기적으로 결합하기 위하여 이용된 전도성 구조체(예컨대, 전도성 구조체(420) 또는 전도성 구조체들(430a, 430b, 430c))를 제조하는 것에 대응한다. 전도성 특징부 레이아웃 패턴(212b)은 PMOS 트랜지스터(P2)의 게이트 단자(B1)를 도 1의 NMOS 트랜지스터(N2)의 게이트 단자(B2)에 전기적으로 결합하기 위하여 이용된 전도성 구조체(예컨대, 전도성 구조체(420) 또는 전도성 구조체들(430a, 430b, 430c))를 제조하는 것에 대응한다. 일부 실시예들에서, 전도성 특징부 레이아웃 패턴들의 세트(212)는 레이아웃 설계(200) 내에 포함되지 않는다.
도 3은 일부 실시예들에 따른, 집적 회로의 레이아웃 설계(300)의 도면이다. 레이아웃 설계(300)는 집적 회로(100)(도 1) 또는 집적 회로(400)(도 4a 내지 도 4e)를 제조하기 위하여 이용가능하다.
레이아웃 설계(300)는 레이아웃 설계(200)(도 2)의 변형이다. 레이아웃 설계(300)는 집적 회로(100)(도 1) 또는 집적 회로(400)(도 4a 내지 도 4e)와 유사한 집적 회로를 제조하기 위하여 이용가능하다.
도 2의 레이아웃 설계(200)와 비교하면, 레이아웃 설계(300)는 절단 특징부 레이아웃 패턴들(304a 및 304b), 핀 레이아웃 패턴들(316a 및 316b), 전도성 특징부 레이아웃 패턴들(320, 330a, 330b, 330c, 및 340), 및 비아 레이아웃 패턴들(322a, 322b, 332a, 332b, 342a, 및 342b) 중의 하나 이상을 더 포함한다.
도 2의 레이아웃 설계(200)와 비교하면, 레이아웃 설계(300)의 게이트 레이아웃 패턴들의 세트(204)는 게이트 레이아웃 패턴들의 세트(205 및 206)를 대체한다. 게이트 레이아웃 패턴들의 세트(204)는 제 2 방향(Y)에서 서로로부터 분리되지 않은 게이트 레이아웃 패턴들의 세트(205 및 206)에 대응하고, 이에 따라, 게이트 레이아웃 패턴들의 단일 세트(예컨대, 게이트 레이아웃 패턴들의 세트(204))를 형성한다. 게이트 레이아웃 패턴들의 세트(204)는 게이트 레이아웃 패턴들의 세트(205 및 206)와 유사하고, 그러므로, 유사한 상세한 설명은 생략된다.
절단 특징부 레이아웃 패턴들(304a, 304b)("절단 특징부 레이아웃 패턴들의 세트(304)"로서 집합적으로 지칭됨)은 제 1 방향(X)에서 연장된다. 절단 특징부 레이아웃 패턴들의 세트(304)는 게이트 레이아웃 패턴의 세트(204)의 적어도 제 1 게이트 레이아웃 패턴과 중첩한다. 절단 특징부 레이아웃 패턴들(304a, 304b)은 게이트 레이아웃 패턴들의 세트(204)의 대응하는 게이트 레이아웃 패턴들(204b, 204c)과 중첩한다. 절단 특징부 레이아웃 패턴들의 세트(304)의 각각의 레이아웃 패턴은 제 2 방향(Y)에서의 패턴 폭, 및 제 1 방향(X)에서의 패턴 길이를 가진다.
일부 실시예들에서, 절단 특징부 레이아웃 패턴(304a)은 방법(1000)(도 10)의 동작(1006) 동안에 제거되는 집적 회로(400)의 게이트들(404b1 및 404b2)(도 4a 내지 도 4e)의 위치를 식별하기 위하여 이용가능하다. 일부 실시예들에서, 절단 특징부 레이아웃 패턴(304b)은 방법(1000)(도 10)의 동작(1006) 동안에 제거되는 집적 회로(400)의 게이트들(404c1 및 404c2)(도 4a 내지 도 4e)의 위치를 식별하기 위하여 이용가능하다. 게이트들(404b1 및 404b2) 및 게이트들(404c1 및 404c2)의 제거된 게이트 부분은 또한, 절단 영역으로서 지칭된다. 일부 실시예들에서, 게이트 레이아웃 패턴들의 세트(204) 및 절단 특징부 레이아웃 패턴(304)은 제 2 레이아웃 레벨 상에 위치된다. 일부 실시예들에서, 제 2 레이아웃 레벨은 폴리 레이아웃 레벨(POLY)에 대응한다. 절단 특징부 레이아웃 패턴들의 세트(304)에서의 패턴들의 다른 구성들 또는 수량들은 본 개시내용의 범위 내에 있다.
핀 레이아웃 패턴들(316a, 316b)("핀 레이아웃 패턴들의 세트(316)"로서 집합적으로 지칭됨)은 각각 제 1 방향(X)에서 연장된다. 핀 레이아웃 패턴들(316a, 316b)은 대응하는 활성 영역 레이아웃 패턴(202a, 202b) 상에 있다. 핀 레이아웃 패턴들의 세트(316)는 게이트 레이아웃 패턴들의 세트(204) 아래에 있다. 핀 레이아웃 패턴들의 세트(316)의 레이아웃 패턴들의 각각은 핀 피치(fin pitch)만큼 제 2 방향(Y)에서 핀 레이아웃 패턴들의 세트(316)의 인접한 레이아웃 패턴으로부터 분리된다. 핀 레이아웃 패턴들의 세트(316)는 집적 회로(400)의 핀들의 대응하는 세트를 제조하기 위하여 이용가능하다. 핀 레이아웃 패턴들의 세트(316)에서의 핀들의 다른 구성들 또는 수량들은 본 개시내용의 범위 내에 있다.
전도성 특징부 레이아웃 패턴(320a)(이하, "전도성 특징부 레이아웃 패턴들의 세트(320)"로서 지칭됨)은 적어도 제 1 방향(X) 또는 제 2 방향(Y)에서 연장된다. 일부 실시예들에서, 전도성 특징부 레이아웃 패턴들의 세트(320)는 단일 방향(예컨대, 제 1 방향(X) 또는 제 2 방향(Y))에서 연장된다. 일부 실시예들에서, 전도성 특징부 레이아웃 패턴들의 세트(320)는 2 개의 방향들(예컨대, 제 1 방향(X) 및 제 2 방향(Y)(도 5))로 연장된다. 전도성 특징부 레이아웃 패턴들의 세트(320)는 하나 이상의 전도성 특징부 레이아웃 패턴들을 포함한다. 전도성 특징부 레이아웃 패턴들의 세트(320)는 제 1 레이아웃 레벨 상에 위치된다. 일부 실시예들에서, 레이아웃 설계(300)의 제 1 레이아웃 레벨은 활성 영역이다. 일부 실시예들에서, 레이아웃 설계(300)의 제 1 레이아웃 레벨은 금속 하부(metal under; MU) 부분 및 비아 하부(via under; VU) 부분으로 분할된다. 일부 실시예들에서, MU 부분은 VU 부분 아래에 있다. 일부 실시예들에서, 전도성 특징부 레이아웃 패턴들의 세트(320)는 제 1 레이아웃 레벨의 MU 부분 상에 위치된다. 일부 실시예들에서, MU 부분은 레이아웃 설계(300)의 적어도 M0 레벨, POLY 레벨, 또는 MD 레벨 바로 아래에 위치된다.
전도성 특징부 레이아웃 패턴들의 세트(320)는 활성 영역 레이아웃 패턴들의 세트(202) 사이에 있다. 전도성 특징부 레이아웃 패턴(320a)은 활성 영역 레이아웃 패턴(202a)과 활성 영역 레이아웃 패턴(202b) 사이에 위치된다. 전도성 특징부 레이아웃 패턴들의 세트(320)는 집적 회로(400)의 전도성 구조체들의 대응하는 세트(420)(도 4a 내지 도 4e)를 제조하기 위하여 이용가능하다. 전도성 특징부 레이아웃 패턴들의 세트(320)는 게이트 레이아웃 패턴들의 세트(204) 및 금속 상부 확산 레이아웃 패턴들의 세트(210) 아래에 있다. 일부 실시예들에서, 금속 상부 확산 레이아웃 패턴들의 세트(210)는 전도성 특징부 레이아웃 패턴들의 세트(320)와 중첩한다. 게이트 레이아웃 패턴들의 세트(204)는 적어도 전도성 특징부 레이아웃 패턴들의 세트(320)와 중첩한다. 전도성 특징부 레이아웃 패턴들의 세트(320)에서의 패턴들의 다른 구성들 또는 수량들은 본 개시내용의 범위 내에 있다.
비아 레이아웃 패턴들(322a, 322b)("비아 레이아웃 패턴들의 세트(322)"로서 집합적으로 지칭됨)은 전도성 특징부 레이아웃 패턴들의 세트(320)와 게이트 레이아웃 패턴들의 세트(204) 사이에 있다. 비아 레이아웃 패턴들의 세트(322)의 비아 레이아웃 패턴들(322a, 322b)은 게이트 레이아웃 패턴들의 세트(204)의 대응하는 게이트 레이아웃 패턴(204c, 204b)과 전도성 특징부 레이아웃 패턴들의 세트(320) 사이에 있다. 비아 레이아웃 패턴들(322a, 322b)은 레이아웃 설계(300)의 제 1 레이아웃 레벨의 VU 부분에서 위치된다. 일부 실시예들에서, VU 부분은 MU 부분 위에 있다. 일부 실시예들에서, VU 부분은 레이아웃 설계(300)의 적어도 V0 레벨, VG 레벨, 또는 VD 레벨 바로 아래에 위치된다.
비아 레이아웃 패턴들의 세트(322)는 비아들의 대응하는 세트(422)(도 4a 내지 도 4e)를 제조하기 위하여 이용가능하다. 비아들의 세트(422)는 전도성 구조체들의 세트(420)를 게이트들의 세트(404)에 결합한다.
일부 실시예들에서, 비아 레이아웃 패턴들의 세트(322)의 비아 레이아웃 패턴(322a, 322b)은 게이트 레이아웃 패턴들의 세트(204)의 대응하는 게이트 레이아웃 패턴(204c, 204b)이 전도성 특징부 레이아웃 패턴들의 세트(320)의 대응하는 레이아웃 패턴과 중첩되는 곳에 위치된다. 일부 실시예들에서, 비아 레이아웃 패턴들의 세트(322)의 비아 레이아웃 패턴(322a, 322b)의 중심은 게이트 레이아웃 패턴들의 세트(204)의 대응하는 게이트 레이아웃 패턴(204c, 204b)의 중심 아래에 있다. 일부 실시예들에서, 비아 레이아웃 패턴들의 세트(322a)의 비아 레이아웃 패턴(322a, 322b)의 중심은 게이트 레이아웃 패턴들의 세트(204)의 대응하는 게이트 레이아웃 패턴(204c, 204b)의 중심과 적어도 제 1 방향(X) 또는 제 2 방향(Y)에서 정렬된다. 비아 레이아웃 패턴들의 세트(322)의 비아 레이아웃 패턴들(322a, 322b)의 다른 구성들은 본 개시내용의 범위 내에 있다.
전도성 특징부 레이아웃 패턴들(330a, 330b, 330c)("전도성 특징부 레이아웃 패턴들의 세트(330)"로서 집합적으로 지칭됨)은 제 1 방향(X)에서 연장된다. 전도성 특징부 레이아웃 패턴들의 세트(330)는 활성 영역 레이아웃 패턴들의 세트(202) 사이에 위치된다. 전도성 특징부 레이아웃 패턴들(330a, 330b, 330c)의 각각은 활성 영역 레이아웃 패턴(202a)과 활성 영역 레이아웃 패턴(202b) 사이에 위치된다. 일부 실시예들에서, 전도성 레이아웃 패턴들의 세트(330)의 레이아웃 패턴들(330a, 330b, 330c)의 각각은 제 2 방향(Y)에서 전도성 레이아웃 패턴들의 세트(330)의 인접한 레이아웃 패턴으로부터 분리된다. 전도성 특징부 레이아웃 패턴들의 세트(330)는 집적 회로(400)의 전도성 구조체들의 대응하는 세트(430)(도 4a 내지 도 4e)를 제조하기 위하여 이용가능하다.
전도성 특징부 레이아웃 패턴들의 세트(330)는 제 1 레이아웃 레벨 및 제 2 레이아웃 레벨과는 상이한 제 3 레이아웃 레벨 상에 위치된다. 일부 실시예들에서, 제 3 레이아웃 레벨은 금속 제로(metal zero)(M0) 레이아웃 레벨에 대응한다. 일부 실시예들에서, 제 3 레이아웃 레벨은 금속 1(M1) 레이아웃 레벨, 금속 2(M2) 레이아웃 레벨, 금속 3(M3) 레이아웃 레벨 등을 포함하는 상부 금속 층에 대응한다. 전도성 특징부 레이아웃 패턴들의 세트(330)는 적어도 게이트 레이아웃 패턴들의 세트(204) 또는 금속 상부 확산 레이아웃 패턴들의 세트(210)와 중첩한다. 전도성 특징부 레이아웃 패턴들의 세트(330)는 전도성 특징부 레이아웃 패턴들의 세트(320) 및 활성 영역 레이아웃 패턴들의 세트(202) 위에 있다.
비아 레이아웃 패턴들(332a, 332b)("비아 레이아웃 패턴들의 세트(332)"로서 집합적으로 지칭됨)은 전도성 특징부 레이아웃 패턴들의 세트(330)와 게이트 레이아웃 패턴들의 세트(204) 사이에 있다. 비아 레이아웃 패턴들(332a, 332b)은 레이아웃 설계(300)의 비아 오버 게이트(via over gate; VG) 레벨에서 위치된다. 비아 레이아웃 패턴들의 세트(332)의 비아 레이아웃 패턴들(332a, 332b)은 전도성 특징부 레이아웃 패턴들의 세트(330)의 대응하는 전도성 특징부 레이아웃 패턴(330c, 330a)과 게이트 레이아웃 패턴들의 세트(204)의 대응하는 게이트 레이아웃 패턴(204b, 204c) 사이에 있다. 비아 레이아웃 패턴들의 세트(332)는 비아들의 대응하는 세트(432)(도 4a 내지 도 4e)를 제조하기 위하여 이용가능하다. 비아들의 세트(432)는 전도성 구조체들의 세트(430)를 게이트들의 세트(404)에 결합한다.
일부 실시예들에서, 비아 레이아웃 패턴들의 세트(332)의 비아 레이아웃 패턴(332a, 332b)은 전도성 특징부 레이아웃 패턴들의 세트(330)의 대응하는 레이아웃 패턴(330c, 330a)이 게이트 레이아웃 패턴들의 세트(204)의 대응하는 게이트 레이아웃 패턴(204b, 204c)과 중첩되는 곳에 위치된다. 일부 실시예들에서, 비아 레이아웃 패턴들의 세트(332)의 비아 레이아웃 패턴(332a, 332b)의 중심은 게이트 레이아웃 패턴들의 세트(204)의 대응하는 게이트 레이아웃 패턴(204b, 204c)의 중심 상에 있다. 일부 실시예들에서, 비아 레이아웃 패턴들의 세트(332)의 비아 레이아웃 패턴(332a, 332b)의 중심은 전도성 특징부 레이아웃 패턴들의 세트(330)의 대응하는 전도성 특징부 레이아웃 패턴(330c, 330a)의 중심 아래에 있다. 일부 실시예들에서, 비아 레이아웃 패턴들의 세트(332)의 비아 레이아웃 패턴(332a, 332b)의 중심은 게이트 레이아웃 패턴들의 세트(204)의 대응하는 게이트 레이아웃 패턴(204b, 204c)의 중심과 적어도 제 1 방향(X) 또는 제 2 방향(Y)에서 정렬된다. 일부 실시예들에서, 비아 레이아웃 패턴들의 세트(332)의 비아 레이아웃 패턴(332a, 332b)의 중심은 전도성 특징부 레이아웃 패턴들의 세트(330)의 대응하는 전도성 특징부 레이아웃 패턴(330c, 330a)의 중심과 적어도 제 1 방향(X) 또는 제 2 방향(Y)에서 정렬된다. 비아 레이아웃 패턴들의 세트(332)의 비아 레이아웃 패턴들(332a, 332b)의 다른 구성들은 본 개시내용의 범위 내에 있다.
전도성 특징부 레이아웃 패턴(340a)("전도성 특징부 레이아웃 패턴들의 세트(340)"로서 집합적으로 지칭됨)은 제 2 방향(Y)에서 연장된다. 전도성 특징부 레이아웃 패턴들의 세트(340)는 하나 이상의 전도성 특징부 레이아웃 패턴들을 포함한다. 전도성 특징부 레이아웃 패턴들의 세트(340)는 게이트 레이아웃 패턴들의 세트(204) 사이에 있다. 일부 실시예들에서, 전도성 특징부 레이아웃 패턴(340a)은 게이트 레이아웃 패턴(204C)와 게이트 레이아웃 패턴(204D) 사이에 위치된다. 일부 실시예들에서, 전도성 레이아웃 패턴들의 세트(340)의 레이아웃 패턴들의 각각은 제 1 방향(X)에서 전도성 레이아웃 패턴들의 세트(340)의 인접한 레이아웃 패턴으로부터 분리된다. 전도성 특징부 레이아웃 패턴들의 세트(340)는 집적 회로(400)의 전도성 구조체들의 대응하는 세트(440)(도 4a 내지 도 4e)를 제조하기 위하여 이용가능하다.
전도성 특징부 레이아웃 패턴들의 세트(340)는 적어도 전도성 특징부 레이아웃 패턴들의 세트(320), 전도성 특징부 레이아웃 패턴들의 세트(330), 금속 상부 확산 레이아웃 패턴들의 세트(210), 또는 활성 영역 레이아웃 패턴들의 세트(202)와 중첩한다. 전도성 특징부 레이아웃 패턴들의 세트(340)는 제 1 레이아웃 레벨, 제 2 레이아웃 레벨, 및 제 3 레이아웃 레벨과는 상이한 제 4 레이아웃 레벨 상에 위치된다. 일부 실시예들에서, 제 4 레이아웃 레벨은 레이아웃 설계(300)의 금속 1(M1) 레벨에 대응한다. 전도성 특징부 레이아웃 패턴들의 세트(340)에서의 패턴들의 다른 구성들 또는 수량들은 본 개시내용의 범위 내에 있다.
비아 레이아웃 패턴들(342a, 342b)("비아 레이아웃 패턴들의 세트(342)"로서 집합적으로 지칭됨)은 전도성 특징부 레이아웃 패턴들의 세트(330)와 전도성 특징부 레이아웃 패턴들의 세트(340) 사이에 있다. 비아 레이아웃 패턴들의 세트(342)의 비아 레이아웃 패턴들(342a, 342b)은 전도성 특징부 레이아웃 패턴들의 세트(330)의 대응하는 전도성 특징부 레이아웃 패턴(330a, 330c)과 전도성 특징부 레이아웃 패턴들의 세트(340) 사이에 있다. 비아 레이아웃 패턴들(342a, 342b)은 레이아웃 설계(300)의 비아 제로(via zero; V0) 레벨에서 위치된다. 비아 레이아웃 패턴들의 세트(342)는 비아들의 대응하는 세트(442)(도 4a 내지 도 4e)를 제조하기 위하여 이용가능하다. 비아들의 세트(442)는 전도성 구조체들의 세트(430)를 전도성 구조체들의 세트(440)에 결합한다.
일부 실시예들에서, 비아 레이아웃 패턴들의 세트(342)의 비아 레이아웃 패턴(342a, 342b)은 전도성 특징부 레이아웃 패턴들의 세트(330)의 대응하는 전도성 특징부 레이아웃 패턴(330a, 330c)이 전도성 특징부 레이아웃 패턴들의 세트(340)의 레이아웃 패턴에 의해 중첩되는 곳에 위치된다. 일부 실시예들에서, 비아 레이아웃 패턴들의 세트(342)의 비아 레이아웃 패턴(342a, 342b)의 중심은 전도성 특징부 레이아웃 패턴들의 세트(330)의 대응하는 전도성 특징부 레이아웃 패턴(330a, 330c)의 중심 위에 있다. 일부 실시예들에서, 비아 레이아웃 패턴들의 세트(342)의 비아 레이아웃 패턴(342a, 342b)의 중심은 전도성 특징부 레이아웃 패턴들의 세트(330)의 대응하는 전도성 특징부 레이아웃 패턴(330a, 330c)의 중심과 적어도 제 1 방향(X) 또는 제 2 방향(Y)에서 정렬된다. 비아 레이아웃 패턴들의 세트(342)의 비아 레이아웃 패턴들(342a, 342b)의 다른 구성들은 본 개시내용의 범위 내에 있다.
일부 실시예들에서, 레이아웃 설계(300)의 (게이트 레이아웃 패턴(204b)에 의해 제조된) 게이트 단자(A1, 404b1)(도 4c)는 (게이트 레이아웃 패턴(204c)에 의해 제조된) 게이트 단자(A2, 404c2)(도 4b)에 전기적으로 결합된다. 예를 들어, 일부 실시예들에서, (게이트 레이아웃 패턴(204b)에 의해 제조된) 게이트 단자(A1, 404b1)는 (비아 레이아웃 패턴(322b)에 의해 제조된) 비아(422b)(도 4c)를 통해 (전도성 특징부 레이아웃 패턴(320a)에 의해 제조된) 전도성 구조체(420a)에 전기적으로 접속되고, 전도성 구조체(420a)는 (비아 레이아웃 패턴(322a)에 의해 제조된) 비아(422a)(도 4b)를 통해 (게이트 레이아웃 패턴(204c)에 의해 제조된) 게이트 단자(A2, 404c2)에 전기적으로 결합된다.
일부 실시예들에서, 레이아웃 설계(300)의 (게이트 레이아웃 패턴(204c)에 의해 제조된) 게이트 단자(B1, 404c1)는 (게이트 레이아웃 패턴(204b)에 의해 제조된) 게이트 단자(B2, 404b2)에 전기적으로 결합된다. 예를 들어, 일부 실시예들에서, (게이트 레이아웃 패턴(204c)에 의해 제조된) 게이트 단자(B1, 404c1)(도 4b)는 (비아 레이아웃 패턴(332b)에 의해 제조된) 비아(432b)를 통해 (전도성 특징부 레이아웃 패턴(330a)에 의해 제조된) 전도성 구조체(430a)에 전기적으로 접속된다. 일부 실시예들에서, 전도성 구조체(430a)는 (비아 레이아웃 패턴(342a)에 의해 제조된) 비아(442a, V0)를 통해 (전도성 특징부 레이아웃 패턴(340a)에 의해 제조된) 전도성 구조체(440a, M1)에 전기적으로 결합된다. 일부 실시예들에서, 전도성 구조체(440a)는 (비아 레이아웃 패턴(342b)에 의해 제조된) 비아(442b, V0)를 통해 (전도성 특징부 레이아웃 패턴(330c)에 의해 제조된) 전도성 구조체(430c)에 전기적으로 결합된다. 일부 실시예들에서, 전도성 구조체(430c)는 (비아 레이아웃 패턴(332a)에 의해 제조된) 비아(432a)를 통해 (게이트 레이아웃 패턴(204b)에 의해 제조된) 게이트 단자(B2, 404b2)에 전기적으로 결합된다.
일부 실시예들에서, 전도성 특징부 레이아웃 패턴들의 세트(320)는 레이아웃 설계(300)의 적어도 게이트 레이아웃 패턴들의 세트(304), 금속-0(M0) 레벨, 또는 MD 레벨 아래에 위치된다. 일부 실시예들에서, 전도성 특징부 레이아웃 패턴들의 세트(320)를 이용함으로써, 다른 접근법들과 비교하여, 적어도 하나의 상부 금속 층 트랙이 전도성 특징부 레이아웃 패턴들의 세트(330)에서 감소된다. 일부 실시예들에서, 상부 금속 층 트랙은 M0, M1, M2 등 중의 하나 이상을 포함한다. 일부 실시예들에서, 전도성 특징부 레이아웃 패턴들의 세트(330)는 M1 레벨, M2 레벨, 등 상에 위치된 상부 금속 층 트랙 레이아웃 패턴을 포함한다. 일부 실시예들에서, 전도성 특징부 레이아웃 패턴들의 세트(330)에서의 하나 적은 상부 금속 층 트랙을 이용함으로써, 다른 접근법들과 비교하여, 더 작은 높이 및 더 작은 면적을 갖는 표준 셀이 발생한다. 일부 실시예들에서, 전도성 특징부 레이아웃 패턴들의 세트(330)에서의 하나의 상부 금속 층 트랙이 감소되므로, 전도성 특징부 레이아웃 패턴들의 세트(330)에서의 하나 이상의 레이아웃 패턴들의 폭이 증가되어, 다른 접근법들과 비교하여, 더 양호한 전류 저항(IR) 및 일렉트로마이그레이션(EM)을 갖는 표준 셀을 산출한다. 일부 실시예들에서, 본 개시내용의 전도성 특징부 레이아웃 패턴들의 세트(320)를 사용함으로써, 표준 셀의 게이트 레이아웃 패턴들의 세트의 게이트 밀도는 다른 접근법들과 비교하여 최대로 30 %만큼 증가된다. 일부 실시예들에서, 본 개시내용의 전도성 특징부 레이아웃 패턴들의 세트(320)를 사용함으로써, 레이아웃 설계(200)는 다른 접근법들과 비교하여, 더 많은 라우팅 플렉시빌리티를 제공하고 라우팅 자원들을 증가시킨다.
도 4a, 도 4b, 도 4c, 도 4d, 및 도 4e는 일부 실시예들에 따른, 집적 회로(400)의 단면도들이다. 일부 실시예들에 따르면, 도 4a는 평면 A-A'에 의해 교차된 바와 같은 레이아웃 설계(300)에 대응하는 집적 회로(400)의 단면도이고, 도 4b는 평면 B-B'에 의해 교차된 바와 같은 레이아웃 설계(300)에 대응하는 집적 회로(400)의 단면도이고, 도 4c는 평면 C-C'에 의해 교차된 바와 같은 레이아웃 설계(300)에 대응하는 집적 회로(400)의 단면도이고, 도 4d는 평면 D-D'에 의해 교차된 바와 같은 레이아웃 설계(300)에 대응하는 집적 회로(400)의 단면도이고, 도 4e는 평면 E-E'에 의해 교차된 바와 같은 레이아웃 설계(300)에 대응하는 집적 회로(400)의 단면도이다. 집적 회로(400)는 레이아웃 설계(300)에 의해 제조된다.
집적 회로(400)는 레이아웃 설계(300)에 의해 제조된다. 정렬, 길이들, 및 폭들을 포함하는 구조적 관계들 뿐만 아니라, 집적 회로(400)의 구성들은 도 2의 레이아웃 설계(200) 및 도 3의 레이아웃 설계(300)의 구조적 관계들 및 구성들과 유사하고, 간결함을 위하여 도 4a 내지 도 4e에서 설명되지 않을 것이다.
집적 회로(400)는 기판(480)에서의 활성 영역들(402a, 402b)("활성 영역들의 세트(402)"로서 집합적으로 지칭됨)을 포함한다. 활성 영역들의 세트(402)는 제 1 방향(X)에서 연장되고, 집적 회로(400)의 제 1 레벨 상에 위치된다. 활성 영역들의 세트(402)의 각각의 활성 영역은 제 2 방향(Y)에서 서로로부터 분리된다. 일부 실시예들에서, 집적 회로(400)의 활성 영역들의 세트(402)는 집적 회로(400)의 소스 또는 드레인 확산 영역들을 정의하는 산화물 정의(oxide definition; OD) 영역으로서 지칭된다. 일부 실시예들에서, 집적 회로(400)의 제 1 레벨은 활성/핀 레벨로서 지칭된다. 일부 실시예들에서, 활성 영역(402a, 402b)은 제 1 방향으로 연장되고 게이트들의 세트(404) 아래에 있는 핀들의 세트(도시되지 않음)를 포함한다. 일부 실시예들에서, 핀들(도시되지 않음)의 각각은 핀 피치(도시되지 않음)만큼 제 2 방향(Y)에서 핀들의 세트(도시되지 않음)의 인접한 핀으로부터 분리된다. 활성 영역의 세트(402)의 다른 수량들 또는 구성들은 본 개시내용의 범위 내에 있다.
집적 회로(400)는 적어도 제 1 방향(X) 또는 제 2 방향(Y)에서 연장되는 전도성 구조체(420a)("전도성 구조체들의 세트(420)"로서 집합적으로 지칭됨)를 더 포함한다. 전도성 구조체들의 세트(420)는 집적 회로(400)의 제 1 레벨 상에 위치된다. 전도성 구조체들의 세트(420)는 활성 영역들의 세트(402) 사이에 있다. 일부 실시예들에서, 전도성 구조체(420a)는 활성 영역들(402a, 402b) 사이에 위치된다. 일부 실시예들에서, 전도성 구조체들의 세트(420)의 전도성 구조체(420a)의 상단 표면은 활성 영역들의 세트(402)의 활성 영역의 상단 표면 또는 핀들의 세트(도시되지 않음)의 핀(도시되지 않음)의 상단 표면 아래에 있다.
일부 실시예들에서, 집적 회로(400)의 제 1 레벨은 집적 회로(400)의 활성 영역이다. 일부 실시예들에서, 집적 회로(400)의 제 1 레벨은 금속 하부(MU) 영역 및 비아 하부(VU) 영역으로 분할된다. 일부 실시예들에서, MU 영역은 VU 영역 아래에 있다. 일부 실시예들에서, 전도성 구조체들의 세트(420)는 집적 회로(400)의 제 1 레벨의 MU 영역 내에 있다. 일부 실시예들에서, 전도성 구조체들의 세트(420)의 전도성 구조체들은 기판(480) 내부에 매립되고, 그리고 집적 회로(400)의 적어도 M0 층, POLY 층, MD 층 바로 아래에 위치된다. 일부 실시예들에서, 전도성 구조체들의 세트(420)에서의 각각의 전도성 구조체(420a)는 약 1 내지 50 nm 범위인 제 2 방향(Y)에서의 폭(도시되지 않음)을 가진다. 일부 실시예들에서, 전도성 구조체들의 세트(420)에서의 각각의 전도성 구조체(420a)는 약 1 내지 10 μm 범위인 제 1 방향(X)에서의 길이(도시되지 않음)를 가진다. 전도성 구조체들의 세트(404)의 다른 수량들 또는 구성들은 본 개시내용의 범위 내에 있다.
집적 회로(400)는 적어도 제 1 방향(X) 또는 제 2 방향(Y)에서 연장되는 얕은 트렌치 격리(STI) 영역(490)을 더 포함한다. STI 영역(490)은 적어도 집적 회로(400)의 제 1 레벨 상에 위치된다. 일부 실시예들에서, STI 영역(490)은 활성 영역들의 세트(402)와 전도성 구조체들의 세트(420) 사이에 위치된다. 일부 실시예들에서, 전도성 구조체(420a)는 STI 영역(490)에 의해 활성 영역들(402a, 402b)의 각각으로부터 분리된다. STI 영역(490)의 다른 수량들 또는 구성들은 본 개시내용의 범위 내에 있다.
집적 회로(400)는, 제 2 방향(Y)에서 연장되고, 적어도 전도성 구조체들의 세트(420)에서 중첩되고, 집적 회로(400)의 제 2 레벨 상에 위치되는 게이트들(404b1, 404b2, 404c1, 404c2)("게이트들의 세트(404)"로서 집합적으로 지칭됨)을 더 포함한다. 일부 실시예들에서, 집적 회로(400)의 제 2 레벨은 제 1 레벨과는 상이하다. 게이트들의 세트(404)의 게이트들의 각각은 제 1 피치만큼 제 1 방향(X)에서 게이트들의 세트(404)의 인접한 게이트로부터 분리된다. 일부 실시예들에서, 집적 회로(400)의 제 2 레벨은 폴리 레벨로서 지칭된다. 게이트들의 세트(404)의 다른 수량들 또는 구성들은 본 개시내용의 범위 내에 있다.
집적 회로(400)는, 제 2 방향(Y)에서 연장되고, 전도성 구조체들의 세트(420)와 중첩되고, 집적 회로(400)의 제 2 레벨 상에 위치되는 콘택트들(410a, 410b, 410c)("콘택트들의 세트(410)"로서 집합적으로 지칭됨)을 더 포함한다. 콘택트들의 세트(410)의 콘택트들(410, 410b, 410c)의 각각은 제 1 방향(X)에서 콘택트들의 세트(410)의 인접한 콘택트로부터 분리된다. 일부 실시예들에서, 콘택트들의 세트(410)는 집적 회로(400)의 MD 레벨 상에 위치된다. 일부 실시예들에서, 콘택트들의 세트(410)의 적어도 콘택트는 활성 영역들(402a, 402b)의 적어도 소스 또는 드레인에 전기적으로 결합된다. 콘택트들의 세트(410)의 다른 수량들 또는 구성들은 본 개시내용의 범위 내에 있다.
집적 회로(400)는 전도성 구조체들의 세트(420)를 게이트들의 세트(404)에 결합하는 비아들(422a, 422b)("비아들의 세트(422)"로서 집합적으로 지칭됨)을 더 포함한다. 비아들의 세트(422)는 전도성 구조체들의 세트(420)와 게이트들의 세트(404) 사이에 있다. 비아(422a)는 게이트들(422c1, 404c2)이 전도성 구조체(420a)와 중첩되는 곳에 위치된다. 비아(422a)는 게이트들(422c1, 404c2)이 전도성 구조체(420a)와 중첩되는 곳에 위치된다. 일부 실시예들에서, 비아들의 세트(422)는 집적 회로(400)의 VU 레벨 내에 있다. 집적 회로(400)의 VU 레벨은 제 1 레벨과 제 2 레벨 사이에 있다. 비아들의 세트(422)의 다른 수량들 또는 구성들은 본 개시내용의 범위 내에 있다.
집적 회로(400)는, 제 1 방향(X)에서 연장되고, 활성 영역들의 세트(402) 사이에 있고, 적어도 게이트들의 세트(404)와 중첩되고, 집적 회로(400)의 제 3 레벨 상에 위치되는 전도성 구조체들(430a, 430b, 430c)("전도성 구조체들의 세트(430)"로서 집합적으로 지칭됨)을 더 포함한다. 일부 실시예들에서, 집적 회로(400)의 제 3 레벨은 제 1 레벨 및 제 2 레벨과는 상이하다. 일부 실시예들에서, 집적 회로(400)의 제 3 레벨은 M0 레벨로서 지칭된다. 전도성 구조체들의 세트(430)의 전도성 구조체들(430a, 430b, 430c)의 각각은 제 2 방향(Y)에서 전도성 구조체들의 세트(430)의 인접한 전도성 구조체로부터 분리된다. 전도성 구조체들의 세트(430)의 다른 수량들 또는 구성들은 본 개시내용의 범위 내에 있다.
집적 회로(400)는 전도성 구조체들의 세트(430)를 게이트들의 세트(404)에 결합하는 비아들(432a, 432b)("비아들의 세트(432)"로서 집합적으로 지칭됨)을 더 포함한다. 비아들의 세트(432)는 전도성 구조체들의 세트(430)와 게이트들의 세트(404) 사이에 있다. 비아(432a)는 전도성 구조체(430c)가 게이트들(422c1, 404c2)과 중첩되는 곳에 위치된다. 비아(432b)는 전도성 구조체(430a)가 게이트들(422c1, 404c2)과 중첩되는 곳에 위치된다. 일부 실시예들에서, 비아들의 세트(432)는 집적 회로(400)의 VG 레벨 내에 있다. 집적 회로(400)의 VG 레벨은 제 2 레벨과 제 3 레벨 사이에 있다. 비아들의 세트(432)의 다른 수량들 또는 구성들은 본 개시내용의 범위 내에 있다.
집적 회로(400)는, 제 2 방향(Y)에서 연장되고, 게이트들의 세트(404) 사이에 있고, 적어도 전도성 구조체들의 세트(420)와 중첩되고, 집적 회로(400)의 제 4 레벨 상에 위치되는 전도성 구조체(440a)("전도성 구조체들의 세트(440)"로서 집합적으로 지칭됨)를 더 포함한다. 일부 실시예들에서, 집적 회로(400)의 제 4 레벨은 제 1 레벨, 제 2 레벨, 및 제 3 레벨과는 상이하다. 일부 실시예들에서, 집적 회로(400)의 제 4 레벨은 M1 레벨로서 지칭된다. 일부 실시예들에서, 전도성 구조체들의 세트(440)의 구조체들의 각각은 제 1 방향(X)에서 전도성 구조체들의 세트(440)의 인접한 구조체로부터 분리된다. 전도성 구조체들의 세트(440)의 다른 수량들 또는 구성들은 본 개시내용의 범위 내에 있다.
집적 회로(400)는 전도성 구조체들의 세트(440)를 전도성 구조체들의 세트(430)에 결합하는 비아들(442a, 442b)("비아들의 세트(442)"로서 집합적으로 지칭됨)을 더 포함한다. 비아들의 세트(442)는 전도성 구조체들의 세트(440)와 전도성 구조체들의 세트(430) 사이에 있다. 비아(442a)는 전도성 구조체(440a)가 전도성 구조체(430a)와 중첩되는 곳에 위치된다. 비아(442b)는 전도성 구조체(440a)가 전도성 구조체(430c)와 중첩되는 곳에 위치된다. 일부 실시예들에서, 비아들의 세트(442)는 집적 회로(400)의 VG 레벨 내에 있다. 집적 회로(400)의 V0 레벨은 제 3 레벨과 제 4 레벨 사이에 있다. 비아들의 세트(442)의 다른 수량들 또는 구성들은 본 개시내용의 범위 내에 있다.
일부 실시예들에서, 전도성 구조체들의 세트(420, 430, 또는 440)의 하나 이상의 전도성 구조체는 금속, 금속 화합물, 또는 도핑된 반도체를 포함한다. 일부 실시예들에서, 비아들의 세트(422, 432, 442)의 하나 이상의 비아들은 금속, 금속 화합물, 또는 도핑된 반도체를 포함한다. 일부 실시예들에서, 금속은 적어도 Cu(구리), Co, W, Ru, Al, 또는 등을 포함한다. 일부 실시예들에서, 금속 화합물은 적어도 AlCu, W-TiN, TiSix, NiSix, TiN, TaN, 또는 등을 포함한다. 일부 실시예들에서, 도핑된 반도체는 적어도 도핑된 실리콘, 또는 등을 포함한다.
일부 실시예들에서, 게이트 단자(A1, 404b1)는 게이트 단자(A2, 404c2)에 전기적으로 결합된다. 예를 들어, 게이트 단자(A1, 404b1)는 비아(422b)를 통해 전도성 구조체(420a)에 전기적으로 접속되고, 전도성 구조체(420a)는 비아(422a)를 통해 게이트 단자(A2, 404c2)에 전기적으로 결합된다.
일부 실시예들에서, 게이트 단자(B1, 404c1)는 게이트 단자(B2, 404b2)에 전기적으로 결합된다. 예를 들어, 일부 실시예들에서, 게이트 단자(B1, 404c1)는 비아(432b)를 통해 전도성 구조체(430a)에 전기적으로 접속된다. 일부 실시예들에서, 전도성 구조체(430a)는 비아(442a, V0)를 통해 전도성 구조체(440a, M1)에 전기적으로 결합된다. 일부 실시예들에서, 전도성 구조체(440a)는 비아(442b, V0)를 통해 전도성 구조체(430c)에 전기적으로 결합된다. 일부 실시예들에서, 전도성 구조체(430c)는 비아(432a)를 통해 게이트 단자(B2, 404b2)에 전기적으로 결합된다.
일부 실시예들에서, 전도성 구조체들의 세트(420)는 집적 회로(400)의 적어도 게이트들의 세트(404), 금속 0(M0) 층, 또는 MD 층 아래에 위치된다. 일부 실시예들에서는, 전도성 구조체들의 세트(420)의 전도성 구조체들이 기판(480) 내부에 매립되고, 집적 회로(400)의 적어도 M0 층, POLY 층, MD 층 바로 아래에 위치되므로, 전도성 구조체들의 세트(420)는 매립된 금속 트랙(buried metal track; BMT)로서 지칭된다.
일부 실시예들에서, 전도성 구조체들의 세트(420)를 이용함으로써, 하나의 상부 금속 층 트랙(예컨대, MD 트랙, M0 트랙, M1 트랙 등)은 전도성 구조체들의 세트(430)에서 감소되어, 다른 접근법들과 비교하여 더 작은 높이 및 더 작은 면적을 산출한다. 일부 실시예들에서, 전도성 구조체들의 세트(420)를 이용함으로써, 하나의 상부 금속 층 트랙(예컨대, MD 트랙, M0 트랙, M1 트랙 등)은 전도성 구조체들의 세트(430)에서 감소되고, 제거된 상부 층 금속 트랙에 의해 점유된 공간은 전도성 구조체들의 세트(430)에서의 적어도 하나의 전도성 구조체의 폭을 증가시킴으로써 사용될 수 있어서, 다른 접근법들과 비교하여 더 양호한 전류 저항(IR) 및 EM을 갖는 표준 셀을 산출할 수 있다.
일부 실시예들에서, 본 개시내용의 하나의 상부 금속 층 트랙을 감소시킴으로써, 감소될 수 있어서, 다른 접근법들과 비교하여 최대로 30 %만큼 표준 셀의 게이트 밀도에서의 증가로 귀착될 수 있다. 일부 실시예들에서, 본 개시내용의 하나의 상부 금속 층 트랙을 감소시킴으로써, 집적 회로(400)는 다른 접근법들과 비교하여, 더 많은 라우팅 플렉시빌리티를 제공하고 라우팅 자원들을 증가시킨다.
도 5a는 일부 실시예들에 따른, 집적 회로의 레이아웃 설계(500A)의 도면이다.
도 5b, 도 5c, 및 도 5d는 일부 실시예들에 따른, 집적 회로(500B)의 단면도들이다.
레이아웃 설계(500A)는 레이아웃 설계(300)(도 3)의 변형이다. 예를 들어, 레이아웃 설계(500A)는 MU 층(예컨대, 전도성 특징부 레이아웃 패턴들의 세트(520))이 2 개의 방향들에서 연장되는 예를 예시한다.
레이아웃 설계(500A)는 집적 회로(100)(도 1), 집적 회로(400)(도 4a 내지 도 4e), 또는 500B와 유사한 집적 회로를 제조하기 위하여 이용가능하다.
500A
도 3의 레이아웃 설계(300)와 비교하면, 레이아웃 설계(500A)의 전도성 특징부 레이아웃 패턴들(520a, 520b, 및 520c)은 전도성 특징부 레이아웃 패턴(320a)을 대체한다.
전도성 특징부 레이아웃 패턴들(520a, 520b, 520c)(이하, "전도성 특징부 레이아웃 패턴들의 세트(520)"로서 지칭됨)은 제 1 레이아웃 레벨 상에 위치된다. 전도성 특징부 레이아웃 패턴들의 세트(520)는 집적 회로(100, 400, 또는 500B)의 2 차원(2D) 전도성 구조체들의 대응하는 세트(420')를 제조하기 위하여 이용가능하다.
일부 실시예들에서, 전도성 특징부 레이아웃 패턴들의 세트(520)는 제 1 레이아웃 레벨의 MU 부분 상에 위치된다. 전도성 특징부 레이아웃 패턴들의 세트(520)는 2 개의 방향들(예컨대, 제 1 방향(X) 및 제 2 방향(Y))에서 연장된다. 전도성 특징부 레이아웃 패턴(520a 및 520c)은 각각 제 1 방향(X)에서 연장된다. 전도성 특징부 레이아웃 패턴(520b)은 제 2 방향(Y)에서 연장된다.
전도성 특징부 레이아웃 패턴들의 세트(520)는 활성 영역 레이아웃 패턴들의 세트(202) 사이에 있다. 전도성 특징부 레이아웃 패턴(520a)은 전도성 특징부 레이아웃 패턴(330a)과 전도성 특징부 레이아웃 패턴(330b) 사이에 위치된다. 전도성 특징부 레이아웃 패턴(520c)은 전도성 특징부 레이아웃 패턴(330b)과 전도성 특징부 레이아웃 패턴(330c) 사이에 위치된다.
전도성 특징부 레이아웃 패턴(520b)은 게이트 레이아웃 패턴들(204b 및 204c) 사이에 위치된다. 일부 실시예들에서, 전도성 특징부 레이아웃 패턴(520b)은 전도성 특징부 레이아웃 패턴(330a)과 전도성 특징부 레이아웃 패턴(330c) 사이에 위치된다. 일부 실시예들에서, 금속 상부 확산 레이아웃 패턴(210a, 210b, 210c)은 대응하는 전도성 특징부 레이아웃 패턴(520a, 520b, 520c)과 중첩한다.
게이트 레이아웃 패턴들의 세트(204)는 전도성 특징부 레이아웃 패턴들의 세트(520)와 중첩한다. 일부 실시예들에서, 게이트 레이아웃 패턴들(204a, 204b)은 전도성 특징부 레이아웃 패턴(520a)과 중첩한다. 일부 실시예들에서, 게이트 레이아웃 패턴들(204c, 204d)은 전도성 특징부 레이아웃 패턴(520c)과 중첩한다. 전도성 특징부 레이아웃 패턴들의 세트(520)에서의 패턴들의 다른 구성들 또는 수량들은 본 개시내용의 범위 내에 있다.
도 3의 레이아웃 설계(300)와 비교하면, 레이아웃 설계(500A)의 비아 레이아웃 패턴들(522a, 522b)은 대응하는 비아 레이아웃 패턴들(322a, 322b)을 대체한다. 비아 레이아웃 패턴들의 세트(522)는 비아 레이아웃 패턴들의 세트(422)와 유사하고, 그러므로, 유사한 상세한 설명은 생략된다. 일부 실시예들에서, 비아 레이아웃 패턴들의 세트(522)는 게이트들의 세트(204)와, 전도성 특징부 레이아웃 패턴들의 세트(520)에 의해 제조된 전도성 구조체들의 세트(420')와의 사이에 결합된 비아들의 대응하는 세트(422)(도 4a 내지 도 4e)를 제조하기 위하여 이용가능하다.
일부 실시예들에 따르면, 도 5b는 평면 F-F'에 의해 교차된 바와 같은 레이아웃 설계(500A)에 대응하는 집적 회로(500B)의 단면도이고, 도 5c는 평면 G-G'에 의해 교차된 바와 같은 레이아웃 설계(500A)에 대응하는 집적 회로(500B)의 단면도이고, 도 5d는 평면 H-H'에 의해 교차된 바와 같은 레이아웃 설계(500A)에 대응하는 집적 회로(500B)의 단면도이다. 정렬, 길이들, 및 폭들을 포함하는 구조적 관계들 뿐만 아니라, 집적 회로(500B)의 구성들은 도 5a의 레이아웃 설계(500A)의 구조적 관계들 및 구성들과 유사하고, 간결함을 위하여 도 5b 내지 도 5d에서 설명되지 않을 것이다.
집적 회로(500B)는 레이아웃 설계(500A)에 의해 제조된다. 일부 실시예들에서, 집적 회로(500B)는 집적 회로(400)와 유사하고, 그러므로, 유사한 상세한 설명은 간결함을 위하여 생략된다.
도 4a 내지 도 4e의 집적 회로(400)와 비교하면, 집적 회로(500B)의 전도성 구조체들의 세트(420')는 전도성 구조체들의 세트(420)를 대체하고, 집적 회로(500B)의 비아들의 세트(422')는 비아들의 세트(422)를 대체한다.
일부 실시예들에서, 전도성 구조체들의 세트(420')는 전도성 구조체들(420a', 420b', 및 420c') 중의 하나 이상을 포함한다. 일부 실시예들에서, 비아들의 세트(422')는 비아들(422a' 및 422b') 중의 하나 이상을 포함한다.
전도성 구조체들(420a' 및 420c')은 제 1 방향(X)에서 연장되고, 제 2 방향(Y)에서 서로로부터 분리된다. 전도성 구조체(420b')는 제 2 방향(Y)에서 연장된다. 전도성 구조체(420b')는 전도성 구조체들(420a' 및 420c')과 직접적으로 접촉한다. 일부 실시예들에서, 전도성 구조체들(420a', 420b', 및 420c')은 동일한 연속적인 전도성 구조체의 일부이다. 일부 실시예들에서, 전도성 구조체들의 세트(420')는 2D 전도성 구조체로서 지칭된다.
일부 실시예들에서, 집적 회로(500B)의 (게이트 레이아웃 패턴(204b)에 의해 제조된) 게이트 단자(A1) 또는 게이트(404b1)는 (게이트 레이아웃 패턴(204c)에 의해 제조된) 게이트 단자(A2) 또는 게이트(404c2)에 전기적으로 결합된다. 예를 들어, 일부 실시예들에서, (게이트 레이아웃 패턴(204b)에 의해 제조된) 게이트 단자(A1) 또는 게이트(404b1)는 (비아 레이아웃 패턴(522b')에 의해 제조된) 비아(422b')를 통해 2 차원(2-D) (전도성 특징부 레이아웃 패턴들(520a, 520b, 520c)에 의해 제조된) 전도성 구조체(420a', 420b', 및 420c')에 전기적으로 접속되고, 2-D 전도성 구조체(420a', 420b', 및 420c')는 (비아 레이아웃 패턴(522a)에 의해 제조된) 비아(422a')를 통해 (게이트 레이아웃 패턴(204c)에 의해 제조된) 게이트 단자(A2) 또는 게이트(404c2)에 전기적으로 결합된다.
일부 실시예들에서, 집적 회로(500B)의 (게이트 레이아웃 패턴(204c)에 의해 제조된) 게이트 단자(B1) 또는 게이트(404c1)는 집적 회로(400)(도 4a 내지 도 4e)와 유사한 (게이트 레이아웃 패턴(204b)에 의해 제조된) 게이트 단자(B2) 또는 게이트(404b2)에 전기적으로 결합되고, 그러므로, 유사한 상세한 설명은 생략된다.
도 6a는 일부 실시예들에 따른, 집적 회로(600B)의 레이아웃 설계(600A)의 도면이다.
도 6a, 도 6c, 도 6d, 및 도 6e는 일부 실시예들에 따른, 집적 회로(600B)의 단면도들이다.
레이아웃 설계(600A)는 레이아웃 설계(300)(도 3)의 변형이다. 예를 들어, 레이아웃 설계(600A)는 게이트 레이아웃 패턴(예컨대, 게이트 레이아웃 패턴들(204b, 204c))이 연속적이고 MU 층(예컨대, 전도성 특징부 레이아웃 패턴들의 세트(620))이 단일 방향으로 연장되는 예를 예시한다.
레이아웃 설계(600A)는 집적 회로(100)(도 1), 집적 회로(400)(도 4a 내지 도 4e), 또는 600B(도 6b 내지 도 6e)와 유사한 집적 회로를 제조하기 위하여 이용가능하다.
도 3의 레이아웃 설계(300)와 비교하면, 레이아웃 설계(600A)는 절단 특징부 레이아웃 패턴들의 세트(304)를 포함하지 않는다. 절단 특징부 레이아웃 패턴들의 세트(304)를 포함하지 않음으로써, 레이아웃 설계(600A)는 게이트 단자(A2)에 직접적으로 결합된 게이트 단자(A1), 및 게이트 단자(B2)에 직접적으로 결합된 게이트 단자(B1)를 가지는 집적 회로(500B)를 제조하기 위하여 이용가능하다. 예시를 목적으로, 도 6a의 레이아웃 설계(600A), 도 7a의 레이아웃 설계(700A), 도 8a의 레이아웃 설계(800A), 및 도 9a의 레이아웃 설계(900A)는 도 3a의 핀 레이아웃 패턴(316)의 세트를 도시하지 않는다.
도 3의 레이아웃 설계(300)와 비교하면, 레이아웃 설계(600A)의 금속 상부 확산 레이아웃 패턴들의 세트(610)는 금속 상부 확산 레이아웃 패턴들의 세트(210)를 대체하고, 그러므로, 유사한 상세한 설명은 생략된다. 금속 상부 확산 레이아웃 패턴들의 세트(610)는 집적 회로(600B)의 콘택트들의 대응하는 세트(610')(도 6b 내지 도 6e)를 제조하기 위하여 이용가능하다.
금속 상부 확산 레이아웃 패턴들의 세트(610)는 금속 상부 확산 레이아웃 패턴들(610a, 610b, 610c, 612a, 또는 612c) 중의 하나 이상을 포함한다. 금속 상부 확산 레이아웃 패턴들(610a, 610b, 610c, 612a, 및 612c)은 집적 회로(600B)의 대응하는 콘택트들(610a', 610b', 610c', 612a', 및 612c')(도 6b 내지 도 6e)을 제조하기 위하여 이용가능하다.
도 3의 레이아웃 설계(300)와 비교하면, 레이아웃 설계(600A)의 금속 상부 확산 레이아웃 패턴들(610a, 612a)은 금속 상부 확산 레이아웃 패턴(210a)을 대체하고, 금속 상부 확산 레이아웃 패턴(610b)은 금속 상부 확산 레이아웃 패턴(210b)을 대체하고, 금속 상부 확산 레이아웃 패턴들(610c, 612c)은 금속 상부 확산 레이아웃 패턴(210c)을 대체하고, 그러므로, 유사한 상세한 설명은 생략된다.
금속 상부 확산 레이아웃 패턴들(610a, 612a)은 금속 상부 확산 레이아웃 패턴들(210a)과 유사하다. 금속 상부 확산 레이아웃 패턴(610a)은 금속 상부 확산 레이아웃 패턴들(612a)로부터 분리되거나 불연속적이다. 일부 실시예들에서, 금속 상부 확산 레이아웃 패턴(610a)은 활성 영역 레이아웃 패턴(202b)의 에지로부터 전도성 특징부 레이아웃 패턴(330a)의 에지로 제 2 방향(Y)에서 연장된다. 일부 실시예들에서, 금속 상부 확산 레이아웃 패턴(612a)은 활성 영역 레이아웃 패턴(202a)의 에지로부터 전도성 특징부 레이아웃 패턴(630b)의 에지로 제 2 방향(Y)에서 연장된다.
금속 상부 확산 레이아웃 패턴(610b)은 300만큼 증가된 참조 번호를 갖는 금속 상부 확산 레이아웃 패턴(310b)과 유사하고, 그러므로, 유사한 상세한 설명은 생략된다.
금속 상부 확산 레이아웃 패턴들(610c, 612c)은 금속 상부 확산 레이아웃 패턴들(210c)과 유사하다. 금속 상부 확산 레이아웃 패턴(610c)은 금속 상부 확산 레이아웃 패턴들(612c)로부터 분리되거나 불연속적이다. 일부 실시예들에서, 금속 상부 확산 레이아웃 패턴(610c)은 활성 영역 레이아웃 패턴(202b)의 에지로부터 전도성 특징부 레이아웃 패턴(630b')의 에지로 제 2 방향(Y)에서 연장된다. 일부 실시예들에서, 금속 상부 확산 레이아웃 패턴(612c)은 활성 영역 레이아웃 패턴(202a)의 에지로부터 전도성 특징부 레이아웃 패턴(330c 또는 630b')의 에지로 제 2 방향(Y)에서 연장된다.
도 3의 레이아웃 설계(300)와 비교하면, 레이아웃 설계(600A)의 비아 레이아웃 패턴들의 세트(622, 632, 또는 642)는 비아 레이아웃 패턴들의 대응하는 세트(322, 332, 또는 342)를 대체하고, 그러므로, 유사한 상세한 설명은 생략된다. 게이트 레이아웃 패턴들의 세트(622, 632, 또는 642)는 집적 회로(600B)의 비아들의 대응하는 세트(622', 632', 또는 642')(도 6b 내지 도 6e)를 제조하기 위하여 이용가능하다.
비아 레이아웃 패턴들의 세트(622)는 비아 레이아웃 패턴들(622a 또는 622b) 중의 하나 이상을 포함한다. 비아 레이아웃 패턴들의 세트(632)는 비아 레이아웃 패턴들(632a 또는 632b) 중의 하나 이상을 포함한다. 비아 레이아웃 패턴들의 세트(642)는 비아 레이아웃 패턴들(642a 또는 642b) 중의 하나 이상을 포함한다.
비아 레이아웃 패턴들(622a, 622b, 632a, 632b, 642a, 및 642b)은 집적 회로(600B)의 대응하는 비아(622a', 622b', 632a', 632b', 642a', 및 642b')(도 6b 내지 도 6e)를 제조하기 위하여 이용가능하다.
도 3의 레이아웃 설계(300)와 비교하면, 레이아웃 설계(600A)의 비아 레이아웃 패턴들(622a, 622b)은 대응하는 비아 레이아웃 패턴들(322a, 322b)을 대체하고, 비아 레이아웃 패턴들(632a, 632b)은 대응하는 비아 레이아웃 패턴들(332a, 332b)을 대체하고, 비아 레이아웃 패턴들(642a, 642b)은 대응하는 비아 레이아웃 패턴들(342a, 342b)을 대체하고, 그러므로, 유사한 상세한 설명은 생략된다.
비아 레이아웃 패턴들(622a, 622b)은 대응하는 비아 레이아웃 패턴들(322a, 322b)과 유사하다. 비아 레이아웃 패턴들(622a, 622b)("비아 레이아웃 패턴들의 세트(622)"로서 집합적으로 지칭됨)은 전도성 특징부 레이아웃 패턴(620a)과 대응하는 금속 상부 확산 레이아웃 패턴들(612a, 610c) 사이에 있다. 비아 레이아웃 패턴들의 세트(622)는 전도성 구조체들의 세트(620')를 콘택트들의 세트(610')에 결합하는 비아들의 대응하는 세트(622')(VU)를 제조하기 위하여 이용가능하다.
일부 실시예들에서, 비아 레이아웃 패턴들의 세트(622)의 비아 레이아웃 패턴(622a, 622b)은 금속 상부 확산 레이아웃 패턴들의 세트(610)의 대응하는 레이아웃 패턴(612a, 610c)이 전도성 특징부 레이아웃 패턴들의 세트(620)의 전도성 특징부 레이아웃 패턴(620a)과 중첩되는 곳에 위치된다. 일부 실시예들에서, 비아 레이아웃 패턴들의 세트(622)의 비아 레이아웃 패턴들(622a, 622b)의 중심은 금속 상부 확산 레이아웃 패턴들의 세트(610)의 대응하는 금속 상부 확산 레이아웃 패턴(612a, 610c)의 중심 아래에 있다. 일부 실시예들에서, 비아 레이아웃 패턴들의 세트(622)의 비아 레이아웃 패턴들(622a, 622b)의 중심은 금속 상부 확산 레이아웃 패턴들의 세트(610)의 대응하는 금속 상부 확산 레이아웃 패턴(612a, 610c)의 중심과 적어도 제 1 방향(X) 또는 제 2 방향(Y)에서 정렬된다. 비아 레이아웃 패턴들의 세트(622)의 비아 레이아웃 패턴들(622a, 622b)의 다른 구성들은 본 개시내용의 범위 내에 있다.
비아 레이아웃 패턴들(632a, 632b)("비아 레이아웃 패턴들의 세트(632)"로서 집합적으로 지칭됨)은 대응하는 비아 레이아웃 패턴들(332a, 332b)과 유사하다. 비아 레이아웃 패턴들의 세트(632)는 전도성 특징부 레이아웃 패턴들의 세트(630)와 게이트 레이아웃 패턴들의 세트(204) 사이에 있다. 비아 레이아웃 패턴들의 세트(632)의 비아 레이아웃 패턴(632a, 632b)은 대응하는 전도성 특징부 레이아웃 패턴(630b, 630b')과 게이트 레이아웃 패턴들의 세트(204)의 대응하는 게이트 레이아웃 패턴(204b, 204c) 사이에 있다. 비아 레이아웃 패턴들의 세트(632)는 비아들의 대응하는 세트(632')(도 6b 내지 도 6e)를 제조하기 위하여 이용가능하다. 도 6b 내지 도 6e에서 도시된 바와 같이, 비아들(632a', 632b')은 대응하는 전도성 구조체들(660b1', 660b2')을 대응하는 게이트들(604b, 604c)에 결합한다.
일부 실시예들에서, 비아 레이아웃 패턴들의 세트(632)의 비아 레이아웃 패턴(632a, 632b)은 대응하는 레이아웃 패턴(630b, 630b')이 게이트 레이아웃 패턴들의 세트(204)의 대응하는 게이트 레이아웃 패턴(204b, 204c)과 중첩되는 곳에 위치된다. 일부 실시예들에서, 비아 레이아웃 패턴들의 세트(632)의 비아 레이아웃 패턴(632a, 632b)의 중심은 게이트 레이아웃 패턴들의 세트(204)의 대응하는 게이트 레이아웃 패턴(204b, 204c)의 중심 상에 있다. 일부 실시예들에서, 비아 레이아웃 패턴들의 세트(632)의 비아 레이아웃 패턴(632a, 632b)의 중심은 대응하는 전도성 특징부 레이아웃 패턴(630b, 630b')의 중심 아래에 있다. 일부 실시예들에서, 비아 레이아웃 패턴들의 세트(632)의 비아 레이아웃 패턴(632a, 632b)의 중심은 게이트 레이아웃 패턴들의 세트(204)의 대응하는 게이트 레이아웃 패턴(204b, 204c)의 중심과 적어도 제 1 방향(X) 또는 제 2 방향(Y)에서 정렬된다. 일부 실시예들에서, 비아 레이아웃 패턴들의 세트(632)의 비아 레이아웃 패턴(632a, 632b)의 중심은 대응하는 전도성 특징부 레이아웃 패턴(630b, 630b')의 중심과 적어도 제 1 방향(X) 또는 제 2 방향(Y)에서 정렬된다. 비아 레이아웃 패턴들의 세트(632)의 비아 레이아웃 패턴들(632a, 632b)의 다른 구성들은 본 개시내용의 범위 내에 있다.
비아 레이아웃 패턴들(642a, 642b)은 대응하는 비아 레이아웃 패턴들(342a, 342b)과 유사하다. 비아 레이아웃 패턴(642a, 642b)("비아 레이아웃 패턴들의 세트(642)"로서 집합적으로 지칭됨)은 전도성 특징부 레이아웃 패턴(640a)과 대응하는 전도성 특징부 레이아웃 패턴들(330a, 330c) 사이에 있다. 비아 레이아웃 패턴들(642a, 642b)은 대응하는 비아들(642a', 642b')을 제조하기 위하여 이용가능하다.도 6b 내지 도 6e에서 도시된 바와 같이, 비아들(642a', 642b')은 전도성 구조체(640a')를 대응하는 전도성 구조체들(430a, 430b)에 결합한다.
일부 실시예들에서, 비아 레이아웃 패턴들의 세트(642)의 비아 레이아웃 패턴(642a, 642b)은 전도성 특징부 레이아웃 패턴들의 세트(640)의 레이아웃 패턴(640a)이 대응하는 전도성 특징부 레이아웃 패턴(330a, 330c)과 중첩되는 곳에 위치된다. 일부 실시예들에서, 비아 레이아웃 패턴들의 세트(642)의 비아 레이아웃 패턴들(642a, 642b)의 중심은 전도성 특징부 레이아웃 패턴(640a)의 중심 아래에 있다. 일부 실시예들에서, 비아 레이아웃 패턴들의 세트(642)의 비아 레이아웃 패턴들(642a, 642b)의 중심은 대응하는 전도성 특징부 레이아웃 패턴(330a, 330c)의 중심 위에 있다. 일부 실시예들에서, 비아 레이아웃 패턴들의 세트(642)의 비아 레이아웃 패턴들(642a, 642b)의 중심은 대응하는 전도성 특징부 레이아웃 패턴(330a, 330c)의 중심과 적어도 제 1 방향(X) 또는 제 2 방향(Y)에서 정렬된다. 비아 레이아웃 패턴들의 세트(642)의 비아 레이아웃 패턴들(642a, 642b)의 다른 구성들은 본 개시내용의 범위 내에 있다.
도 3의 레이아웃 설계(300)와 비교하면, 레이아웃 설계(600A)는 비아 레이아웃 패턴들(636a, 636b)("비아 레이아웃 패턴들의 세트(636)"로서 집합적으로 지칭됨)을 더 포함한다.
비아 레이아웃 패턴들(636a, 636b)("비아 레이아웃 패턴들의 세트(636)"로서 집합적으로 지칭됨)은 전도성 특징부 레이아웃 패턴들의 세트(630)와 금속 상부 확산 레이아웃 패턴들의 세트(610) 사이에 있다. 비아 레이아웃 패턴들의 세트(636)의 비아 레이아웃 패턴(636a, 636b)은 대응하는 전도성 특징부 레이아웃 패턴(330a, 330c)과 대응하는 금속 상부 확산 레이아웃 패턴(610a, 612c) 사이에 있다. 비아 레이아웃 패턴들의 세트(636)는 비아들의 대응하는 세트(636')(예컨대, VD)를 제조하기 위하여 이용가능하다. 도 6b 내지 도 6e에서 도시된 바와 같이, 비아들(636a', 636b')은 대응하는 전도성 구조체들(430a, 430c)을 대응하는 콘택트들(610a', 612c')에 결합한다.
일부 실시예들에서, 비아 레이아웃 패턴들의 세트(636)의 비아 레이아웃 패턴(636a, 636b)은 대응하는 레이아웃 패턴(330a, 330c)이 대응하는 금속 상부 확산 레이아웃 패턴(610a, 612c)과 중첩되는 곳에 위치된다. 일부 실시예들에서, 비아 레이아웃 패턴들의 세트(636)의 비아 레이아웃 패턴(636a, 636b)의 중심은 대응하는 금속 상부 확산 레이아웃 패턴(610a, 612c)의 중심 상에 있다. 일부 실시예들에서, 비아 레이아웃 패턴들의 세트(636)의 비아 레이아웃 패턴(636a, 636b)의 중심은 대응하는 전도성 특징부 레이아웃 패턴(330a, 330c)의 중심 아래에 있다. 일부 실시예들에서, 비아 레이아웃 패턴들의 세트(636)의 비아 레이아웃 패턴(636a, 636b)의 중심은 대응하는 금속 상부 확산 레이아웃 패턴(610a, 612c)의 중심과 적어도 제 1 방향(X) 또는 제 2 방향(Y)에서 정렬된다. 일부 실시예들에서, 비아 레이아웃 패턴들의 세트(636)의 비아 레이아웃 패턴(636a, 636b)의 중심은 대응하는 전도성 특징부 레이아웃 패턴(330a, 330c)의 중심과 적어도 제 1 방향(X) 또는 제 2 방향(Y)에서 정렬된다. 비아 레이아웃 패턴들의 세트(636)의 비아 레이아웃 패턴들(636a, 636b)의 다른 구성들은 본 개시내용의 범위 내에 있다.
도 3의 레이아웃 설계(300)와 비교하면, 레이아웃 설계(600A)의 전도성 특징부 레이아웃 패턴(620a)은 전도성 특징부 레이아웃 패턴(320a)을 대체하고, 전도성 특징부 레이아웃 패턴들(630b, 630b')은 전도성 특징부 레이아웃 패턴(330b)을 대체하고, 전도성 특징부 레이아웃 패턴(640a)은 전도성 특징부 레이아웃 패턴(340a)을 대체하고, 그러므로, 유사한 상세한 설명은 생략된다.
전도성 특징부 레이아웃 패턴(620a)은 300만큼 증가된 참조 번호들을 갖는 전도성 특징부 레이아웃 패턴(320a)과 유사하다. 전도성 특징부 레이아웃 패턴(620a)은 대응하는 전도성 구조체(620a')(도 6b 내지 도 6e)를 제조하기 위하여 이용가능하다. 전도성 특징부 레이아웃 패턴들의 세트(620)의 전도성 특징부 레이아웃 패턴(620a)의 다른 구성들은 본 개시내용의 범위 내에 있다.
전도성 특징부 레이아웃 패턴들(630b, 630b')은 300만큼 증가된 참조 번호들을 갖는 전도성 특징부 레이아웃 패턴(330b)과 유사하다. 전도성 특징부 레이아웃 패턴들(630b, 630b')은 대응하는 전도성 구조체들(660b1', 660b2')(도 6b 내지 도 6e)을 제조하기 위하여 이용가능하다. 전도성 특징부 레이아웃 패턴(630b)은 전도성 특징부 레이아웃 패턴(630b')으로부터 분리되거나 불연속적이다. 일부 실시예들에서, 전도성 특징부 레이아웃 패턴(630b)은 금속 상부 확산 레이아웃 패턴(610b)의 에지로 제 1 방향(X)에서 연장된다. 일부 실시예들에서, 전도성 특징부 레이아웃 패턴(630b')은 금속 상부 확산 레이아웃 패턴(610b)의 또 다른 에지로 제 1 방향(X)에서 연장된다. 전도성 특징부 레이아웃 패턴들의 세트(630)의 전도성 특징부 레이아웃 패턴들(630b, 630b')의 다른 구성들은 본 개시내용의 범위 내에 있다.
전도성 특징부 레이아웃 패턴(640a)은 300만큼 증가된 참조 번호들을 갖는 전도성 특징부 레이아웃 패턴(340a)과 유사하다. 전도성 특징부 레이아웃 패턴(640a)은 대응하는 전도성 구조체(440a)(도 6b 내지 도 6e)를 제조하기 위하여 이용가능하다. 전도성 특징부 레이아웃 패턴(640a)은 게이트 레이아웃 패턴들(204b 및 204c) 사이에 위치된다. 전도성 특징부 레이아웃 패턴들의 세트(640)의 전도성 특징부 레이아웃 패턴(640a)의 다른 구성들은 본 개시내용의 범위 내에 있다.
일부 실시예들에 따르면, 도 6b는 평면 I-I'에 의해 교차된 바와 같은 레이아웃 설계(600A)에 대응하는 집적 회로(600B)의 단면도이고, 도 6c는 평면 J-J'에 의해 교차된 바와 같은 레이아웃 설계(600A)에 대응하는 집적 회로(600B)의 단면도이고, 도 6d는 평면 K-K'에 의해 교차된 바와 같은 레이아웃 설계(600A)에 대응하는 집적 회로(600B)의 단면도이고, 도 6e는 평면 L-L'에 의해 교차된 바와 같은 레이아웃 설계(600A)에 대응하는 집적 회로(600B)의 단면도이다. 정렬, 길이들, 및 폭들을 포함하는 구조적 관계들 뿐만 아니라, 집적 회로(600B)의 구성들은 도 6a의 레이아웃 설계(600A)의 구조적 관계들 및 구성들과 유사하고, 간결함을 위하여 도 6b 내지 도 6e에서 설명되지 않을 것이다.
집적 회로(600B)는 레이아웃 설계(600A)에 의해 제조된다. 일부 실시예들에서, 집적 회로(600B)는 집적 회로(400)와 유사하고, 그러므로, 유사한 상세한 설명은 간결함을 위하여 생략된다.
도 4의 집적 회로(400)와 비교하면, 집적 회로(500B)의 콘택트들의 세트(610')는 콘택트들의 세트(410)를 대체하고, 집적 회로(500B)의 비아들의 세트(622', 632', 642')는 비아들의 대응하는 세트(422, 432, 442)를 대체하고, 집적 회로(500B)의 전도성 구조체(620a')는 전도성 구조체(420a)를 대체하고, 집적 회로(500B)의 전도성 구조체(640a')는 전도성 구조체(440a)를 대체하고, 집적 회로(500B)의 전도성 구조체들(660b1', 660b2')은 전도성 구조체(430b)를 대체하고, 집적 회로(500B)의 게이트(604b)는 게이트들(404b1 및 404b2)을 대체하고, 집적 회로(500B)의 게이트(604c)는 게이트들(404c1 및 404c2)을 대체하고, 그러므로, 유사한 상세한 설명은 간결함을 위하여 생략된다.
일부 실시예들에서, 콘택트들의 세트(610')는 콘택트들(610a', 610b', 610c', 612a', 및 612c') 중의 하나 이상을 포함한다. 일부 실시예들에서, 비아들의 세트(622')는 비아들(622a' 또는 622b') 중의 하나 이상을 포함한다. 일부 실시예들에서, 비아들의 세트(632')는 비아들(632a' 또는 632b') 중의 하나 이상을 포함한다. 일부 실시예들에서, 비아들의 세트(642')는 비아들(642a' 또는 642b') 중의 하나 이상을 포함한다.
도 4a 내지 도 4e의 집적 회로(400)의 콘택트(410a)와 비교하면, 콘택트들(610a' 및 612a')은 단일의 연속적인 구조체가 아니다. 콘택트들(610a' 및 612a')은 제 2 방향(Y)에서 서로로부터 분리된다.
도 4a 내지 도 4e의 집적 회로(400)의 콘택트(410c)와 비교하면, 콘택트들(610c' 및 612c')은 단일의 연속적인 구조체가 아니다. 콘택트들(610c' 및 612c')은 제 2 방향(Y)에서 서로로부터 분리된다.
도 4a 내지 도 4e의 집적 회로(400)의 전도성 구조체(430b)와 비교하면, 전도성 구조체들(660b1', 660b2')은 단일의 연속적인 구조체가 아니다. 다시 말해서, 전도성 구조체들(660b1' 및 660b2')은 제 1 방향(X)에서 서로로부터 분리된다.
도 4a 내지 도 4e의 집적 회로(400)와 비교하면, 집적 회로(600B)는 비아들의 세트(636')를 더 포함한다. 일부 실시예들에서, 비아들의 세트(636')는 비아들(636a' 또는 636b') 중의 하나 이상을 포함한다.
비아들의 세트(636')가 콘택트들의 세트(610')와 전도성 구조체들(430a, 430c, 660b1', 또는 600b2') 중의 하나 이상 사이에 위치된다는 것을 제외하고는, 비아들의 세트(636')은 비아들의 세트(432)와 유사하고, 그러므로, 유사한 상세한 설명은 간결함을 위하여 생략된다. 다시 말해서, 비아들의 세트(636')(예컨대, VD 레벨)는 콘택트들의 세트(610c')(예컨대, MD 레벨) 위에 있고, 콘택트들의 세트(610c')를 상부 금속 층들(예컨대, M0 레벨)에 결합하도록 구성된다. 예를 들어, 비아들(636a', 636b')은 대응하는 전도성 구조체들(430a, 430c)을 대응하는 콘택트들(610a', 612c')에 결합한다. 비아들의 세트(636')의 다른 구성들은 본 개시내용의 범위 내에 있다.
도 4a 내지 도 4e의 집적 회로(400)의 게이트들(404b1 및 404b2)을 비교하면, 게이트(604b)는 연속적인 구조체이다. 일부 실시예들에서, 집적 회로(600B)의 (게이트 레이아웃 패턴(204b)에 의해 제조된) 게이트 단자(A1)는 게이트(604b)에 의해 (게이트 레이아웃 패턴(204b)에 의해 제조된) 게이트 단자(A2)에 직접적으로 결합된다.
도 4a 내지 도 4e의 집적 회로(400)의 게이트들(404c1 및 404c2)을 비교하면, 게이트(604c)는 연속적인 구조체이다. 일부 실시예들에서, 집적 회로(600B)의 (게이트 레이아웃 패턴(204c)에 의해 제조된) 게이트 단자(B1)는 게이트(604c)에 의해 (게이트 레이아웃 패턴(204c)에 의해 제조된) 게이트 단자(B2)에 직접적으로 결합된다.
일부 실시예들에서, 단자(A1D)는 콘택트(610a')에 대응하고, 단자(B2D)는 콘택트(612c')에 대응한다. 이 실시예들에서, 집적 회로(600B)의 (금속 상부 확산 레이아웃 패턴(610a)에 의해 제조된) 단자(A1D) 또는 콘택트(610a')는 (금속 상부 확산 레이아웃 패턴(612c)에 의해 제조된) 단자(B2D) 또는 콘택트(612c')에 전기적으로 결합된다. 예를 들어, 일부 실시예들에서, (금속 상부 확산 레이아웃 패턴(610a)에 의해 제조된) 콘택트(610a')는 (비아 레이아웃 패턴(636a)에 의해 제조된) 비아(636a')를 통해 (전도성 특징부 레이아웃 패턴(330a)에 의해 제조된) 전도성 구조체(430a)에 전기적으로 접속된다. 일부 실시예들에서, 전도성 구조체(430a)는 (비아 레이아웃 패턴(642a)에 의해 제조된) 비아(642a')를 통해 (전도성 특징부 레이아웃 패턴(640a)에 의해 제조된) 전도성 구조체(640a')에 전기적으로 결합된다. 일부 실시예들에서, 전도성 구조체(640a')는 (비아 레이아웃 패턴(642b)에 의해 제조된) 비아(642b')를 통해 (전도성 특징부 레이아웃 패턴(330c)에 의해 제조된) 전도성 구조체(430c)에 전기적으로 결합된다. 일부 실시예들에서, 전도성 구조체(430c)는 (비아 레이아웃 패턴(636b)에 의해 제조된) 비아(636b')를 통해 (금속 상부 확산 레이아웃 패턴(612c)에 의해 제조된) 콘택트(612c')에 전기적으로 결합된다. 이에 따라, 단자(A1D) 또는 콘택트(610a')는 단자(B2D) 또는 콘택트(612c')에 전기적으로 결합된다.
일부 실시예들에서, 단자(B1D)는 콘택트(610c')에 대응하고, 단자(A2D)는 콘택트(612a')에 대응한다. 이 실시예들에서, 집적 회로(600B)의 (금속 상부 확산 레이아웃 패턴(610c)에 의해 제조된) 단자(B1D) 또는 콘택트(610c')는 (금속 상부 확산 레이아웃 패턴(612a)에 의해 제조된) 단자(A2D) 또는 콘택트(612a')에 전기적으로 결합된다. 예를 들어, 일부 실시예들에서, (금속 상부 확산 레이아웃 패턴(610c)에 의해 제조된) 콘택트(610c')는 (비아 레이아웃 패턴(622b)에 의해 제조된) 비아(622b')를 통해 (전도성 특징부 레이아웃 패턴(620a)에 의해 제조된) 전도성 구조체(620a')에 전기적으로 접속된다. 일부 실시예들에서, 전도성 구조체(620a')는 (비아 레이아웃 패턴(622a)에 의해 제조된) 비아(622a')를 통해 (금속 상부 확산 레이아웃 패턴(612a)에 의해 제조된) 콘택트(612a')에 전기적으로 결합된다. 이에 따라, 집적 회로(600B)의 단자(B1D) 또는 콘택트(610c')는 단자(A2D) 또는 콘택트(612a')에 전기적으로 결합된다.
단자들(A1D, A2D, B1D, 또는 B2D)의 다른 구성들은 본 개시내용의 범위 내에 있다. 예를 들어, 일부 실시예들에서, 단자(A1D)는 콘택트(610c')에 대응하고, 단자(B2D)는 콘택트(612a')에 대응한다. 예를 들어, 일부 실시예들에서, 단자(A2D)는 콘택트(610a')에 대응하고, 단자(B1D)는 콘택트(612c')에 대응한다.
도 7a는 일부 실시예들에 따른, 집적 회로의 레이아웃 설계(700A)의 도면이다.
도 7b, 도 7c, 및 도 7d는 일부 실시예들에 따른, 집적 회로(700B)의 단면도들이다.
레이아웃 설계(700A)는 레이아웃 설계(600A)(도 6a)의 변형이다. 예를 들어, 레이아웃 설계(700A)는 MU 층(예컨대, 전도성 특징부 레이아웃 패턴들의 세트(720))이 2 개의 방향들에서 연장되는 예를 예시한다.
레이아웃 설계(700A)는 집적 회로(100)(도 1), 집적 회로(400)(도 4a 내지 도 4e), 또는 700B(도 7b 내지 도 7d)와 유사한 집적 회로를 제조하기 위하여 이용가능하다.
도 6a의 레이아웃 설계(600A)와 비교하면, 레이아웃 설계(700A)의 전도성 특징부 레이아웃 패턴들(720a, 720b, 및 720c)은 전도성 특징부 레이아웃 패턴(620a)을 대체하고, 그러므로, 유사한 상세한 설명은 생략된다.
전도성 특징부 레이아웃 패턴(720a, 720b, 720c)(이하, "전도성 특징부 레이아웃 패턴들의 세트(720)"로서 지칭됨)은 도 5a의 대응하는 전도성 특징부 레이아웃 패턴들(520a, 520b, 520c)과 유사하다.
전도성 특징부 레이아웃 패턴들의 세트(720)는 집적 회로(100 또는 700B)(도 7b 내지 도 7d)의 2 차원(2D) 전도성 구조체들의 대응하는 세트(720')를 제조하기 위하여 이용가능하다.
일부 실시예들에서, 전도성 특징부 레이아웃 패턴들의 세트(720)는 제 1 레이아웃 레벨의 MU 부분 상에 위치된다. 전도성 특징부 레이아웃 패턴들의 세트(720)는 2 개의 방향들(예컨대, 제 1 방향(X) 및 제 2 방향(Y))에서 연장된다. 전도성 특징부 레이아웃 패턴(720a 및 720c)은 각각 제 1 방향(X)에서 연장된다. 전도성 특징부 레이아웃 패턴(720b)은 제 2 방향(Y)에서 연장된다.
전도성 특징부 레이아웃 패턴들의 세트(720)는 활성 영역 레이아웃 패턴들의 세트(202) 사이에 있다. 전도성 특징부 레이아웃 패턴(720a)은 전도성 특징부 레이아웃 패턴(330c)과 전도성 특징부 레이아웃 패턴(630b) 사이에 위치된다. 전도성 특징부 레이아웃 패턴(720c)은 전도성 특징부 레이아웃 패턴(330a)과 전도성 특징부 레이아웃 패턴(630b') 사이에 위치된다.
전도성 특징부 레이아웃 패턴(720b)은 게이트 레이아웃 패턴들(204b 및 204c) 사이에 위치된다. 일부 실시예들에서, 전도성 특징부 레이아웃 패턴(720b)은 전도성 특징부 레이아웃 패턴(330a)과 전도성 특징부 레이아웃 패턴(330c) 사이에 위치된다. 일부 실시예들에서, 금속 상부 확산 레이아웃 패턴(612a, 610b, 610c)은 대응하는 전도성 특징부 레이아웃 패턴(720a, 720b, 720c)과 중첩한다.
게이트 레이아웃 패턴들의 세트(204)는 전도성 특징부 레이아웃 패턴들의 세트(720)와 중첩한다. 일부 실시예들에서, 게이트 레이아웃 패턴들(204a, 204b)은 전도성 특징부 레이아웃 패턴(720a)과 중첩한다. 일부 실시예들에서, 게이트 레이아웃 패턴들(204c, 204d)은 전도성 특징부 레이아웃 패턴(720c)과 중첩한다. 전도성 특징부 레이아웃 패턴들의 세트(720)에서의 패턴들의 다른 구성들 또는 수량들은 본 개시내용의 범위 내에 있다.
일부 실시예들에 따르면, 도 7b는 평면 M-M'에 의해 교차된 바와 같은 레이아웃 설계(700A)에 대응하는 집적 회로(700B)의 단면도이고, 도 7c는 평면 N-N'에 의해 교차된 바와 같은 레이아웃 설계(700A)에 대응하는 집적 회로(700B)의 단면도이고, 도 7d는 평면 O-O'에 의해 교차된 바와 같은 레이아웃 설계(700A)에 대응하는 집적 회로(700B)의 단면도이다. 정렬, 길이들, 및 폭들을 포함하는 구조적 관계들 뿐만 아니라, 집적 회로(700B)의 구성들은 도 7a의 레이아웃 설계(700A)의 구조적 관계들 및 구성들과 유사하고, 간결함을 위하여 도 7b 내지 도 7d에서 설명되지 않을 것이다.
집적 회로(700B)는 레이아웃 설계(700A)에 의해 제조된다. 일부 실시예들에서, 집적 회로(700B)는 집적 회로(400 또는 600A)와 유사하고, 그러므로, 유사한 상세한 설명은 간결함을 위하여 생략된다.
도 6b 내지 도 6e의 집적 회로(600B)와 비교하면, 집적 회로(700B)의 전도성 구조체들(720')의 세트는 전도성 구조체들의 세트(620')를 대체한다.
일부 실시예들에서, 전도성 구조체들의 세트(720')는 전도성 구조체들(720a', 720b', 및 720c') 중의 하나 이상을 포함한다.
전도성 구조체들(720a' 및 720c')은 제 1 방향(X)에서 연장되고, 제 2 방향(Y)에서 서로로부터 분리된다. 전도성 구조체(720b')는 제 2 방향(Y)에서 연장된다. 전도성 구조체(720b')는 전도성 구조체들(720a' 및 720c')과 직접적으로 접촉한다. 일부 실시예들에서, 전도성 구조체들(720a', 720b', 및 720c')은 동일한 연속적인 전도성 구조체의 일부이다. 일부 실시예들에서, 전도성 구조체들의 세트(720')는 2D 전도성 구조체로서 지칭된다.
일부 실시예들에서, 집적 회로(700B)의 (게이트 레이아웃 패턴(204b)에 의해 제조된) 게이트 단자(A1)는 도 6b 내지 도 6e의 집적 회로(600B)와 유사한 (게이트 레이아웃 패턴(204b)에 의해 제조된) 게이트(604b)에 의해 (게이트 레이아웃 패턴(204b)에 의해 제조된) 게이트 단자(A2)에 직접적으로 결합되고, 그러므로, 상세한 설명은 생략된다.
일부 실시예들에서, 집적 회로(700B)의 (게이트 레이아웃 패턴(204c)에 의해 제조된) 게이트 단자(B1)는 도 6b 내지 도 6e의 집적 회로(600B)와 유사한 (게이트 레이아웃 패턴(204c)에 의해 제조된) 게이트(604b)에 의해 (게이트 레이아웃 패턴(204c)에 의해 제조된) 게이트 단자(B2)에 직접적으로 결합되고, 그러므로, 상세한 설명은 생략된다.
일부 실시예들에서, 집적 회로(700B)의 (금속 상부 확산 레이아웃 패턴(610a)에 의해 제조된) 단자(A1D)는 도 6b 내지 도 6e의 집적 회로(600B)와 유사한 (금속 상부 확산 레이아웃 패턴(612c)에 의해 제조된) 단자(B2D)에 전기적으로 결합되고, 그러므로, 상세한 설명은 생략된다.
일부 실시예들에서, 단자(B1D)는 콘택트(610c')에 대응하고, 단자(A2D)는 콘택트(612a')에 대응한다. 이 실시예들에서, 집적 회로(700B)의 (금속 상부 확산 레이아웃 패턴(610c)에 의해 제조된) 단자(B1D) 또는 콘택트(610c')는 (금속 상부 확산 레이아웃 패턴(612a)에 의해 제조된) 단자(A2D) 또는 콘택트(612a')에 전기적으로 결합된다. 예를 들어, 일부 실시예들에서, (금속 상부 확산 레이아웃 패턴(610c)에 의해 제조된) 콘택트(610c')는 (비아 레이아웃 패턴(622b)에 의해 제조된) 비아(622b')를 통해 (전도성 특징부 레이아웃 패턴(720c)에 의해 제조된) 전도성 구조체(720c')에 전기적으로 접속된다. 일부 실시예들에서, (전도성 특징부 레이아웃 패턴(720c)에 의해 제조된) 전도성 구조체(720c')는 (전도성 특징부 레이아웃 패턴(720b)에 의해 제조된) 전도성 구조체(720b')에 의해 (전도성 특징부 레이아웃 패턴(720a)에 의해 제조된) 전도성 구조체(720a')에 전기적으로 접속된다. 일부 실시예들에서, (전도성 특징부 레이아웃 패턴(720)에 의해 제조된) 전도성 구조체(720a')는 (비아 레이아웃 패턴(622a)에 의해 제조된) 비아(622a')를 통해 (금속 상부 확산 레이아웃 패턴(612a)에 의해 제조된) 콘택트(612a)에 전기적으로 결합된다. 이에 따라, 집적 회로(700B)의 단자(B1D) 또는 콘택트(610c')는 단자(A2D) 또는 콘택트(612a')에 전기적으로 결합된다.
도 8a는 일부 실시예들에 따른, 집적 회로(800B)의 레이아웃 설계(800A)의 도면이다.
도 8b, 도 8c, 도 8d, 도 8e, 도 8f, 및 도 8g는 일부 실시예들에 따른, 집적 회로(800B)의 단면도들이다.
레이아웃 설계(800A)는 레이아웃 설계(300)(도 3) 및 레이아웃 설계(700A)(도 7a)의 변형이다. 예를 들어, 레이아웃 설계(800A)는 제 1 방향(X)에서 4 폴리(poly) 피치 폭을 가지는 일 예의 레이아웃 설계를 예시하고, MU 층(예컨대, 전도성 특징부 레이아웃 패턴들의 세트(820))은 단일 방향으로 연장되지만, 2 개의 부분들(예컨대, 전도성 특징부 레이아웃 패턴들(820a 및 820b))을 포함한다.
레이아웃 설계(800A)는 집적 회로(100)(도 1), 집적 회로(400)(도 4a 내지 도 4e), 또는 집적 회로(800B)(도 8b 내지 도 8g)와 유사한 집적 회로를 제조하기 위하여 이용가능하다.
도 3의 레이아웃 설계(300)와 비교하면, 레이아웃 설계(800A)는 절단 특징부 레이아웃 패턴들의 세트(304)를 포함하지 않는다. 절단 특징부 레이아웃 패턴들의 세트(304)를 포함하지 않음으로써, 레이아웃 설계(800A)는 게이트 단자(A2)에 직접적으로 결합된 게이트 단자(A1)를 가지는 집적 회로를 제조하기 위하여 이용가능하다.
레이아웃 설계(200, 300, 500A, 600A, 또는 700A)와 비교하면, 레이아웃 설계(800A)는 제 2 방향(Y)에서 연장되는 추가적인 게이트 레이아웃 패턴(804e)을 더 포함하여, 더 폭넓은 레이아웃 설계(예컨대, 레이아웃 설계(800A))로 귀착된다. 예를 들어, 레이아웃 설계(800A)는 제 1 방향(X)에서 4 폴리 피치 폭을 가진다. 레이아웃 설계(800A)는 레이아웃 설계(300 및 600A)의 특징부들을 가진다. 예를 들어, 레이아웃 설계는 레이아웃 설계(600A)로부터의 적어도 금속 확산 레이아웃 패턴들(610a, 610c, 612a, 및 612c)을 편입시킨다. 유사하게, 레이아웃 설계(800A)는 레이아웃 설계(300)로부터의 적어도 금속 확산 레이아웃 패턴들(210a 및 210c)을 편입시킨다.
레이아웃 설계(800A)는 활성 영역 레이아웃 패턴들의 세트(202), 게이트 레이아웃 패턴들(204a, 204b, 204c, 204d, 804e)("게이트 레이아웃 패턴들의 세트(804)"로서 집합적으로 지칭됨), 금속 상부 확산 레이아웃 패턴들(210a, 210c, 610a, 610c, 612a, 612c)("금속 상부 확산 레이아웃 패턴들의 세트(810)"로서 집합적으로 지칭됨), 전도성 특징부 레이아웃 패턴들의 세트(330), 전도성 특징부 레이아웃 패턴(820a, 820b)("전도성 특징부 레이아웃 패턴들의 세트(820)"로서 집합적으로 지칭됨), 비아 레이아웃 패턴들(822a, 822b, 822c, 822d)("비아 레이아웃 패턴들의 세트(822)"로서 집합적으로 지칭됨), 비아 레이아웃 패턴들(832a, 832b, 832c)("비아 레이아웃 패턴들의 세트(832)"로서 집합적으로 지칭됨), 및 비아 레이아웃 패턴들(836a, 836b)("비아 레이아웃 패턴들의 세트(836)"로서 집합적으로 지칭됨)을 포함한다.
레이아웃 설계(200, 300, 500A, 600A, 또는 700A)와 비교하면, 활성 영역 레이아웃 패턴들의 세트(202) 및 전도성 특징부 레이아웃 패턴들의 세트(330)는 적어도 게이트 레이아웃 패턴들(204a 및 804e)을 가로질러서 연장된다.
게이트 레이아웃 패턴(804e)은 게이트 레이아웃 패턴들의 세트(204)의 게이트 레이아웃 패턴들(204a, 204b, 204c, 204d) 중의 하나 이상과 유사하고, 그러므로, 상세한 설명은 생략된다. 게이트 레이아웃 패턴(804e)은 폴리 피치만큼 제 1 방향(X)에서 게이트 레이아웃 패턴(204d)으로부터 분리된다. 게이트 레이아웃 패턴들의 세트(804)는 집적 회로(800B)의 게이트들의 대응하는 세트(804')(도 8b 내지 도 8g)를 제조하기 위하여 이용가능하다. 게이트 레이아웃 패턴(804e)은 집적 회로(800B)의 대응하는 게이트(804e')(도 8b 내지 도 8g)를 제조하기 위하여 이용가능하다.
금속 상부 확산 레이아웃 패턴들의 세트(810)는 도 2의 금속 상부 확산 레이아웃 패턴들의 세트(210) 및 도 6 내지 도 7의 금속 상부 확산 레이아웃 패턴들의 세트(610)와 유사하고, 그러므로, 상세한 설명은 생략된다. 금속 상부 확산 레이아웃 패턴들의 세트(810)는 집적 회로(800B)의 콘택트들의 대응하는 세트(810')(도 8b 내지 도 8g)를 제조하기 위하여 이용가능하다. 금속 상부 확산 레이아웃 패턴들(210a, 210c, 610a, 610c, 612a, 및 612c)은 집적 회로(800B)의 대응하는 콘택트들(410a, 410c, 610a', 610c', 612a', 및 612c')(도 8b 내지 도 8g)을 제조하기 위하여 이용가능하다.
금속 상부 확산 레이아웃 패턴(210a)은 게이트 레이아웃 패턴들(204a 및 204b) 사이에 위치된다. 금속 상부 확산 레이아웃 패턴(210c)은 게이트 레이아웃 패턴들(204d 및 804e) 사이에 위치된다. 금속 상부 확산 레이아웃 패턴들(610a 및 612a)의 각각은 게이트 레이아웃 패턴들(204b 및 204c) 사이에 위치된다. 금속 상부 확산 레이아웃 패턴들(610c 및 612c)의 각각은 게이트 레이아웃 패턴들(204c 및 204d) 사이에 위치된다.
전도성 특징부 레이아웃 패턴들의 세트(820)는 전도성 특징부 레이아웃 패턴들의 세트(320, 420, 520, 620, 720)와 유사하고, 그러므로, 상세한 설명은 생략된다. 전도성 특징부 레이아웃 패턴들의 세트(820)는 집적 회로(800B)의 전도성 구조체들의 대응하는 세트(820')(도 8 내지 도 8g)를 제조하기 위하여 이용가능하다. 전도성 특징부 레이아웃 패턴들(820a 및 820b)은 대응하는 전도성 특징부 레이아웃 패턴들(720a 및 720c)과 유사하고, 그러므로, 상세한 설명은 생략된다. 전도성 특징부 레이아웃 패턴들(820a 및 820b)은 집적 회로(800B)의 대응하는 전도성 구조체들(820a' 및 820b')(도 8b 내지 도 8g)을 제조하기 위하여 이용가능하다.
전도성 특징부 레이아웃 패턴(820a)은 제 1 방향(X)에서 연장되고, 전도성 특징부 레이아웃 패턴(330b)과 전도성 특징부 레이아웃 패턴(330c) 사이에 위치된다. 전도성 특징부 레이아웃 패턴(820a)은 게이트 레이아웃 패턴(204a)과 게이트 레이아웃 패턴(204c) 사이에 위치된다. 전도성 특징부 레이아웃 패턴(820a)은 적어도 금속 상부 확산 레이아웃 패턴(210a, 610a, 또는 612a) 아래에 있다. 일부 실시예들에서, 금속 상부 확산 레이아웃 패턴(210a) 또는 금속 상부 확산 레이아웃 패턴(612a)은 전도성 특징부 레이아웃 패턴(820a)과 중첩한다.
전도성 특징부 레이아웃 패턴(820b)은 제 1 방향(X)에서 연장되고, 전도성 특징부 레이아웃 패턴(330a)과 전도성 특징부 레이아웃 패턴(330b) 사이에 위치된다. 전도성 특징부 레이아웃 패턴(820b)은 게이트 레이아웃 패턴(204c)과 게이트 레이아웃 패턴(804e) 사이에 위치된다. 전도성 특징부 레이아웃 패턴(820b)은 적어도 금속 상부 확산 레이아웃 패턴(210c, 610c, 또는 612c) 아래에 있다. 일부 실시예들에서, 금속 상부 확산 레이아웃 패턴(210c) 또는 금속 상부 확산 레이아웃 패턴(610c)은 전도성 특징부 레이아웃 패턴(820b)과 중첩한다.
비아 레이아웃 패턴들의 세트(822)는 비아 레이아웃 패턴들의 세트(322, 522, 622, 722)와 유사하고, 그러므로, 상세한 설명은 생략된다. 비아 레이아웃 패턴들의 세트(822)는 집적 회로(800B)의 비아들의 대응하는 세트(822')(도 8b 내지 도 8g)를 제조하기 위하여 이용가능하다.
비아 레이아웃 패턴들(822a, 822b, 822c, 및 822d)은 집적 회로(800B)의 대응하는 비아들(822a', 822b', 822c', 및 822d')(도 8b 내지 도 8g)을 제조하기 위하여 이용가능하다. 비아 레이아웃 패턴들(822a, 822c)은 비아 레이아웃 패턴(622a)과 유사하고, 그러므로, 상세한 설명은 생략된다. 비아 레이아웃 패턴(822c, 822a)은 전도성 특징부 레이아웃 패턴(820a)과 대응하는 금속 상부 확산 레이아웃 패턴들(210a, 612a) 사이에 있다. 일부 실시예들에서, 비아 레이아웃 패턴들의 세트(822)의 비아 레이아웃 패턴(822c, 822a)은 금속 상부 확산 레이아웃 패턴들의 세트(810)의 대응하는 레이아웃 패턴(210a, 612a)이 전도성 특징부 레이아웃 패턴들의 세트(820)의 전도성 특징부 레이아웃 패턴(820a)과 중첩되는 곳에 위치된다. 일부 실시예들에서, 비아 레이아웃 패턴들의 세트(822)의 비아 레이아웃 패턴들(822c, 822a)의 중심은 금속 상부 확산 레이아웃 패턴들의 세트(810)의 대응하는 금속 상부 확산 레이아웃 패턴(210a, 612a)의 중심 아래에 있다. 일부 실시예들에서, 비아 레이아웃 패턴들의 세트(822)의 비아 레이아웃 패턴들(822c, 822a)의 중심은 금속 상부 확산 레이아웃 패턴들의 세트(810)의 대응하는 금속 상부 확산 레이아웃 패턴(210a, 612a)의 중심과 적어도 제 1 방향(X) 또는 제 2 방향(Y)에서 정렬된다.
비아 레이아웃 패턴들(822b, 822d)은 비아 레이아웃 패턴(622b)과 유사하고, 그러므로, 상세한 설명은 생략된다. 비아 레이아웃 패턴(822b, 822d)은 전도성 특징부 레이아웃 패턴(820b)과 대응하는 금속 상부 확산 레이아웃 패턴들(610c, 210c) 사이에 있다. 일부 실시예들에서, 비아 레이아웃 패턴들의 세트(822)의 비아 레이아웃 패턴(822b, 822d)은 금속 상부 확산 레이아웃 패턴들의 세트(810)의 대응하는 레이아웃 패턴(610c, 210c)이 전도성 특징부 레이아웃 패턴들의 세트(820)의 전도성 특징부 레이아웃 패턴(820b)과 중첩되는 곳에 위치된다. 일부 실시예들에서, 비아 레이아웃 패턴들의 세트(822)의 비아 레이아웃 패턴들(822b, 822d)의 중심은 금속 상부 확산 레이아웃 패턴들의 세트(810)의 대응하는 금속 상부 확산 레이아웃 패턴(610c, 210c)의 중심 아래에 있다. 일부 실시예들에서, 비아 레이아웃 패턴들의 세트(822)의 비아 레이아웃 패턴들(822b, 822d)의 중심은 금속 상부 확산 레이아웃 패턴들의 세트(810)의 대응하는 금속 상부 확산 레이아웃 패턴(610c, 210c)의 중심과 적어도 제 1 방향(X) 또는 제 2 방향(Y)에서 정렬된다. 비아 레이아웃 패턴들의 세트(822)의 비아 레이아웃 패턴들(822a, 822b, 822c, 822d)의 다른 구성들은 본 개시내용의 범위 내에 있다.
비아 레이아웃 패턴들의 세트(832)는 비아 레이아웃 패턴들의 세트(332, 632)와 유사하고, 그러므로, 상세한 설명은 생략된다. 비아 레이아웃 패턴들의 세트(832)는 집적 회로(800B)의 비아들의 대응하는 세트(832)(도 8b 내지 도 8g)를 제조하기 위하여 이용가능하다. 비아 레이아웃 패턴들의 세트(832)는 전도성 특징부 레이아웃 패턴들의 세트(330)와 게이트 레이아웃 패턴들의 세트(804) 사이에 있다. 비아 레이아웃 패턴들(832a, 832b, 및 832c)은 집적 회로(800B)의 대응하는 비아들(832a', 832b'. 및 832c')(도 8b 내지 도 8g)을 제조하기 위하여 이용가능하다. 비아 레이아웃 패턴들의 세트(832)의 비아 레이아웃 패턴들(832a, 832c)은 전도성 특징부 레이아웃 패턴(330c)과 게이트 레이아웃 패턴들의 세트(204)의 대응하는 게이트 레이아웃 패턴(204b, 204d) 사이에 있다. 비아 레이아웃 패턴들의 세트(832)의 비아 레이아웃 패턴(832b)은 전도성 특징부 레이아웃 패턴(330a)과 게이트 레이아웃 패턴들의 세트(204)의 게이트 레이아웃 패턴(204c) 사이에 있다.
일부 실시예들에서, 비아 레이아웃 패턴들의 세트(832)의 비아 레이아웃 패턴(832a, 832c)은 레이아웃 패턴(330c)이 게이트 레이아웃 패턴들의 세트(804)의 대응하는 게이트 레이아웃 패턴(204b, 204d)과 중첩되는 곳에 위치된다. 일부 실시예들에서, 비아 레이아웃 패턴들의 세트(832)의 비아 레이아웃 패턴(832b)은 레이아웃 패턴(330a)이 게이트 레이아웃 패턴들의 세트(804)의 게이트 레이아웃 패턴(204c)과 중첩되는 곳에 위치된다. 일부 실시예들에서, 비아 레이아웃 패턴들의 세트(832)의 비아 레이아웃 패턴(832a, 832c)의 중심은 게이트 레이아웃 패턴들의 세트(804)의 대응하는 게이트 레이아웃 패턴(204b, 204d)의 중심 상에 있다. 일부 실시예들에서, 비아 레이아웃 패턴들의 세트(832)의 비아 레이아웃 패턴(832b)의 중심은 게이트 레이아웃 패턴들의 세트(804)의 게이트 레이아웃 패턴(204c)의 중심 상에 있다. 일부 실시예들에서, 비아 레이아웃 패턴들의 세트(832)의 비아 레이아웃 패턴(832a, 832c)의 중심은 전도성 특징부 레이아웃 패턴(330c)의 중심 아래에 있다. 일부 실시예들에서, 비아 레이아웃 패턴들의 세트(832)의 비아 레이아웃 패턴(832b)의 중심은 전도성 특징부 레이아웃 패턴(330a)의 중심 아래에 있다. 비아 레이아웃 패턴들의 세트(832)의 비아 레이아웃 패턴들(832a, 832b, 832c)의 다른 구성들은 본 개시내용의 범위 내에 있다.
비아 레이아웃 패턴들의 세트(836)는 비아 레이아웃 패턴들의 세트(636)와 유사하고, 그러므로, 상세한 설명은 생략된다. 비아 레이아웃 패턴들의 세트(836)는 집적 회로(800B)의 비아들의 대응하는 세트(836')(도 8b 내지 도 8g)를 제조하기 위하여 이용가능하다. 비아 레이아웃 패턴들의 세트(836)는 전도성 특징부 레이아웃 패턴들의 세트(330)와 금속 상부 확산 레이아웃 패턴들의 세트(810) 사이에 있다. 비아 레이아웃 패턴들(836a 및 836b)은 집적 회로(800B)의 대응하는 비아들(836a' 및 836b')(도 8b 내지 도 8g)을 제조하기 위하여 이용가능하다. 비아 레이아웃 패턴들의 세트(836)의 비아 레이아웃 패턴들(836a, 836b)은 전도성 특징부 레이아웃 패턴(330b)과 대응하는 금속 상부 확산 레이아웃 패턴(610a, 612c) 사이에 있다.
일부 실시예들에서, 비아 레이아웃 패턴들의 세트(836)의 비아 레이아웃 패턴(836a, 836b)은 레이아웃 패턴(330b)이 대응하는 금속 상부 확산 레이아웃 패턴(610a, 612c)과 적어도 부분적으로 중첩되는 곳에 위치된다. 비아 레이아웃 패턴들의 세트(836)의 비아 레이아웃 패턴(836a, 836b)은 대응하는 금속 상부 확산 레이아웃 패턴(610a, 612c) 상에 있다. 일부 실시예들에서, 비아 레이아웃 패턴들의 세트(836)의 비아 레이아웃 패턴(836a, 836b)의 중심은 대응하는 금속 상부 확산 레이아웃 패턴(610a, 612c)의 중심 상에 있다. 일부 실시예들에서, 비아 레이아웃 패턴들의 세트(836)의 비아 레이아웃 패턴(836a, 836b)의 중심은 전도성 특징부 레이아웃 패턴(330b)의 중심 아래에 있다. 일부 실시예들에서, 비아 레이아웃 패턴들의 세트(836)의 비아 레이아웃 패턴(836a, 836b)의 중심은 대응하는 금속 상부 확산 레이아웃 패턴(610a, 612c)의 중심과 적어도 제 1 방향(X) 또는 제 2 방향(Y)에서 정렬된다. 일부 실시예들에서, 비아 레이아웃 패턴들의 세트(836)의 비아 레이아웃 패턴(836a, 836b)의 중심은 전도성 특징부 레이아웃 패턴(330b)의 중심과 적어도 제 1 방향(X) 또는 제 2 방향(Y)에서 정렬된다. 비아 레이아웃 패턴들의 세트(836)의 비아 레이아웃 패턴들(836a, 836b)의 다른 구성들은 본 개시내용의 범위 내에 있다.
일부 실시예들에 따르면, 도 8b는 평면 P-P'에 의해 교차된 바와 같은 레이아웃 설계(800A)에 대응하는 집적 회로(800B)의 단면도이고, 도 8c는 평면 Q-Q'에 의해 교차된 바와 같은 레이아웃 설계(800A)에 대응하는 집적 회로(800B)의 단면도이고, 도 8d는 평면 R-R'에 의해 교차된 바와 같은 레이아웃 설계(800A)에 대응하는 집적 회로(800B)의 단면도이고, 도 8e는 평면 S-S'에 의해 교차된 바와 같은 레이아웃 설계(800A)에 대응하는 집적 회로(800B)의 단면도이고, 도 8f는 평면 T-T'에 의해 교차된 바와 같은 레이아웃 설계(800A)에 대응하는 집적 회로(800B)의 단면도이고, 도 8g는 평면 U-U'에 의해 교차된 바와 같은 레이아웃 설계(800A)에 대응하는 집적 회로(800B)의 단면도이다. 정렬, 길이들, 및 폭들을 포함하는 구조적 관계들 뿐만 아니라, 집적 회로(800B)의 구성들은 도 8a의 레이아웃 설계(800A)의 구조적 관계들 및 구성들과 유사하고, 간결함을 위하여 도 8b 내지 도 8g에서 설명되지 않을 것이다.
집적 회로(800B)는 레이아웃 설계(800A)에 의해 제조된다. 일부 실시예들에서, 집적 회로(800B)는 집적 회로(400, 600B, 또는 700B)와 유사하고, 그러므로, 유사한 상세한 설명은 간결함을 위하여 생략된다.
집적 회로(800B)는 제 1 방향(X)에서 4 폴리 피치 폭을 가진다. 집적 회로(800B)는 집적 회로(400 및 600B)의 특징부들을 가진다. 예를 들어, 집적 회로(800B)는 집적 회로(600B)로부터의 적어도 콘택트들(610a', 610c', 612a', 및 612c')을 편입시킨다. 유사하게, 집적 회로(800B)는 집적 회로(400)로부터의 적어도 콘택트들(210a' 및 210c')을 편입시킨다.
집적 회로(800B)는 활성 영역들의 세트(402), 게이트들(404a, 404d, 604b, 604c, 및 804e')(게이트들의 세트(804')로서 집합적으로 지칭됨), 콘택트들(410a, 410c, 610a', 610c', 612a', 612c')(콘택트들의 세트(810')로서 집합적으로 지칭됨), 전도성 구조체들의 세트(430), 전도성 구조체들(820a', 820b')(전도성 구조체들의 세트(820')로서 집합적으로 지치됨), 비아들(822a', 822b', 822c', 822d')(비아들의 세트(822')로서 집합적으로 지칭됨), 비아들(832a', 832b', 832c')(비아들의 세트(832')로서 집합적으로 지칭됨), 및 비아들(836a', 836b')(비아들의 세트(836')로서 집합적으로 지칭됨)을 포함한다.
집적 회로(600(도 6b 내지 도 6e) 또는 700(도 7b 내지 도 7d))와 비교하면, 전도성 구조체들(820a', 820b')은 집적 회로(700B)의 전도성 구조체들(720a', 720c')을 대체하고, 비아들(822a', 822b', 822c', 822d')은 집적 회로(700B)의 비아들(622a', 622b')을 대체하고, 비아들(832a', 832b', 832c')은 집적 회로(600B)의 비아들(632a', 632b')을 대체하고, 비아들(836a', 836b')은 집적 회로(600B)의 비아들(636a', 636b')을 대체하고, 그러므로, 유사한 상세한 설명은 간결함을 위하여 생략된다.
집적 회로(600(도 6b 내지 도 6e) 또는 700(도 7b 내지 도 7d))와 비교하면, 게이트(804e')는 게이트(404a, 404d, 604b, 또는 604c)와 유사하고, 그러므로, 유사한 상세한 설명은 간결함을 위하여 생략된다.
도 7b 내지 도 7e의 집적 회로(700B)의 전도성 구조체들(720a' 및 720c')과 비교하면, 전도성 구조체들(820a' 및 820b')은 함께 결합되지 않는다. 다시 말해서, 전도성 구조체들(820a' 및 820b')은 또 다른 전도성 구조체(예컨대, 전도성 구조체(720b')를 통해 함께 결합되지 않는다.
비아들의 세트(822')는 전도성 구조체들의 세트(820')를 콘택트들의 세트(810')에 전기적으로 결합한다. 비아들의 세트(832')는 전도성 구조체들의 세트(430)를 콘택트들의 세트(804')에 전기적으로 결합한다. 비아들의 세트(836')는 전도성 구조체들의 세트(430)를 콘택트들의 세트(810')에 전기적으로 결합한다.
일부 실시예들에서, 단자(A1 또는 A2)는 게이트(604c)에 대응한다. 게이트(604c)는 연속적인 구조체이다. 일부 실시예들에서, 게이트 단자(A1)는 (레이아웃 설계(800A)의 게이트 레이아웃 패턴(204c)에 의해 제조된) 게이트(604c)에 의해 게이트 단자(A2)에 직접적으로 결합된다.
일부 실시예들에서, 단자(B1)는 게이트(404d)에 대응하고, 단자(B2)는 게이트(604b)에 대응한다. 일부 실시예들에서, (레이아웃 설계(800A)의 게이트 레이아웃 패턴(204d)에 의해 제조된) 게이트(404d)는 (레이아웃 설계(800A)의 게이트 레이아웃 패턴(204b)에 의해 제조된) 게이트(604b)에 전기적으로 결합된다. 예를 들어, 일부 실시예들에서, (레이아웃 설계(800A)의 게이트 레이아웃 패턴(204d)에 의해 제조된) 게이트(404d)는 (비아 레이아웃 패턴(832c)에 의해 제조된) 비아(832c')를 통해 (전도성 특징부 레이아웃 패턴(330c)에 의해 제조된) 전도성 구조체(430c)에 전기적으로 접속되고, 전도성 구조체(430c)는 (비아 레이아웃 패턴(832a)에 의해 제조된) 비아(832a')를 통해 (레이아웃 설계(800A)의 게이트 레이아웃 패턴(204b)에 의해 제조된) 게이트(604b)에 전기적으로 결합된다.
일부 실시예들에서, 단자(B2D)는 콘택트(410a)에 대응하고, 단자(B2D')는 콘택트(612a')에 대응한다. 이 실시예들에서, 집적 회로(800B)의 (금속 상부 확산 레이아웃 패턴(210a)에 의해 제조된) 단자(B2D) 또는 콘택트(410a)는 (금속 상부 확산 레이아웃 패턴(612a)에 의해 제조된) 단자(B2D') 또는 콘택트(612a')에 전기적으로 결합된다. 예를 들어, 일부 실시예들에서, (금속 상부 확산 레이아웃 패턴(210a)에 의해 제조된) 콘택트(410a)는 (비아 레이아웃 패턴(822c)에 의해 제조된) 비아(822c')를 통해 (전도성 특징부 레이아웃 패턴(820a)에 의해 제조된) 전도성 구조체(820a')에 전기적으로 접속된다. 일부 실시예들에서, 전도성 구조체(820a')는 (비아 레이아웃 패턴(822a)에 의해 제조된) 비아(822a')를 통해 (금속 상부 확산 레이아웃 패턴(612a)에 의해 제조된) 콘택트(612a')에 전기적으로 결합된다. 이에 따라, 단자(B2D) 또는 콘택트(410a)는 단자(B2D') 또는 콘택트(612a')에 전기적으로 결합된다.
일부 실시예들에서, 단자(B1D)는 콘택트(410c)에 대응하고, 단자(B1D')는 콘택트(610c')에 대응한다. 이 실시예들에서, 집적 회로(800B)의 (금속 상부 확산 레이아웃 패턴(210c)에 의해 제조된) 단자(B1D) 또는 콘택트(410c)는 (금속 상부 확산 레이아웃 패턴(610c)에 의해 제조된) 단자(B1D') 또는 콘택트(610c')에 전기적으로 결합된다. 예를 들어, 일부 실시예들에서, (금속 상부 확산 레이아웃 패턴(210c)에 의해 제조된) 콘택트(410c)는 (비아 레이아웃 패턴(822d)에 의해 제조된) 비아(822d')를 통해 (전도성 특징부 레이아웃 패턴(820b)에 의해 제조된) 전도성 구조체(820b')에 전기적으로 접속된다. 일부 실시예들에서, 전도성 구조체(820b)는 (비아 레이아웃 패턴(822b)에 의해 제조된) 비아(822b')를 통해 (금속 상부 확산 레이아웃 패턴(610c)에 의해 제조된) 콘택트(610c')에 전기적으로 결합된다. 이에 따라, 단자(B1D) 또는 콘택트(410c)는 단자(B1D') 또는 콘택트(610c')에 전기적으로 결합된다.
도 9a는 일부 실시예들에 따른, 집적 회로(900B)의 레이아웃 설계(900A)의 도면이다.
도 9b, 도 9c, 도 9d, 및 도 9e는 일부 실시예들에 따른, 집적 회로(900B)의 단면도들이다.
레이아웃 설계(900A)는 레이아웃 설계(800A)(도 8a)의 변형이다. 예를 들어, 레이아웃 설계(900A)는, 게이트 레이아웃 패턴들(204b)에 의해 제조된 게이트(예컨대, 게이트(904b1' 및 904ㅠ2'))가 연속적인 것이 아니고, MU 층(예컨대, 전도성 특징부 레이아웃 패턴들의 세트(920))이 단일 방향으로 연장되지만, 2 개의 부분들(예컨대, 전도성 특징부 레이아웃 패턴들(920a 및 920b))을 포함하는 예를 예시한다.
레이아웃 설계(900A)는 집적 회로(100)(도 1) 또는 집적 회로(400)(도 4a 내지 도 4e)와 유사한 집적 회로를 제조하기 위하여 이용가능하다.
도 8a의 레이아웃 설계(800A)와 비교하면, 레이아웃 설계(900A)는 절단 특징부 레이아웃 패턴들의 세트(904) 및 비아 레이아웃 패턴(932a)을 더 포함한다. 절단 특징부 레이아웃 패턴들의 세트(904)를 포함함으로써, 레이아웃 설계(900A)는 게이트 단자(B2)에 결합되지 않은 게이트 단자(A1)를 가지는 집적 회로(900B)(도 9b 내지 도 9e)를 제조하기 위하여 이용가능하다.
절단 특징부 레이아웃 패턴들의 세트(904)는 게이트(605b)를 절단하여 집적 회로(900B)(도 9b 내지 도 9e)의 게이트들(904b1' 및 904b2')을 형성하기 위하여 이용가능하다. 절단 특징부 패턴들의 세트(904)는 절단 특징부 패턴들의 세트(304)와 유사하고, 그러므로, 상세한 설명은 생략된다.
비아 레이아웃 패턴(932a)은 비아 레이아웃 패턴들의 세트(332, 632, 및 832)의 하나 이상의 레이아웃 패턴들과 유사하고, 그러므로, 상세한 설명은 생략된다. 비아 레이아웃 패턴(932a)은 비아들의 세트(932')(도 9b 내지 도 9e)에서의 비아(932a')를 제조하기 위하여 이용가능하다.
레이아웃 설계(900A)의 비아 레이아웃 패턴(932a)은 비아 레이아웃 패턴(832a)과 유사하고, 그러므로, 상세한 설명은 생략된다. 비아 레이아웃 패턴(932a)은 전도성 특징부 레이아웃 패턴들의 세트(330)와 게이트 레이아웃 패턴들의 세트(804) 사이에 있다. 비아 레이아웃 패턴(932a)은 전도성 특징부 레이아웃 패턴(330a)과 게이트 레이아웃 패턴들의 세트(804)의 게이트 레이아웃 패턴(204b) 사이에 있다. 일부 실시예들에서, 비아 레이아웃 패턴(932a)은 레이아웃 패턴(330a)이 게이트 레이아웃 패턴들의 세트(804)의 게이트 레이아웃 패턴(204b)와 중첩되는 곳에 위치된다. 일부 실시예들에서, 비아 레이아웃 패턴(932a)의 중심은 게이트 레이아웃 패턴들의 세트(804)의 게이트 레이아웃 패턴(204b)의 중심 상에 있다. 일부 실시예들에서, 비아 레이아웃 패턴(932a)의 중심은 전도성 특징부 레이아웃 패턴(330a)의 중심 아래에 있다. 비아 레이아웃 패턴(932a)의 다른 구성들은 본 개시내용의 범위 내에 있다.
레이아웃 설계(900A)는 레이아웃 설계(800A)(도 8)의 변형이다. 도 8a의 레이아웃 설계(800A)와 비교하면, 레이아웃 설계(900A)는 비아 레이아웃 패턴(836b)을 포함하지 않는다. 도 8a의 레이아웃 설계(800A)와 비교하면, 레이아웃 설계(900A)의 금속 상부 확산 레이아웃 패턴들의 세트(910)는 금속 상부 확산 레이아웃 패턴들의 세트(810)를 대체하고, 전도성 특징부 레이아웃 패턴들(920a, 920b)("전도성 특징부 레이아웃 패턴들의 세트(920)"로서 집합적으로 지칭됨)은 대응하는 전도성 특징부 레이아웃 패턴(820a, 820b)을 대체하고, 비아 레이아웃 패턴들(922a, 922c, 922d)("비아 레이아웃 패턴들의 세트(922)"로서 집합적으로 지칭됨)은 대응하는 비아 레이아웃 패턴(822a, 822c, 822d)을 대체하고, 그러므로, 유사한 상세한 설명은 생략된다.
금속 상부 확산 레이아웃 패턴들의 세트(910)는 집적 회로(900B)의 콘택트들의 대응하는 세트(910')(도 9b 내지 도 9e)를 제조하기 위하여 이용가능하다. 금속 상부 확산 레이아웃 패턴들의 세트(910)는 금속 상부 확산 레이아웃 패턴들(210a, 910b, 610c, 612c, 910c, 및 912c) 중의 하나 이상을 포함한다. 금속 상부 확산 레이아웃 패턴들(210a, 910b, 610c, 612c, 910c, 및 912c)은 집적 회로(900B)의 대응하는 콘택트들(410a, 910b', 610c', 612c', 910c', 및 912c')을 제조하기 위하여 이용가능하다. 금속 상부 확산 레이아웃 패턴(910b)은 레이아웃 설계(800A)의 금속 상부 확산 레이아웃 패턴(610a) 및 금속 상부 확산 레이아웃 패턴(612a)을 대체하고, 그러므로, 유사한 상세한 설명은 생략된다. 금속 상부 확산 레이아웃 패턴들(910c 및 912c)은 레이아웃 설계(800A)의 금속 상부 확산 레이아웃 패턴(210c)을 대체하고, 그러므로, 유사한 상세한 설명은 생략된다.
일부 실시예들에서, 금속 상부 확산 레이아웃 패턴(910b)은 활성 영역 레이아웃 패턴(202b)의 에지로부터 활성 영역 레이아웃 패턴(202a)의 에지로 제 2 방향(Y)에서 연장된다. 일부 실시예들에서, 금속 상부 확산 레이아웃 패턴(910c)은 활성 영역 레이아웃 패턴(202b)의 에지로부터 전도성 특징부 레이아웃 패턴(330b)의 에지로 제 2 방향(Y)에서 연장된다. 일부 실시예들에서, 금속 상부 확산 레이아웃 패턴(912c)은 활성 영역 레이아웃 패턴(202a)의 에지로부터 전도성 특징부 레이아웃 패턴(330b)의 또 다른 에지로 제 2 방향(Y)에서 연장된다. 금속 상부 확산 레이아웃 패턴들의 세트(910)의 금속 상부 확산 레이아웃 패턴들(210a, 910b, 610c, 612c, 910c, 및 912c)의 다른 구성들은 본 개시내용의 범위 내에 있다.
전도성 특징부 레이아웃 패턴들의 세트(920)는 전도성 특징부 레이아웃 패턴들의 세트(320, 420, 520, 620, 720, 및 820)와 유사하고, 그러므로, 상세한 설명은 생략된다. 전도성 특징부 레이아웃 패턴들의 세트(920)는 집적 회로(900B)의 전도성 구조체들의 대응하는 세트(920')(도 9b 내지 도 9e)를 제조하기 위하여 이용가능하다. 전도성 특징부 레이아웃 패턴들(920a 및 920b)은 대응하는 전도성 특징부 레이아웃 패턴들(820a 및 820c)과 유사하고, 그러므로, 상세한 설명은 생략된다. 전도성 특징부 레이아웃 패턴들(920a 및 920b)은 집적 회로(900B)의 대응하는 전도성 구조체들(920a' 및 920b')(도 9b 내지 도 9e)을 제조하기 위하여 이용가능하다.
전도성 특징부 레이아웃 패턴(920a)은 제 1 방향(X)에서 연장되고, 전도성 특징부 레이아웃 패턴(330b)과 전도성 특징부 레이아웃 패턴(330c) 사이에 위치된다. 전도성 특징부 레이아웃 패턴(920a)은 게이트 레이아웃 패턴(204c)과 게이트 레이아웃 패턴(804e) 사이에 위치된다. 전도성 특징부 레이아웃 패턴(920a)은 적어도 금속 상부 확산 레이아웃 패턴들(612c 또는 912c) 아래에 있다. 일부 실시예들에서, 금속 상부 확산 레이아웃 패턴(612c) 또는 금속 상부 확산 레이아웃 패턴(912c)은 전도성 특징부 레이아웃 패턴(920a)과 중첩한다.
전도성 특징부 레이아웃 패턴(920b)은 제 1 방향(X)에서 연장되고, 전도성 특징부 레이아웃 패턴(330a)과 전도성 특징부 레이아웃 패턴(330b) 사이에 위치된다. 전도성 특징부 레이아웃 패턴(920b)은 게이트 레이아웃 패턴(204b)과 게이트 레이아웃 패턴(204d) 사이에 위치된다. 전도성 특징부 레이아웃 패턴(920b)은 적어도 금속 상부 확산 레이아웃 패턴(910b 또는 910c) 아래에 있다. 일부 실시예들에서, 금속 상부 확산 레이아웃 패턴(910b) 또는 금속 상부 확산 레이아웃 패턴(610c)은 전도성 특징부 레이아웃 패턴(920b)과 중첩한다. 전도성 특징부 레이아웃 패턴들의 세트(920)의 전도성 특징부 레이아웃 패턴들(920a, 920b)의 다른 구성들은 본 개시내용의 범위 내에 있다.
비아 레이아웃 패턴들의 세트(922)는 비아 레이아웃 패턴들(922a, 822b 922c, 922d)을 포함한다. 비아 레이아웃 패턴들의 세트(922)는 비아 레이아웃 패턴들의 세트(322, 522, 622, 722, 및 822)와 유사하고, 그러므로, 상세한 설명은 생략된다. 비아 레이아웃 패턴들의 세트(922)는 전도성 구조체들의 세트(420)를 콘택트들의 세트(410)에 결합하는, 집적 회로(900B)의 비아들의 대응하는 세트(922')(도 9b 내지 도 9e)를 제조하기 위하여 이용가능하다.
비아 레이아웃 패턴들(922a, 822b, 922c, 및 922d)은 집적 회로(900B)의 대응하는 비아들(922a', 822b', 922c', 및 822d')(도 9b 내지 도 9e)을 제조하기 위하여 이용가능하다. 비아 레이아웃 패턴들(922a, 922c)은 대응하는 비아 레이아웃 패턴들(822a, 822c)과 유사하고, 그러므로, 상세한 설명은 생략된다. 비아 레이아웃 패턴(922c, 922a)은 전도성 특징부 레이아웃 패턴(920a)과 대응하는 금속 상부 확산 레이아웃 패턴들(612c, 912c) 사이에 있다. 일부 실시예들에서, 비아 레이아웃 패턴들의 세트(922)의 비아 레이아웃 패턴들(922c, 922a)은 금속 상부 확산 레이아웃 패턴들의 세트(910)의 대응하는 레이아웃 패턴(612c, 912c)이 전도성 특징부 레이아웃 패턴들의 세트(920)의 전도성 특징부 레이아웃 패턴(920a)과 중첩되는 곳에 위치된다. 일부 실시예들에서, 비아 레이아웃 패턴들의 세트(922)의 비아 레이아웃 패턴들(922c, 922a)의 중심은 금속 상부 확산 레이아웃 패턴들의 세트(910)의 대응하는 금속 상부 확산 레이아웃 패턴(612c, 912c)의 중심 아래에 있다. 일부 실시예들에서, 비아 레이아웃 패턴들의 세트(922)의 비아 레이아웃 패턴들(922c, 922a)의 중심은 금속 상부 확산 레이아웃 패턴들의 세트(910)의 대응하는 금속 상부 확산 레이아웃 패턴(612c, 912c)의 중심과 적어도 제 1 방향(X) 또는 제 2 방향(Y)에서 정렬된다.
비아 레이아웃 패턴들(922d)은 비아 레이아웃 패턴(622b 또는 822d)와 유사하고, 그러므로, 상세한 설명은 생략된다. 비아 레이아웃 패턴(922d)은 전도성 특징부 레이아웃 패턴(920b)과 금속 상부 확산 레이아웃 패턴(910b) 사이에 있다. 일부 실시예들에서, 비아 레이아웃 패턴들의 세트(922)의 비아 레이아웃 패턴(922d)은 금속 상부 확산 레이아웃 패턴들의 세트(910)의 레이아웃 패턴(910b)이 전도성 특징부 레이아웃 패턴들의 세트(920)의 전도성 특징부 레이아웃 패턴(920b)과 중첩되는 곳에 위치된다. 일부 실시예들에서, 비아 레이아웃 패턴들의 세트(922)의 비아 레이아웃 패턴(922d)의 중심은 금속 상부 확산 레이아웃 패턴들의 세트(910)의 금속 상부 확산 레이아웃 패턴(910b)의 중심 아래에 있다. 일부 실시예들에서, 비아 레이아웃 패턴들의 세트(922)의 비아 레이아웃 패턴(922d)의 중심은 금속 상부 확산 레이아웃 패턴들의 세트(910)의 금속 상부 확산 레이아웃 패턴(910b)의 중심과 적어도 제 1 방향(X) 또는 제 2 방향(Y)에서 정렬된다. 비아 레이아웃 패턴들의 세트(922)의 비아 레이아웃 패턴들(922a, 922c, 922d)의 다른 구성들은 본 개시내용의 범위 내에 있다.
일부 실시예들에 따르면, 도 9b는 평면 V-V'에 의해 교차된 바와 같은 레이아웃 설계(900A)에 대응하는 집적 회로(900B)의 단면도이고, 도 9c는 평면 W-W'에 의해 교차된 바와 같은 레이아웃 설계(900A)에 대응하는 집적 회로(900B)의 단면도이고, 도 9d는 평면 X-X'에 의해 교차된 바와 같은 레이아웃 설계(900A)에 대응하는 집적 회로(900B)의 단면도이고, 도 9e는 평면 Y-Y'에 의해 교차된 바와 같은 레이아웃 설계(900A)에 대응하는 집적 회로(900B)의 단면도이다. 정렬, 길이들, 및 폭들을 포함하는 구조적 관계들 뿐만 아니라, 집적 회로(900B)의 구성들은 도 9a의 레이아웃 설계(900A)의 구조적 관계들 및 구성들과 유사하고, 간결함을 위하여 도 9b 내지 도 9e에서 설명되지 않을 것이다.
집적 회로(900B)는 레이아웃 설계(900A)에 의해 제조된다. 일부 실시예들에서, 집적 회로(900B)는 집적 회로(400, 600B, 700B, 또는 800B)와 유사하고, 그러므로, 유사한 상세한 설명은 간결함을 위하여 생략된다.
집적 회로(900B)는 제 1 방향(X)에서 4 폴리 피치 폭을 가진다.
집적 회로(900B)는 활성 영역들의 세트(402), 게이트들(404a, 404d, 904b1', 904b2', 604c, 및 804e')(게이트들의 세트(904')로서 집합적으로 지칭됨), 콘택트들(410a, 910b', 610c', 612c', 910c', 및 912c')(콘택트들의 세트(910')로서 집합적으로 지칭됨), 전도성 구조체들의 세트(430), 전도성 구조체들(920a', 920b')(전도성 구조체들의 세트(920')로서 집합적으로 지칭됨), 비아들(922a', 822b', 922c', 922d')(비아들의 세트(922')로서 집합적으로 지칭됨), 비아들(832a', 832b', 832c', 932a')(비아들의 세트(932')로서 집합적으로 지칭됨), 및 비아(836b')를 포함한다.
집적 회로(800B)(도 8b 내지 도 8g)와 비교하면, 게이트들(904b1' 및 904b2')은 게이트(604b)를 대체하고, 콘택트들(910b')은 콘택트들(610a' 및 612a')을 대체하고, 콘택트들(910c' 및 912c')은 콘택트(410c)을 대체하고, 전도성 구조체들(920a' 및 920b')은 대응하는 전도성 구조체들(820a' 및 820b')을 대체하고, 비아들(922a', 922c', 및 922d')은 대응하는 비아들(822a', 822c', 및 822d')을 대체하고, 그러므로, 유사한 상세한 설명은 간결함을 위하여 생략된다.
집적 회로(800B)(도 8b 내지 도 8g)와 비교하면, 집적 회로(900B)는 비아(932a')를 더 포함한다. 비아(932a')는 비아(832a)와 유사하고, 그러므로, 유사한 상세한 설명은 간결함을 위하여 생략된다. 비아(932a')는 전도성 구조체들(430a)을 게이트(904b1')에 전기적으로 결합한다.
집적 회로(800B)(도 8b 내지 도 8g)와 비교하면, 집적 회로(900B)는 비아(836b')를 포함하지 않는다.
비아들의 세트(932')는 전도성 구조체들의 세트(430)를 콘택트들의 세트(904')에 전기적으로 결합한다. 비아들의 세트(922')는 전도성 구조체들의 세트(920')를 콘택트들의 세트(910')에 전기적으로 결합한다.
일부 실시예들에서, 단자(A1)는 게이트(904b1')에 대응하고, 단자(B2)는 게이트(904b2')에 대응하고, 단자(A2)는 게이트(604c)에 대응한다. 이 실시예들에서, (레이아웃 설계(900A)의 게이트 레이아웃 패턴(204b)에 의해 제조된) 게이트(904b1')는 레이아웃 설계(900A)의 절단 특징부 레이아웃 패턴(904)으로 인해, (레이아웃 설계(900A)의 게이트 레이아웃 패턴(204b)에 의해 제조된) 게이트(904b2')에 직접적으로 결합되지 않는다. 다시 말해서, 일부 실시예들에서, 레이아웃 설계(900A)의 절단 특징부 레이아웃 패턴(904)은 (도 8f 내지 도 8g에서 도시된) 게이트(604b)의 제거된 부분을 식별하기 위하여 이용함으로써, 불연속적인 게이트들(904b1' 및 904b2')을 형성한다.
일부 실시예들에서, 단자(A1)는 게이트(904b1')에 대응하고, 단자(A2)는 게이트(604c)에 대응한다. 이 실시예들에서, 집적 회로(900B)의 (레이아웃 설계(900A)의 게이트 레이아웃 패턴(204b)에 의해 제조된) 게이트(904b1')는 (레이아웃 설계(900A)의 게이트 레이아웃 패턴(204c)에 의해 제조된) 게이트(604c)에 전기적으로 결합된다. 예를 들어, 일부 실시예들에서, (레이아웃 설계(900A)의 게이트 레이아웃 패턴(204b)에 의해 제조된) 게이트(904b1')는 (비아 레이아웃 패턴(932a)에 의해 제조된) 비아(932a')를 통해 (전도성 특징부 레이아웃 패턴(330a)에 의해 제조된) 전도성 구조체(430a)에 전기적으로 접속되고, 전도성 구조체(430a)는 (비아 레이아웃 패턴(832b)에 의해 제조된) 비아(832b')를 통해 (레이아웃 설계(900A)의 게이트 레이아웃 패턴(204c)에 의해 제조된) 게이트(604c)에 전기적으로 결합된다.
일부 실시예들에서, 단자(B1)는 게이트(404d)에 대응하고, 단자(B2)는 게이트(904b2')에 대응한다. 일부 실시예들에서, (레이아웃 설계(900A)의 게이트 레이아웃 패턴(204d)에 의해 제조된) 게이트(404d)는 (레이아웃 설계(900A)의 게이트 레이아웃 패턴(204b)에 의해 제조된) 게이트(904b2')에 전기적으로 결합된다. 예를 들어, 일부 실시예들에서, (레이아웃 설계(900A)의 게이트 레이아웃 패턴(204d)에 의해 제조된) 게이트(404d)는 (비아 레이아웃 패턴(832c)에 의해 제조된) 비아(832c')를 통해 (전도성 특징부 레이아웃 패턴(330c)에 의해 제조된) 전도성 구조체(430c)에 전기적으로 접속되고, 전도성 구조체(430c)는 (비아 레이아웃 패턴(832a)에 의해 제조된) 비아(832a')를 통해 (레이아웃 설계(900A)의 게이트 레이아웃 패턴(204b)에 의해 제조된) 게이트(904b2')에 전기적으로 결합된다.
일부 실시예들에서, 단자(A2D)는 콘택트(612c')에 대응하고, 단자(A2D')는 콘택트(912c')에 대응한다. 이 실시예들에서, (레이아웃 설계(900A)의 금속 상부 확산 레이아웃 패턴(612c)에 의해 제조된) 단자(A2D) 또는 콘택트(612c')는 (레이아웃 설계(900A)의 금속 상부 확산 레이아웃 패턴(912c)에 의해 제조된) 단자(A2D') 또는 콘택트(912c')에 전기적으로 결합된다. 예를 들어, 일부 실시예들에서, (금속 상부 확산 레이아웃 패턴(612c)에 의해 제조된) 콘택트(612c')는 (비아 레이아웃 패턴(922c)에 의해 제조된) 비아(922c')를 통해 (전도성 특징부 레이아웃 패턴(920a)에 의해 제조된) 전도성 구조체(920a')에 전기적으로 접속된다. 일부 실시예들에서, (전도성 특징부 레이아웃 패턴(920a)에 의해 제조된) 전도성 구조체(920a')는 (비아 레이아웃 패턴(922a)에 의해 제조된) 비아(922a')를 통해 (레이아웃 설계(900A)의 금속 상부 확산 레이아웃 패턴(912c)에 의해 제조된) 콘택트(912c')에 전기적으로 결합된다. 이에 따라, 단자(A2D) 또는 콘택트(612c')는 단자(A2D') 또는 콘택트(912c')에 전기적으로 결합된다.
일부 실시예들에서, 단자(A1D)는 콘택트(910b')에 대응하고, 단자(A1D')는 콘택트(610c')에 대응한다. 이 실시예들에서, (레이아웃 설계(900A)의 금속 상부 확산 레이아웃 패턴(910b)에 의해 제조된) 단자(A1D) 또는 콘택트(910b')는 (레이아웃 설계(900A)의 금속 상부 확산 레이아웃 패턴(610c)에 의해 제조된) 단자(A1D') 또는 콘택트(610c')에 전기적으로 결합된다. 예를 들어, 일부 실시예들에서, (금속 상부 확산 레이아웃 패턴(910b)에 의해 제조된) 콘택트(910b')는 (비아 레이아웃 패턴(922d)에 의해 제조된) 비아(922d')를 통해 (레이아웃 설계(900A)의 전도성 특징부 레이아웃 패턴(920b)에 의해 제조된) 전도성 구조체(920b')에 전기적으로 접속된다. 일부 실시예들에서, 전도성 구조체(920b')는 (비아 레이아웃 패턴(822b)에 의해 제조된) 비아(822b')를 통해 (금속 상부 확산 레이아웃 패턴(610c)에 의해 제조된) 콘택트(610c')에 전기적으로 결합된다. 이에 따라, 단자(A1D) 또는 콘택트(910b')는 단자(A1D') 또는 콘택트(610c')에 전기적으로 결합된다.
일부 실시예들에서, 전도성 특징부 레이아웃 패턴들의 세트(320, 520, 620, 720, 820, 및 920)는 레이아웃 설계(300, 및 500A 내지 900A)의 게이트 레이아웃 패턴들의 세트(304) 및 금속-0(M0) 레벨 아래에 위치된다.
일부 실시예들에서, 전도성 특징부 레이아웃 패턴들의 세트(320, 520, 620, 720, 820, 및 920)를 이용함으로써, 하나의 M0 트랙은 도 3, 및 도 5a 내지 도 9a의 전도성 특징부 레이아웃 패턴들의 세트(330)에서 감소되어, 다른 접근법들과 비교하여 더 작은 높이 및 더 작은 면적을 갖는 표준 셀을 산출한다. 일부 실시예들에서, 전도성 특징부 레이아웃 패턴들의 세트(320, 520, 620, 720, 820, 및 920)를 이용함으로써, 하나의 M0 트랙이 감소되므로, 도 3, 및 도 5a 내지 도 9a의 전도성 특징부 레이아웃 패턴들의 세트(330)에서의 하나 이상의 레이아웃 패턴들의 폭은 증가되어, 다른 접근법들과 비교하여 더 양호한 전류 저항(IR) 및 일렉트로마이그레이션(EM)을 갖는 표준 셀을 산출한다.
일부 실시예들에서, 본 개시내용의 전도성 특징부 레이아웃 패턴들의 세트(320, 520, 620, 720, 820, 및 920)를 사용함으로써, 표준 셀의 게이트 레이아웃 패턴들의 세트의 게이트 밀도는 다른 접근법들과 비교하여 최대로 30 %만큼 증가된다. 일부 실시예들에서, 본 개시내용의 전도성 특징부 레이아웃 패턴들의 세트(320, 520, 620, 720, 820, 및 920)를 사용함으로써, 도 2 내지 도 3, 및 도 5a 내지 도 9e의 레이아웃 설계(200 내지 300, 및 500A 내지 900A) 및 집적 회로들(400, 및 500B 내지 900B)은 다른 접근법들과 비교하여, 더 많은 라우팅 플렉시빌리티를 제공하고 라우팅 자원들을 증가시킨다.
도 10은 일부 실시예들에 따른, 집적 회로를 형성하는 방법(1000)의 플로우차트이다. 추가적인 동작들은 도 10에서 도시된 방법(1000) 전에, 그 동안에, 및/또는 그 후에 수행될 수도 있고, 일부 다른 프로세스들은 본원에서 간결하게 오직 설명될 수도 있다는 것이 이해된다. 일부 실시예들에서, 방법(1000)은 집적 회로(100)(도 1), 집적 회로(400)(도 4a 내지 도 4e), 집적 회로(500B), 집적 회로(600B), 집적 회로(700B), 집적 회로(800B), 또는 집적 회로(900B)와 같은 집적 회로들을 형성하기 위하여 이용가능하다.
방법(1000)의 동작(1002)에서, 집적 회로(예컨대, 집적 회로(100)(도 1) 또는 집적 회로(400)(도 4a 내지 도 4e)의 레이아웃 설계(300)(도 3)가 생성된다. 동작(1002)은 레이아웃 설계(예컨대, 레이아웃 설계(200 또는 300))를 생성하기 위한 명령어들을 실행하도록 구성된 프로세싱 디바이스(예컨대, 프로세서(1202)(도 12))에 의해 수행된다. 일부 실시예들에서, 레이아웃 설계(200 또는 300)는 그래픽 데이터베이스 시스템(graphic database system; GDSII) 파일 포맷이다. 일부 실시예들에서, 방법(1000)의 동작(1002)은 레이아웃 설계(200)(도 2), 레이아웃 설계(300)(도 3), 레이아웃 설계(500A)(도 5), 레이아웃 설계(600A)(도 6), 레이아웃 설계(700A)(도 7), 레이아웃 설계(800A)(도 8), 또는 레이아웃 설계(900A)(도 9) 중의 하나 이상을 생성하기 위하여 사용된다.
방법(1000)은 동작(1004)으로 계속되고, 여기서, 집적 회로(예컨대, 집적 회로(100)(도 1) 또는 집적 회로(400)(도 4a 내지 도 4e))는 레이아웃 설계(300)(도 3)에 기초하여 제조된다. 일부 실시예들에서, 동작(1004)은 대응하는 레이아웃 설계(500A, 600A, 700A, 800A, 또는 900A)에 기초하여 집적 회로(500B, 600B, 700B, 800B, 또는 900B)를 제조하는 것을 포함한다. 일부 실시예들에서, 집적 회로(100)(도 1) 또는 집적 회로(400)(도 4a 내지 도 4e)는 게이트(404b1, 404b2, 404c1, 또는 404c2)를 포함한다. 일부 실시예들에서, 동작(1004)은 레이아웃 설계(200)(도 2), 레이아웃 설계(300)(도 3), 레이아웃 설계(500A)(도 5a), 레이아웃 설계(600A)(도 6a), 레이아웃 설계(700A)(도 7a), 레이아웃 설계(800A)(도 8a), 또는 레이아웃 설계(900A)(도 9a) 중의 하나 이상에 기초하여 적어도 하나의 마스크를 제조하는 것, 및 적어도 하나의 마스크에 기초하여 집적 회로(예컨대, 집적 회로(100)(도 1), 집적 회로(400)(도 4a 내지 도 4e), 또는 집적 회로(500B, 600B, 700B, 800B, 또는 900B))를 제조하는 것을 포함한다. 일부 실시예들에서, 방법(1000)의 동작(1004)은 레이아웃 설계(200)(도 2), 레이아웃 설계(300)(도 3), 레이아웃 설계(500A)(도 5a), 레이아웃 설계(600A)(도 6a), 레이아웃 설계(700A)(도 7a), 레이아웃 설계(800A)(도 8a), 또는 레이아웃 설계(900A)(도 9a) 중의 하나 이상에 기초하여 집적 회로(예컨대, 집적 회로(100)(도 1), 집적 회로(400)(도 4a 내지 도 4e), 또는 집적 회로(500B, 600B, 700B, 800B, 또는 900B))를 제조하기 위하여 사용된다.
방법(1000)은 동작(1006)으로 계속되고, 여기서, 게이트(예컨대, 게이트(A1, A2, B1, 또는 B2))의 부분은 제거됨으로써, 집적 회로(100, 400, 500B, 600B, 700B, 800B, 또는 900B)의 제 1 게이트 구조체(예컨대, 게이트(404b1 또는 404c1)) 및 제 2 게이트 구조체(예컨대, 게이트(404b2 또는 404c2))를 형성한다. 일부 실시예들에서, 동작(1006)에서 제거되는 게이트(예컨대, 게이트(A1, A2, B1, 또는 B2))의 부분은 절단 특징부 레이아웃 패턴(304a 또는 304b)(도 3 및 도 5a) 또는 절단 특징부 레이아웃 패턴(904)(도 9a)에 의해 레이아웃 설계들(300, 500A, 및 900A)에서 식별된다. 일부 실시예들에서, 방법(1000)의 동작은 절단-폴리(cut-poly; CPO) 프로세스로서 지칭된다. 일부 실시예들에서, 동작(1006)은 집적 회로(100(도 1), 400(도 4a 내지 도 4e), 500B, 600B, 700B, 800B, 또는 900B)의 형성으로 귀착된다. 일부 실시예들에서, 게이트들(404b1 및 404b2) 및 게이트들(404c1 및 404c2)의 제거된 게이트 부분은 절단 영역으로서 지칭된다.
일부 실시예들에서, 동작(1006)은 제거 프로세스에 의해 수행된다. 일부 실시예들에서, 제거 프로세스는 게이트(예컨대, 게이트(A1, A2, B1, 또는 B2))의 부분을 제거하기 위하여 적당한 하나 이상의 에칭 프로세스들을 포함한다. 일부 실시예들에서, 동작(1006)의 에칭 프로세스는 제거되어야 하는 게이트(예컨대, 게이트(A1, A2, B1, 또는 B2))의 부분을 식별하는 것, 및 제거되어야 하는 게이트(예컨대, 게이트(A1, A2, B1, 또는 B2))의 부분을 에칭하는 것을 포함한다. 일부 실시예들에서, 마스크는 절단되거나 제거되어야 하는 게이트(예컨대, 게이트(A1, A2, B1, 또는 B2)의 부분들을 특정하기 위하여 이용된다. 일부 실시예들에서, 마스크는 하드 마스크(hard mask)이다. 일부 실시예들에서, 마스크는 소프트 마스크(soft mask)이다. 일부 실시예들에서, 에칭은 플라즈마 에칭, 반응성 이온 에칭, 화학적 에칭, 건식 에칭, 습식 에칭, 다른 적당한 프로세스들, 그 임의의 조합, 또는 등에 대응한다.
일부 실시예들에서, 방법(1000)은 레이아웃 설계(200 또는 300) 이외의 레이아웃 설계들과 함께 사용된다. 예를 들어, 일부 실시예들에서, 동작(1004 또는 1006)의 레이아웃 설계(200(도 2) 또는 300(도 3))는 레이아웃 설계(500A)(도 5a), 레이아웃 설계(600A)(도 6a), 레이아웃 설계(700A)(도 7a), 레이아웃 설계(800A)(도 8a), 또는 레이아웃 설계(900A)(도 9a) 중의 하나 이상으로 대체된다.
일부 실시예들에서, 동작(1006)은 수행되지 않는다. 예를 들어, 일부 실시예들에서, 동작(1006)은 집적 회로(100 또는 400)를 제조하기 위하여 수행되지는 않는다. 일부 실시예들에서, 방법(1000)은 레이아웃 설계들(600A, 700A, 또는 800A) 중의 하나 이상에 기초하여 집적 회로(600B, 700B, 또는 800B)를 제조하기 위하여 수행되고, 동작(1006)은 제조된 집적 회로 상에서 수행되지 않는다.
도 11a 내지 도 11b는 일부 실시예들에 따른, 집적 회로의 레이아웃 설계를 생성하는 방법(1100)의 플로우차트이다. 추가적인 동작들은 도 11a 내지 도 11b에서 도시된 방법(1100) 전에, 그 동안에, 및/또는 그 후에 수행될 수도 있고, 일부 다른 프로세스들은 본원에서 간결하게 오직 설명될 수도 있다는 것이 이해된다. 일부 실시예들에서, 방법(1100)은 집적 회로(100)(도 1), 집적 회로(400)(도 4a 내지 도 4e), 또는 집적 회로(500B, 600B, 700B, 800B, 또는 900B)와 같은 집적 회로의 레이아웃 설계(200)(도 2), 레이아웃 설계(300)(도 3), 레이아웃 설계(500A)(도 5a), 레이아웃 설계(600A)(도 6a), 레이아웃 설계(700A)(도 7a), 레이아웃 설계(800A)(도 8a), 또는 레이아웃 설계(900A)(도 9a)와 같은 하나 이상의 레이아웃 설계들을 생성하기 위하여 이용가능하다. 일부 실시예들에서, 방법(1100)은 레이아웃 설계들(200 내지 300, 또는 500A 내지 900A)(도 2 내지 도 3, 또는 도 5a 내지 도 9a)과 유사한 구조적 관계들을 가지는 집적 회로를 형성하기 위하여 이용가능하다. 일부 실시예들에서, 방법(1100)은 레이아웃 설계(200)(도 2), 레이아웃 설계(300)(도 3), 레이아웃 설계(500A)(도 5a), 레이아웃 설계(600A)(도 6a), 레이아웃 설계(700A)(도 7a), 레이아웃 설계(800A)(도 8a), 또는 레이아웃 설계(900A)(도 9a)를 생성하기 위한 명령어들을 실행하도록 구성된 프로세싱 디바이스(예컨대, 프로세서(1202)(도 12))에 의해 수행된다.
방법(1100)의 동작(1102)에서는, 활성 영역 레이아웃 패턴들의 세트가 생성된다. 일부 실시예들에서, 방법(1100)의 활성 영역 레이아웃 패턴들의 세트는 도 2 내지 도 3, 및 도 5a 내지 도 9a의 활성 영역 레이아웃 패턴들의 세트(202)의 하나 이상의 패턴들을 포함하고, 그러므로, 이 레이아웃 패턴들의 상세한 설명은 생략된다.
방법(1100)의 동작(1104)에서, 활성 영역 레이아웃 패턴들의 세트는 레이아웃 설계(200, 300, 또는 500A 내지 900A)의 제 1 레이아웃 레벨 상에서 배치된다. 일부 실시예들에서, 제 1 레이아웃 레벨은 OD 레이아웃 레벨에 대응한다.
방법(1100)의 동작(1106)에서는, 핀 레이아웃 패턴들의 세트가 생성된다. 일부 실시예들에서, 방법(1100)의 핀 레이아웃 패턴들의 세트는 도 3의 핀 레이아웃 패턴들의 세트(316)의 하나 이상의 패턴들을 포함하고, 그러므로, 이 레이아웃 패턴들의 상세한 설명은 생략된다.
방법(1100)의 동작(1108)에서는, 핀 레이아웃 패턴들의 세트가 레이아웃 설계(200, 300, 또는 500A 내지 900A)의 제 2 레이아웃 레벨 상에서 배치된다. 일부 실시예들에서, 제 2 레이아웃 레벨은 FIN 레이아웃 레벨에 대응한다. 일부 실시예들에서, 제 2 레이아웃 레벨은 제 1 레이아웃 레벨과 동일하다. 일부 실시예들에서, 제 2 레이아웃 레벨은 제 1 레이아웃 레벨과는 상이하다. 일부 실시예들에서, 제 2 레이아웃 레벨은 제 1 레이아웃 레벨 위에 있다. 일부 실시예들에서, 제 2 레이아웃 레벨은 제 1 레이아웃 레벨 아래에 있다.
방법(1100)의 동작(1110)에서는, 전도성 특징부 레이아웃 패턴들의 제 1 세트가 생성된다. 일부 실시예들에서, 방법(1100)의 전도성 특징부 레이아웃 패턴들의 제 1 세트는 도 3, 및 도 5a 내지 도 9a의 전도성 특징부 레이아웃 패턴들(320, 520, 620, 720, 820, 또는 920)의 세트의 하나 이상의 패턴들을 포함하고, 그러므로, 이 레이아웃 패턴들의 상세한 설명은 생략된다.
방법(1100)의 동작(1112)에서, 전도성 특징부 레이아웃 패턴들의 제 1 세트는 레이아웃 설계(200, 300, 또는 500A 내지 900A)의 제 1 레이아웃 레벨의 제 1 부분 상에서 배치된다. 일부 실시예들에서, 제 1 레이아웃 레벨의 제 1 부분은 MU 레이아웃 레벨에 대응한다.
방법(1100)의 동작(1114)에서는, 게이트 레이아웃 패턴들의 세트가 생성된다. 일부 실시예들에서, 방법(1100)의 게이트 레이아웃 패턴들의 세트는 도 2의 게이트 레이아웃 패턴들의 세트(205), 도 2의 게이트 레이아웃 패턴들의 세트(206), 도 3, 및 도 5a 내지 도 7a의 게이트 레이아웃 패턴들의 세트(204), 및 도 8a 내지 도 9a의 게이트 레이아웃 패턴들의 세트(804)의 하나 이상의 패턴들을 포함하고, 그러므로, 이 레이아웃 패턴들의 상세한 설명은 생략된다.
방법(1100)의 동작(1116)에서, 게이트 레이아웃 패턴들의 세트는 레이아웃 설계(200, 300, 또는 500A 내지 900A)의 제 3 레이아웃 레벨 상에서 배치된다. 일부 실시예들에서, 제 3 레이아웃 레벨은 폴리(Poly) 레이아웃 레벨 또는 MD 레이아웃 레벨에 대응한다. 일부 실시예들에서, 제 3 레이아웃 레벨은 제 1 레이아웃 레벨 및 제 2 레이아웃 레벨과는 상이하다. 일부 실시예들에서, 제 3 레이아웃 레벨은 제 1 또는 제 2 레이아웃 레벨 중의 하나 이상의 위에 있다. 일부 실시예들에서, 제 3 레이아웃 레벨은 제 1 또는 제 2 레이아웃 레벨 중의 하나 이상의 아래에 있다.
방법(1100)의 동작(1118)에서는, 비아 레이아웃 패턴들의 제 1 세트가 생성된다. 일부 실시예들에서, 방법(1100)의 비아 레이아웃 패턴들의 제 1 세트는 도 3, 및 도 5a 내지 도 9a의 비아 레이아웃 패턴들의 세트(322, 522, 622, 822, 922)의 하나 이상의 패턴들을 포함하고, 그러므로, 이 레이아웃 패턴들의 상세한 설명은 생략된다.
방법(1100)의 동작(1120)에서, 비아 레이아웃 패턴들의 제 1 세트는 레이아웃 설계(200, 300, 또는 500A 내지 900A)의 제 1 레이아웃 레벨과 제 3 레이아웃 레벨 사이에 배치된다. 일부 실시예들에서, 비아 레이아웃 패턴들의 제 1 세트는 레이아웃 설계(200, 300, 또는 500A 내지 900A)의 VU 레이아웃 레벨 상에서 배치된다. 일부 실시예들에서, 비아 레이아웃 패턴들의 제 1 세트는 게이트 레이아웃 패턴들의 세트와 전도성 특징부 레이아웃 패턴들의 제 1 세트 사이에 배치된다.
방법(1100)의 동작(1122)에서는, 금속 상부 확산 레이아웃 패턴들의 세트가 생성된다. 일부 실시예들에서, 방법(1100)의 금속 상부 확산 레이아웃 패턴들의 세트는 도 2 내지 도 3, 및 도 5a 내지 도 9a의 금속 상부 확산 레이아웃 패턴들(210, 610, 612, 810, 또는 910)의 세트의 하나 이상의 패턴들을 포함하고, 그러므로, 이 레이아웃 패턴들의 상세한 설명은 생략된다.
방법(1100)의 동작(1124)에서, 금속 상부 확산 레이아웃 패턴들의 세트는 레이아웃 설계(200, 300, 또는 500A 내지 900A)의 제 3 레이아웃 레벨 상에서 배치된다. 일부 실시예들에서, 제 3 레이아웃 레벨은 MD 레이아웃 레벨에 대응한다.
방법(1100)의 동작(1126)에서는, 비아 레이아웃 패턴들의 제 2 세트가 생성된다. 일부 실시예들에서, 방법(1100)의 비아 레이아웃 패턴들의 제 2 세트는 도 3, 및 도 5a 내지 도 9a의 비아 레이아웃 패턴들의 세트(322, 522, 622, 822, 922)의 하나 이상의 패턴들을 포함하고, 그러므로, 이 레이아웃 패턴들의 상세한 설명은 생략된다.
방법(1100)의 동작(1128)에서, 비아 레이아웃 패턴들의 제 2 세트는 레이아웃 설계(200, 300, 또는 500A 내지 900A)의 제 3 레이아웃 레벨과 제 1 레이아웃 레벨 사이에 배치된다. 일부 실시예들에서, 비아 레이아웃 패턴들의 제 2 세트는 레이아웃 설계(200, 300, 또는 500A 내지 900A)의 VU 레이아웃 레벨 상에서 배치된다. 일부 실시예들에서, 비아 레이아웃 패턴들의 제 2 세트는 금속 상부 확산 레이아웃 패턴들의 세트와 전도성 특징부 레이아웃 패턴들의 제 1 세트 사이에 배치된다.
방법(1100)의 동작(1130)에서는, 전도성 특징부 레이아웃 패턴들의 제 2 세트가 생성된다. 일부 실시예들에서, 방법(1100)의 전도성 특징부 레이아웃 패턴들의 제 2 세트는 도 3, 및 도 5a 내지 도 9a의 전도성 특징부 레이아웃 패턴들(330)의 세트의 하나 이상의 패턴들, 또는 도 3, 및 도 5a 내지 도 9a의 전도성 특징부 레이아웃 패턴(630b, 630b')을 포함하고, 그러므로, 이 레이아웃 패턴들의 상세한 설명은 생략된다.
방법(1100)의 동작(1132)에서, 전도성 특징부 레이아웃 패턴들의 제 2 세트는 레이아웃 설계(200, 300, 또는 500A 내지 900A)의 제 4 레이아웃 레벨 상에서 배치된다. 일부 실시예들에서, 제 4 레이아웃 레벨은 제 1 레이아웃 레벨, 제 2 레이아웃 레벨, 및 제 3 레이아웃 레벨과는 상이하다. 일부 실시예들에서, 제 4 레이아웃 레벨은 제 1, 제 2, 또는 제 3 레이아웃 레벨 중의 하나 이상의 위에 있다. 일부 실시예들에서, 제 4 레이아웃 레벨은 제 1, 제 2, 또는 제 3 레이아웃 레벨 중의 하나 이상의 아래에 있다. 일부 실시예들에서, 제 4 레이아웃 레벨은 M0 레이아웃 레벨에 대응한다.
방법(1100)의 동작(1134)에서는, 비아 레이아웃 패턴들의 제 3 세트가 생성된다. 일부 실시예들에서, 방법(1100)의 비아 레이아웃 패턴들의 제 3 세트는 도 3, 및 도 5a 내지 도 9a의 비아 레이아웃 패턴들의 세트(332, 632, 832, 932)의 하나 이상의 패턴들을 포함하고, 그러므로, 이 레이아웃 패턴들의 상세한 설명은 생략된다.
방법(1100)의 동작(1136)에서, 비아 레이아웃 패턴들의 제 3 세트는 레이아웃 설계(200, 300, 또는 500A 내지 900A)의 제 3 레이아웃 레벨과 제 4 레이아웃 레벨 사이에 배치된다. 일부 실시예들에서, 비아 레이아웃 패턴들의 제 3 세트는 레이아웃 설계(200, 300, 또는 500A 내지 900A)의 VG 레이아웃 레벨 상에서 배치된다. 일부 실시예들에서, 비아 레이아웃 패턴들의 제 3 세트는 게이트 레이아웃 패턴들의 세트와 전도성 특징부 레이아웃 패턴들의 제 2 세트 사이에 배치된다.
방법(1100)의 동작(1138)에서는, 비아 레이아웃 패턴들의 제 4 세트가 생성된다. 일부 실시예들에서, 방법(1100)의 비아 레이아웃 패턴들의 제 4 세트는 도 3, 및 도 5a 내지 도 9a의 비아 레이아웃 패턴들의 세트(636, 836)의 하나 이상의 패턴들을 포함하고, 그러므로, 이 레이아웃 패턴들의 상세한 설명은 생략된다.
방법(1100)의 동작(1140)에서, 비아 레이아웃 패턴들의 제 4 세트는 레이아웃 설계(200, 300, 또는 500A 내지 900A)의 제 3 레이아웃 레벨과 제 4 레이아웃 레벨 사이에 배치된다. 일부 실시예들에서, 비아 레이아웃 패턴들의 제 4 세트는 레이아웃 설계(200, 300, 또는 500A 내지 900A)의 VD 레이아웃 레벨 상에서 배치된다. 일부 실시예들에서, 비아 레이아웃 패턴들의 제 4 세트는 금속 상부 확산 레이아웃 패턴들의 세트와 전도성 특징부 레이아웃 패턴들의 제 2 세트 사이에 배치된다.
방법(1100)의 동작(1142)에서는, 전도성 특징부 레이아웃 패턴들의 제 3 세트가 생성된다. 일부 실시예들에서, 방법(1100)의 전도성 특징부 레이아웃 패턴들의 제 3 세트는 도 3, 및 도 5a 내지 도 9a의 전도성 특징부 레이아웃 패턴들의 세트(340, 640)의 하나 이상의 패턴들을 포함하고, 그러므로, 이 레이아웃 패턴들의 상세한 설명은 생략된다.
방법(1100)의 동작(1144)에서, 전도성 특징부 레이아웃 패턴들의 제 3 세트는 레이아웃 설계(200, 300, 또는 500A 내지 900A)의 제 5 레이아웃 레벨 상에서 배치된다. 일부 실시예들에서, 제 5 레이아웃 레벨은 제 1 레이아웃 레벨, 제 2 레이아웃 레벨, 제 3 레이아웃 레벨, 및 제 4 레이아웃 레벨과는 상이하다. 일부 실시예들에서, 제 5 레이아웃 레벨은 제 1, 제 2, 제 3, 또는 제 4 레이아웃 레벨 중의 하나 이상의 위에 있다. 일부 실시예들에서, 제 5 레이아웃 레벨은 제 1, 제 2, 제 3, 또는 제 4 레이아웃 레벨 중의 하나 이상의 아래에 있다. 일부 실시예들에서, 제 5 레이아웃 레벨은 M1 레이아웃 레벨에 대응한다.
방법(1100)의 동작(1146)에서는, 비아 레이아웃 패턴들의 제 5 세트가 생성된다. 일부 실시예들에서, 방법(1100)의 비아 레이아웃 패턴들의 제 5 세트는 도 3, 및 도 5a 내지 도 9a의 비아 레이아웃 패턴들의 세트(342, 642)의 하나 이상의 패턴들을 포함하고, 그러므로, 이 레이아웃 패턴들의 상세한 설명은 생략된다.
방법(1100)의 동작(1148)에서, 비아 레이아웃 패턴들의 제 5 세트는 레이아웃 설계(200, 300, 또는 500A 내지 900A)의 제 4 레이아웃 레벨과 제 5 레이아웃 레벨 사이에 배치된다. 일부 실시예들에서, 비아 레이아웃 패턴들의 제 5 세트는 레이아웃 설계(200, 300, 또는 500A 내지 900A)의 V0 레이아웃 레벨 상에서 배치된다. 일부 실시예들에서, 비아 레이아웃 패턴들의 제 5 세트는 전도성 레이아웃 패턴들의 제 1 세트와 전도성 특징부 레이아웃 패턴들의 제 2 세트 사이에 배치된다.
방법(1100)의 동작(1150)에서는, 절단 특징부 레이아웃 패턴이 생성된다. 일부 실시예들에서, 방법(1100)의 절단 특징부 레이아웃 패턴은 절단 특징부 레이아웃 패턴(304a 또는 304b)(도 3 및 도 5a), 또는 절단 특징부 레이아웃 패턴(904)(도 9a)를 포함하고, 그러므로, 이 레이아웃 패턴들의 상세한 설명은 생략된다.
방법(1100)의 동작(1152)에서, 절단 특징부 레이아웃 패턴은 레이아웃 설계(200, 300, 또는 500A 내지 900A)의 제 3, 제 4, 또는 제 5 레이아웃 레벨 중의 하나 이상의 상에서 배치된다.
일부 실시예들에서, 방법(1100)의 동작들 중의 하나 이상은 수행되지 않는다. 방법들(1000 내지 1100)의 동작들 중의 하나 이상은 집적 회로(100, 400, 500B, 600B, 700B, 800B, 또는 900B)와 같은 집적 회로를 제조하기 위한 명령어들을 실행하도록 구성된 프로세싱 디바이스에 의해 수행된다. 일부 실시예들에서, 방법들(1000 내지 1100)의 하나 이상의 동작들은 방법들(1000 내지 1100)의 상이한 하나 이상의 동작들에서 이용된 것과 동일한 프로세싱 디바이스를 이용하여 수행된다. 일부 실시예들에서는, 방법들(1000 내지 1100)의 상이한 하나 이상의 동작들을 수행하기 위하여 이용된 것과는 상이한 프로세싱 디바이스가 방법들(1000 내지 1100)의 하나 이상의 동작들을 수행하기 위하여 이용된다.
도 12는 일부 실시예들에 따른, IC 레이아웃을 설계하기 위한 시스템(1200)의 개략도이다. 일부 실시예들에서, 시스템(1200)은 본원에서 설명된 하나 이상의 IC 레이아웃 설계들을 생성하거나 배치한다. 시스템(1200)은 하드웨어 프로세서(1202), 및 컴퓨터 프로그램 코드(1206), 즉, 실행가능한 명령어들의 세트로 인코딩된, 즉, 저자하는 비-일시적 컴퓨터 판독가능한 저장 매체(1204)를 포함한다. 컴퓨터 판독가능한 저장 매체(1204)는 집적 회로를 생산하기 위한 제조 머신들과 인터페이싱하도록 구성된다. 프로세서(1202)는 버스(1208)를 통해 컴퓨터 판독가능한 저장 매체(1204)에 전기적으로 결합된다. 프로세서(1202)는 또한, 버스(1208)에 의해 I/O 인터페이스(1210)에 전기적으로 결합된다. 네트워크 인터페이스(1212)는 또한, 버스(1208)를 통해 프로세서(1202)에 전기적으로 접속된다. 네트워크 인터페이스(1212)는 네트워크(1214)에 접속되어, 프로세서(1202) 및 컴퓨터 판독가능한 저장 매체(1204)는 네트워크(1214)를 통해 외부 구성요소들에 접속할 수 있다. 프로세서(1202)는 시스템(1200)으로 하여금, 방법(1000 또는 1100)에서 설명된 바와 같은 동작들의 부분 또는 전부를 수행하기 위하여 이용가능하게 하기 위하여, 컴퓨터 판독가능 저장 매체(1204)에서 인코딩된 컴퓨터 프로그램 코드(1206)를 실행하도록 구성된다.
일부 실시예들에서, 프로세서(1202)는 중앙 프로세싱 유닛(central processing unit; CPU), 멀티-프로세서, 분산된 프로세싱 시스템, 애플리케이션 특정 집적 회로(application specific integrated circuit; ASIC), 및/또는 적당한 프로세싱 유닛이다.
일부 실시예들에서, 컴퓨터 판독가능한 저장 매체(1204)는 전자적, 자기적, 광학적, 전자기적, 적외선, 및/또는 반도체 시스템(또는 장치 또는 디바이스)이다. 예를 들어, 컴퓨터 판독가능한 저장 매체(1204)는 반도체 또는 솔리드-스테이트(solid-state) 메모리, 자기 테이프, 분리가능한 컴퓨터 디스켓, 랜덤 액세스 메모리(random access memory; RAM), 판독-전용 메모리(read-only memory; ROM), 강성 자기 디스크, 및/또는 광학 디스크를 포함한다. 광학 디스크들을 이용하는 일부 실시예들에서, 컴퓨터 판독가능한 저장 매체(1204)는 컴팩트 디스크-판독 전용 메모리(compact disk-read only memory; CD-ROM), 컴팩트 디스크-판독/기입(compact disk-read/write; CD-R/W), 및/또는 디지털 비디오 디스크(digital video disc; DVD)를 포함한다.
일부 실시예들에서, 저장 매체(1204)는 시스템(1200)으로 하여금, 방법(1000 또는 1100)을 수행하게 하도록 구성된 컴퓨터 프로그램 코드(1206)를 저장한다. 일부 실시예들에서, 저장 매체(1204)는 또한, 방법(1000 또는 1100)을 수행하기 위하여 필요한 정보 뿐만 아니라, 레이아웃 설계(1216) 및 사용자 인터페이스(1218)와 같은, 방법(1000 또는 1100)을 수행하는 동안에 생성된 정보, 및/또는 방법(1000 또는 1100)의 동작을 수행하기 위한 실행가능한 명령어들의 세트를 저장한다. 일부 실시예들에서, 레이아웃 설계(1216)는 레이아웃 설계들(200 내지 300, 또는 500A 내지 900A) 중의 하나 이상을 포함한다. 일부 실시예들에서, 레이아웃 설계(1216)는 레이아웃 설계들(200 내지 300, 또는 500A 내지 900A) 중의 하나 이상의 레이아웃 패턴들을 포함한다.
일부 실시예들에서, 저장 매체(1204)는 제조 머신들과 인터페이싱하기 위한 명령어들(예컨대, 컴퓨터 프로그램 코드(1206))을 저장한다. 명령어들(예컨대, 컴퓨터 프로그램 코드(1206))은 프로세서(1202)가 제조 프로세스 동안에 방법(1000 또는 1100)을 효율적으로 구현하기 위하여 제조 머신들에 의해 판독가능한 제조 명령어들을 생성하는 것을 가능하게 한다.
시스템(1200)은 I/O 인터페이스(1210)를 포함한다. I/O 인터페이스(1210)는 외부 회로부에 결합된다. 일부 실시예들에서, I/O 인터페이스(1210)는 정보 및 커맨드들을 프로세서(1202)로 통신하기 위한 키보드, 키패드, 마우스, 트랙볼, 트랙패드, 및/또는 커서 방향 키들을 포함한다.
시스템(1200)은 또한, 프로세서(1202)에 결합된 네트워크 인터페이스(1212)를 포함한다. 네트워크 인터페이스(1212)는 시스템(1200)이 하나 이상의 다른 컴퓨터 시스템들이 접속되는 네트워크(1214)와 통신하는 것을 허용한다. 네트워크 인터페이스(1212)는 BLUETOOTH, WIFI, WIMAX, GPRS, 또는 WCDMA와 같은 무선 네트워크 인터페이스들; 또는 ETHERNET, USB, 또는 IEEE-1394와 같은 유선 네트워크 인터페이스를 포함한다. 일부 실시예들에서, 방법(1000 또는 1100)은 2 개 이상의 시스템들(1200)에서 구현되고, 레이아웃 설계와 같은 정보 및 사용자 인터페이스는 네트워크(1214)에 의해 상이한 시스템들(1200) 사이에서 교환된다.
시스템(1200)은 I/O 인터페이스(1210) 또는 네트워크 인터페이스(1212)를 통해 레이아웃 설계에 관련된 정보를 수신하도록 구성된다. 집적 회로, 예컨대, 집적 회로(100, 400, 500B, 600B, 700B, 800B, 또는 900B)를 생산하기 위한 레이아웃 설계를 결정하기 위하여, 정보는 버스(1208)에 의해 프로세서(1202)로 전달된다. 그 다음으로, 레이아웃 설계는 레이아웃 설계(1216)로서 컴퓨터 판독가능한 매체(1204) 내에 저장된다. 시스템(1200)은 I/O 인터페이스(1210) 또는 네트워크 인터페이스(1212)를 통해 사용자 인터페이스에 관련된 정보를 수신하도록 구성된다. 정보는 사용자 인터페이스(1218)로서 컴퓨터 판독가능한 매체(1204) 내에 저장된다.
일부 실시예들에서, 방법(1000 또는 1100)은 프로세서에 의한 실행을 위한 단독형 소프트웨어 애플리케이션으로서 구현된다. 일부 실시예들에서, 방법(1000 또는 1100)은 추가적인 소프트웨어 애플리케이션의 일부인 소프트웨어 애플리케이션으로서 구현된다. 일부 실시예들에서, 방법(1000 또는 1100)은 소프트웨어 애플리케이션에 대한 플러그-인(plug-in)으로서 구현된다. 일부 실시예들에서, 방법(1000 또는 1100)은 EDA 툴의 부분인 소프트웨어 애플리케이션으로서 구현된다. 일부 실시예들에서, 방법(1000 또는 1100)은 EDA 툴에 의해 이용되는 소프트웨어 애플리케이션으로서 구현된다. 일부 실시예들에서, EDA 툴은 집적 회로 디바이스의 레이아웃을 생성하기 위하여 이용된다. 일부 실시예들에서, 레이아웃은 비-일시적 컴퓨터 판독가능한 매체 상에서 저장된다. 일부 실시예들에서, 레이아웃은 CADENCE DESIGN SYSTEMS, Inc.로부터 입수가능한 VIRTUOSO®와 같은 툴, 또는 또 다른 적당한 레이아웃 생성 툴을 이용하여 생성된다. 일부 실시예들에서, 레이아웃은 개략적인 설계에 기초하여 생성되는 넷리스트(netlist)에 기초하여 생성된다. 일부 실시예들에서, 방법(1000 또는 1100)은 시스템(1200)에 의해 생성된 하나 이상의 레이아웃 설계들(예컨대, 레이아웃 설계(200 내지 300, 또는 500A 내지 900A)에 기초하여 제조된 마스크들의 세트를 이용하여 집적 회로(예컨대, 집적 회로(100, 400, 500B, 600B, 700B, 800B, 또는 900B))를 제조하기 위하여 제조 디바이스에 의해 구현된다. 도 12의 시스템(1200)은 다른 접근법들보다 더 작은, 집적 회로(예컨대, 집적 회로(100, 400, 500B, 600B, 700B, 800B, 또는 900B))의 레이아웃 설계들(예컨대, 레이아웃 설계(200 내지 300, 또는 500A 내지 900A))을 생성한다.
이 출원의 하나의 양태는 기판에서의 활성 영역들의 세트, 전도성 구조체들의 제 1 세트, 얕은 트렌치 격리(STI) 영역, 게이트들의 세트, 및 비아들의 제 1 세트를 포함하는 집적 회로에 관한 것이다. 활성 영역들의 세트는 제 1 방향으로 연장되고, 제 1 레벨 상에 위치되고, 제 1 방향과는 상이한 제 2 방향으로 서로로부터 분리된다. 전도성 구조체들의 제 1 세트는 적어도 제 1 방향 또는 제 2 방향으로 연장되고, 제 1 레벨 상에 위치되고, 활성 영역들의 세트 사이에 있다. STI 영역은 적어도 제 1 방향 또는 제 2 방향으로 연장되고, 적어도 제 1 레벨 상에 위치되고, 활성 영역들의 세트와 전도성 구조체들의 제 1 세트 사이에 있다. 게이트들의 세트는 제 2 방향으로 연장되고, 적어도 전도성 구조체들의 제 1 세트와 중첩되고, 제 1 레벨과는 상이한 제 2 레벨 상에 위치되고, 게이트들의 세트의 게이트들의 각각은 제 1 피치만큼 제 1 방향으로 게이트들의 세트의 인접한 게이트로부터 분리된다. 비아들의 제 1 세트는 전도성 구조체들의 제 1 세트를 게이트들의 세트에 결합하고, 비아들의 제 1 세트는 전도성 구조체들의 제 1 세트와 게이트들의 세트 사이에 있고, 비아들의 제 1 세트의 비아는 게이트들의 세트의 게이트가 전도성 구조체들의 제 1 세트의 전도성 구조체와 중첩되는 곳에 위치된다. 일부 실시예들에서, 콘택트들의 세트는 제 2 방향으로 연장되고, 전도성 구조체들의 제 1 세트와 중첩되고, 제 2 레벨 상에 위치되고, 콘택트들의 세트의 콘택트들의 각각은 제 1 방향으로 콘택트들의 세트의 인접한 콘택트로부터 분리되고; 비아들의 제 2 세트는 전도성 구조체들의 제 1 세트를 콘택트들의 세트에 결합하고, 비아들의 제 2 세트는 전도성 구조체들의 제 1 세트와 콘택트들의 세트 사이에 있고, 비아들의 제 2 세트의 비아는 콘택트들의 세트의 콘택트가 전도성 구조체들의 제 1 세트의 전도성 구조체와 중첩되는 곳에 위치된다. 일부 실시예들에서, 전도성 구조체들의 제 2 세트는 제 1 방향으로 연장되고, 활성 영역들의 세트 사이에 있고, 적어도 게이트들의 세트와 중첩되고, 제 1 레벨 및 제 2 레벨과는 상이한 제 3 레벨 상에 위치되고, 전도성 구조체들의 제 2 세트의 전도성 구조체들의 각각은 제 2 방향으로 전도성 구조체들의 제 2 세트의 인접한 전도성 구조체로부터 분리되고; 비아들의 제 3 세트는 전도성 구조체들의 제 2 세트를 콘택트들의 세트에 결합하고, 비아들의 제 3 세트는 전도성 구조체들의 제 2 세트와 콘택트들의 세트 사이에 있고, 비아들의 제 3 세트의 비아는 전도성 구조체들의 제 2 세트의 전도성 구조체가 콘택트들의 세트의 또 다른 콘택트와 중첩되는 곳에 위치된다. 일부 실시예들에서, 전도성 구조체들의 제 2 세트는 제 1 방향으로 연장되고, 활성 영역들의 세트 사이에 있고, 적어도 게이트들의 세트와 중첩되고, 제 1 레벨 및 제 2 레벨과는 상이한 제 3 레벨 상에 위치되고, 전도성 구조체들의 제 2 세트의 전도성 구조체들의 각각은 제 2 방향으로 전도성 구조체들의 제 2 세트의 인접한 전도성 구조체로부터 분리되고; 비아들의 제 3 세트는 전도성 구조체들의 제 2 세트를 게이트들의 세트에 결합하고, 비아들의 제 3 세트는 전도성 구조체들의 제 2 세트와 게이트들의 세트 사이에 있고, 비아들의 제 3 세트의 비아는 전도성 구조체들의 제 2 세트의 전도성 구조체가 게이트들의 세트의 또 다른 게이트와 중첩되는 곳에 위치된다. 일부 실시예들에서, 전도성 구조체들의 제 3 세트는 제 2 방향으로 연장되고, 게이트들의 세트 사이에 있고, 적어도 전도성 구조체들의 제 1 세트와 중첩되고, 제 1 레벨, 제 2 레벨, 및 제 3 레벨과는 상이한 제 4 레벨 상에 위치되고, 전도성 구조체들의 제 3 세트의 구조체들의 각각은 제 1 방향으로 전도성 구조체들의 제 3 세트의 인접한 구조체로부터 분리되고; 비아들의 제 4 세트는 전도성 구조체들의 제 3 세트를 전도성 구조체들의 제 2 세트에 결합하고, 비아들의 제 4 세트는 전도성 구조체들의 제 3 세트와 전도성 구조체들의 제 2 세트 사이에 있고, 비아들의 제 4 세트의 비아는 전도성 구조체들의 제 3 세트의 구조체가 전도성 구조체들의 제 2 세트의 또 다른 구조체와 중첩되는 곳에 위치된다. 일부 실시예들에서, 핀들의 세트는 제 1 방향으로 연장되고 게이트들의 세트 아래에 있고, 핀들의 각각은 핀 피치만큼 제 2 방향으로 핀들의 세트의 인접한 핀으로부터 분리된다. 일부 실시예들에서, 전도성 구조체들의 제 1 세트의 전도성 구조체의 상단 표면은 활성 영역들의 세트의 활성 영역의 상단 표면, 또는 핀들의 세트의 핀의 상단 표면 아래에 있다. 일부 실시예들에서, 집적 회로는 전송 게이트(transmission gate)를 포함한다.
이 설명의 또 다른 양태는 집적 회로를 제조하는 방법에 관한 것이다. 방법은 프로세서에 의해, 집적 회로의 레이아웃 설계를 생성하는 것, 및 레이아웃 설계에 기초하여 집적 회로를 제조하는 것을 포함한다. 일부 실시예들에서, 레이아웃 설계를 생성하는 것은 활성 영역 레이아웃 패턴들의 세트를 생성하는 것, 전도성 특징부 레이아웃 패턴들의 제 1 세트를 생성하는 것, 게이트 레이아웃 패턴들의 세트를 생성하는 것, 및 비아 레이아웃 패턴들의 제 1 세트를 생성하는 것을 포함한다. 일부 실시예들에서, 활성 영역 레이아웃 패턴들의 세트는 제 1 방향으로 연장되고, 제 1 레이아웃 레벨 상에 위치되고, 제 1 방향과는 상이한 제 2 방향으로 서로로부터 분리되고, 활성 영역 레이아웃 패턴들의 세트는 집적 회로의 활성 영역들의 세트를 제조하는 것에 대응한다. 일부 실시예들에서, 전도성 특징부 레이아웃 패턴들의 제 1 세트는 적어도 제 1 방향 또는 제 2 방향으로 연장되고, 제 1 레이아웃 레벨 상에 위치되고, 활성 영역 레이아웃 패턴들의 세트 사이에 있고, 전도성 특징부 레이아웃 패턴들의 제 1 세트는 집적 회로의 전도성 구조체들의 제 1 세트를 제조하는 것에 대응한다. 일부 실시예들에서, 게이트 레이아웃 패턴들의 세트는 제 2 방향으로 연장되고, 적어도 전도성 특징부 레이아웃 패턴들의 제 1 세트와 중첩되고, 제 1 레이아웃 레벨과는 상이한 제 2 레이아웃 레벨 상에 위치되고, 게이트 레이아웃 패턴들의 세트의 레이아웃 패턴들의 각각은 제 1 피치만큼 제 1 방향으로 게이트 레이아웃 패턴들의 세트의 인접한 레이아웃 패턴으로부터 분리되고, 게이트 레이아웃 패턴들의 세트는 집적 회로의 게이트들의 세트를 제조하는 것에 대응한다. 일부 실시예들에서, 비아 레이아웃 패턴들의 제 1 세트는 비아들의 제 1 세트를 제조하는 것에 대응하고, 비아들의 제 1 세트는 전도성 구조체들의 제 1 세트를 게이트들의 세트에 결합하고, 비아 레이아웃 패턴들의 제 1 세트는 전도성 특징부 레이아웃 패턴들의 제 1 세트와 게이트 레이아웃 패턴들의 세트 사이에 있고, 비아 레이아웃 패턴들의 제 1 세트의 레이아웃 패턴은 게이트 레이아웃 패턴들의 세트의 레이아웃 패턴이 전도성 특징부 레이아웃 패턴들의 제 1 세트의 레이아웃 패턴과 중첩되는 곳에 위치된다. 일부 실시예들에서, 레이아웃 설계를 생성하는 것은 집적 회로의 전도성 구조체들의 제 2 세트를 제조하는 것에 대응하는 전도성 특징부 레이아웃 패턴들의 제 2 세트를 생성하는 것 - 전도성 특징부 레이아웃 패턴들의 제 2 세트는 제 1 방향으로 연장되고, 활성 영역 레이아웃 패턴들의 세트 사이에 있고, 적어도 게이트 레이아웃 패턴들의 세트와 중첩되고, 제 1 레이아웃 레벨 및 제 2 레이아웃 레벨과는 상이한 제 3 레이아웃 레벨 상에 위치되고, 전도성 레이아웃 패턴들의 제 2 세트의 레이아웃 패턴들의 각각은 제 2 방향으로 전도성 레이아웃 패턴들의 제 2 세트의 인접한 레이아웃 패턴으로부터 분리됨 - 을 더 포함한다. 일부 실시예들에서, 레이아웃 설계를 생성하는 것은 비아들의 제 2 세트를 제조하는 것에 대응하는 비아 레이아웃 패턴들의 제 2 세트를 생성하는 것 - 비아들의 제 2 세트는 전도성 구조체들의 제 2 세트를 게이트들의 세트에 결합하고, 비아 레이아웃 패턴들의 제 2 세트는 전도성 특징부 레이아웃 패턴들의 제 2 세트와 게이트 레이아웃 패턴들의 세트 사이에 있고, 비아 레이아웃 패턴들의 제 2 세트의 레이아웃 패턴은 전도성 특징부 레이아웃 패턴들의 제 2 세트의 레이아웃 패턴이 게이트 레이아웃 패턴들의 세트의 또 다른 레이아웃 패턴과 중첩되는 곳에 위치됨 - 을 더 포함한다. 일부 실시예들에서, 레이아웃 설계를 생성하는 것은 집적 회로의 전도성 구조체들의 제 3 세트를 제조하는 것에 대응하는 전도성 특징부 레이아웃 패턴들의 제 3 세트를 생성하는 것 - 전도성 특징부 레이아웃 패턴들의 제 3 세트는 제 2 방향으로 연장되고, 게이트 레이아웃 패턴들의 세트 사이에 있고, 적어도 전도성 특징부 레이아웃 패턴들의 제 1 세트와 중첩되고, 제 1 레이아웃 레벨, 제 2 레이아웃 레벨, 및 제 4 레이아웃 레벨과는 상이한 제 4 레이아웃 레벨 상에 위치되고, 전도성 이아웃 패턴들의 제 4 세트의 레이아웃 패턴들의 각각은 제 1 방향으로 전도성 레이아웃 패턴들의 제 4 세트의 인접한 레이아웃 패턴으로부터 분리됨 -; 및 비아들의 제 4 세트를 제조하는 것에 대응하는 비아 레이아웃 패턴들의 제 4 세트를 생성하는 것 - 비아들의 제 4 세트는 전도성 구조체들의 제 3 세트를 전도성 구조체들의 제 2 세트에 결합하고, 비아 레이아웃 패턴들의 제 4 세트는 전도성 특징부 레이아웃 패턴들의 제 3 세트와 전도성 특징부 레이아웃 패턴들의 제 2 세트 사이에 있고, 비아 레이아웃 패턴들의 제 4 세트의 레이아웃 패턴은 전도성 특징부 레이아웃 패턴들의 제 3 세트의 레이아웃 패턴이 전도성 특징부 레이아웃 패턴들의 제 2 세트의 또 다른 레이아웃 패턴과 중첩되는 곳에 위치됨 - 을 더 포함한다. 일부 실시예들에서, 레이아웃 설계를 생성하는 것은 집적 회로의 콘택트들의 세트를 제조하는 것에 대응하는 금속 상부 확산 레이아웃 패턴들의 세트를 생성하는 것 - 금속 상부 확산 레이아웃 패턴들의 세트는 제 2 방향으로 연장되고, 전도성 특징부 레이아웃 패턴들의 제 1 세트와 중첩되고, 제 2 레이아웃 레벨 상에 위치되고, 금속 상부 확산 패턴들의 세트의 레이아웃 패턴들의 각각은 제 1 방향으로 금속 상부 확산 레이아웃 패턴들의 세트의 인접한 레이아웃 패턴으로부터 분리됨 -; 및 비아들의 제 2 세트를 제조하는 것에 대응하는 비아 레이아웃 패턴들의 제 2 세트를 생성하는 것 - 비아들의 제 2 세트는 전도성 구조체들의 제 1 세트를 콘택트들의 세트에 결합하고, 비아 레이아웃 패턴들의 제 2 세트는 전도성 특징부 레이아웃 패턴들의 제 1 세트와 금속 상부 확산 레이아웃 패턴들의 세트 사이에 있고, 비아 레이아웃 패턴들의 제 2 세트의 레이아웃 패턴은 금속 상부 확산 레이아웃 패턴들의 세트의 레이아웃 패턴이 전도성 특징부 레이아웃 패턴들의 제 1 세트의 레이아웃 패턴과 중첩되는 곳에위 위치됨 - 을 더 포함한다. 일부 실시예들에서, 레이아웃 설계를 생성하는 것은 집적 회로 구조체의 핀들의 세트를 제조하는 것에 대응하는 핀 레이아웃 패턴들의 세트를 생성하는 것 - 핀 레이아웃 패턴들의 세트는 제 1 방향으로 연장되고 게이트 레이아웃 패턴들의 세트 아래에 있고, 핀 레이아웃 패턴들의 세트의 레이아웃 패턴들의 각각은 핀 피치만큼 제 2 방향으로 핀 레이아웃 패턴들의 세트의 인접한 레이아웃 패턴으로부터 분리됨 - 을 더 포함한다. 일부 실시예들에서, 레이아웃 설계를 생성하는 것은 집적 회로의 게이트들의 세트의 제 1 게이트의 절단 영역에 대응하는 절단 특징부 레이아웃 패턴을 생성하는 것 - 절단 특징부 레이아웃 패턴은 제 1 방향으로 연장되고, 적어도 게이트 레이아웃 패턴들의 세트의 제 1 게이트 레이아웃 패턴과 중첩함 - 을 더 포함한다. 일부 실시예들에서, 방법은 제 1 게이트 구조체 및 제 2 게이트 구조체를 형성하기 위하여 게이트 구조체들의 세트의 제 1 게이트의 부분을 제거하는 것 - 절단 특징부 레이아웃 패턴은 게이트 구조체들의 세트의 제 1 게이트의 제거된 부분의 위치를 식별함 - 을 더 포함한다.
이 개시내용의 또 다른 양태는 집적 회로를 제조하는 방법에 관한 것이다. 방법은 프로세서에 의해, 집적 회로의 레이아웃 설계를 생성하는 것, 및 레이아웃 설계에 기초하여 집적 회로를 제조하는 것을 포함한다. 일부 실시예들에서, 집적 회로는 전송 게이트를 포함한다. 일부 실시예들에서, 레이아웃 설계를 생성하는 것은 제 1 레이아웃 레벨 상에서 활성 영역 레이아웃 패턴들의 세트를 배치하는 것, 제 1 레이아웃 레벨 상에서 전도성 특징부 레이아웃 패턴들의 제 1 세트를 배치하는 것, 제 1 레이아웃 레벨과는 상이한 제 2 레이아웃 레벨 상에서 금속 상부 확산 레이아웃 패턴들의 세트를 배치하는 것, 및 전도성 특징부 레이아웃 패턴들의 제 1 세트와 금속 상부 확산 레이아웃 패턴들의 세트 사이에 비아 레이아웃 패턴들의 제 1 세트를 배치하는 것을 포함한다. 일부 실시예들에서, 활성 영역 레이아웃 패턴들의 세트는 제 1 방향으로 연장되고, 제 1 방향과는 상이한 제 2 방향으로 서로로부터 분리되고, 활성 영역 레이아웃 패턴들의 세트는 집적 회로의 활성 영역들의 세트를 제조하는 것에 대응한다. 일부 실시예들에서, 전도성 특징부 레이아웃 패턴들의 제 1 세트는 적어도 제 1 방향 또는 제 2 방향으로 연장되고, 활성 영역 레이아웃 패턴들의 세트 사이에 있고, 전도성 특징부 레이아웃 패턴들의 제 1 세트는 집적 회로의 전도성 구조체들의 제 1 세트를 제조하는 것에 대응한다. 일부 실시예들에서, 금속 상부 확산 레이아웃 패턴들의 세트는 집적 회로의 콘택트들의 세트를 제조하는 것에 대응하고, 금속 상부 확산 레이아웃 패턴들의 세트는 제 2 방향으로 연장되고, 전도성 특징부 레이아웃 패턴들의 제 1 세트와 중첩되고, 금속 상부 확산 패턴들의 세트의 레이아웃 패턴들의 각각은 제 1 방향으로 금속 상부 확산 레이아웃 패턴들의 세트의 인접한 레이아웃 패턴으로부터 분리된다. 일부 실시예들에서, 비아 레이아웃 패턴들의 제 1 세트는 바이들의 제 1 세트를 제조하는 것에 대응하고, 비아들의 제 1 세트는 전도성 구조체들의 제 1 세트를 콘택트들의 세트에 결합하고, 비아 레이아웃 패턴들의 제 1 세트의 레이아웃 패턴은 금속 상부 확산 레이아웃 패턴들의 세트의 레이아웃 패턴이 전도성 특징부 레이아웃 패턴들의 제 1 세트의 레이아웃 패턴과 중첩되는 곳에 위치된다. 일부 실시예들에서, 레이아웃 설계를 생성하는 것은 제 1 레이아웃 레벨 및 제 2 레이아웃 레벨과는 상이한 제 3 레이아웃 레벨 상에서 전도성 특징부 레이아웃 패턴들의 제 2 세트를 배치하는 것 - 전도성 특징부 레이아웃 패턴들의 제 2 세트는 집적 회로의 전도성 구조체들의 제 2 세트를 제조하는 것에 대응하고, 전도성 특징부 레이아웃 패턴들의 제 2 세트는 제 1 방향으로 연장되고, 활성 영역 레이아웃 패턴들의 세트 사이에 있고, 적어도 전도성 특징부 레이아웃 패턴들의 제 1 세트와 중첩되고, 전도성 레이아웃 패턴들의 제 2 세트의 레이아웃 패턴들의 각각은 제 2 방향으로 전도성 레이아웃 패턴들의 제 2 세트의 인접한 레이아웃 패턴으로부터 분리됨 -; 및 전도성 특징부 레이아웃 패턴들의 제 1 세트와 금속 상부 확산 레이아웃 패턴들의 세트 사이에 비아 레이아웃 패턴들의 제 2 세트를 배치하는 것 - 비아 레이아웃 패턴들의 제 2 세트는 비아들의 제 2 세트를 제조하는 것에 대응하고, 비아들의 제 2 세트는 전도성 구조체들의 제 2 세트를 콘택트들의 세트에 결합하고, 비아 레이아웃 패턴들의 제 2 세트의 레이아웃 패턴은 전도성 특징부 레이아웃 패턴들의 제 2 세트의 레이아웃 패턴이 금속 상부 확산 레이아웃 패턴들의 세트의 또 다른 레이아웃 패턴과 중첩되는 곳에 위치됨 - 을 더 포함한다. 일부 실시예들에서, 레이아웃 설계를 생성하는 것은 제 2 레이아웃 레벨 상에서 게이트 레이아웃 패턴들의 세트를 배치하는 것 - 게이트 레이아웃 패턴들의 세트는 제 2 방향으로 연장되고, 적어도 전도성 특징부 레이아웃 패턴들의 제 1 세트와 중첩되고, 게이트 레이아웃 패턴들의 세트의 레이아웃 패턴들의 각각은 제 1 피치만큼 제 1 방향으로 게이트 레이아웃 패턴들의 세트의 인접한 레이아웃 패턴으로부터 분리되고, 게이트 레이아웃 패턴들의 세트는 집적 회로의 게이트들의 세트를 제조하는 것에 대응함 - 을 더 포함한다. 일부 실시예들에서, 레이아웃 설계를 생성하는 것은 전도성 특징부 레이아웃 패턴들의 제 1 세트와 게이트 레이아웃 패턴들의 세트 사이에 비아 레이아웃 패턴들의 제 2 세트를 배치하는 것 - 비아 레이아웃 패턴들의 제 2 세트는 비아들의 제 2 세트를 제조하는 것에 대응하고, 비아들의 제 2 세트는 전도성 구조체들의 제 1 세트를 게이트들의 세트에 결합하고, 비아 레이아웃 패턴들의 제 2 세트의 레이아웃 패턴은 게이트 레이아웃 패턴들의 세트의 레이아웃 패턴이 전도성 특징부 레이아웃 패턴들의 제 1 세트의 레이아웃 패턴과 중첩되는 곳에 위치됨 - 을 더 포함한다.
1) 본 개시의 실시형태에 따른, 집적 회로는, 기판에서의 활성 영역들의 세트 - 상기 활성 영역들의 세트는 제 1 방향으로 연장되고, 제 1 레벨 상에 위치되고, 상기 제 1 방향과는 상이한 제 2 방향으로 서로로부터 분리됨 -; 적어도 상기 제 1 방향 또는 상기 제 2 방향으로 연장되고, 상기 제 1 레벨 상에 위치되고, 상기 활성 영역들의 세트 사이에 있는 전도성 구조체들의 제 1 세트; 적어도 상기 제 1 방향 또는 상기 제 2 방향으로 연장되고, 적어도 상기 제 1 레벨 상에 위치되고, 상기 활성 영역들의 세트와 상기 전도성 구조체들의 제 1 세트 사이에 있는 얕은 트렌치 격리(shallow trench isolation; STI) 영역; 상기 제 2 방향으로 연장되고, 적어도 상기 전도성 구조체들의 제 1 세트와 중첩되고, 상기 제 1 레벨과는 상이한 제 2 레벨 상에 위치되는 게이트들의 세트 - 상기 게이트들의 세트의 상기 게이트들의 각각은 제 1 피치만큼 상기 제 1 방향으로 상기 게이트들의 세트의 인접한 게이트로부터 분리됨 -; 및 상기 제 2 방향으로 연장되고, 상기 전도성 구조체들의 제 1 세트와 중첩되고, 상기 제 2 레벨 상에 위치되는 콘택트들의 세트 - 상기 콘택트들의 세트의 상기 콘택트들의 각각은 상기 제 1 방향으로 상기 콘택트들의 세트의 인접한 콘택트로부터 분리됨 - 를 포함한다.
2) 본 개시의 실시형태에 따른, 집적 회로는, 상기 전도성 구조체들의 제 1 세트를 상기 게이트들의 세트에 결합하는 비아들의 제 1 세트 - 상기 비아들의 제 1 세트는 상기 전도성 구조체들의 제 1 세트와 상기 게이트들의 세트 사이에 있고, 상기 비아들의 제 1 세트의 비아는 상기 게이트들의 세트의 게이트가 상기 전도성 구조체들의 제 1 세트의 전도성 구조체와 중첩되는 곳에 위치됨 -; 및 상기 전도성 구조체들의 제 1 세트를 상기 콘택트들의 세트에 결합하는 비아들의 제 2 세트 - 상기 비아들의 제 2 세트는 상기 전도성 구조체들의 제 1 세트와 상기 콘택트들의 세트 사이에 있고, 상기 비아들의 제 2 세트의 비아는 상기 콘택트들의 세트의 콘택트가 상기 전도성 구조체들의 제 1 세트의 상기 전도성 구조체와 중첩되는 곳에 위치됨 - 를 더 포함한다.
3) 본 개시의 실시형태에 따른, 집적 회로는, 상기 제 1 방향으로 연장되고, 상기 활성 영역들의 세트 사이에 있고, 적어도 상기 게이트들의 세트와 중첩되고, 상기 제 1 레벨 및 상기 제 2 레벨과는 상이한 제 3 레벨 상에 위치되는 전도성 구조체들의 제 2 세트 - 상기 전도성 구조체들의 제 2 세트의 상기 전도성 구조체들의 각각은 상기 제 2 방향으로 상기 전도성 구조체들의 제 2 세트의 인접한 전도성 구조체로부터 분리됨 -; 및 상기 전도성 구조체들의 제 2 세트를 상기 콘택트들의 세트에 결합하는 비아들의 제 3 세트 - 상기 비아들의 제 3 세트는 상기 전도성 구조체들의 제 2 세트와 상기 콘택트들의 세트 사이에 있고, 상기 비아들의 제 3 세트의 비아는 상기 전도성 구조체들의 제 2 세트의 전도성 구조체가 상기 콘택트들의 세트의 다른 콘택트와 중첩되는 곳에 위치됨 - 를 더 포함한다.
4) 본 개시의 실시형태에 따른, 집적 회로는, 상기 제 1 방향으로 연장되고, 상기 활성 영역들의 세트 사이에 있고, 적어도 상기 게이트들의 세트와 중첩되고, 상기 제 1 레벨 및 상기 제 2 레벨과는 상이한 제 3 레벨 상에 위치되는 전도성 구조체들의 제 2 세트 - 상기 전도성 구조체들의 제 2 세트의 상기 전도성 구조체들의 각각은 상기 제 2 방향으로 상기 전도성 구조체들의 제 2 세트의 인접한 전도성 구조체로부터 분리됨 -; 및 상기 전도성 구조체들의 제 2 세트를 상기 게이트들의 세트에 결합하는 비아들의 제 3 세트 - 상기 비아들의 제 3 세트는 상기 전도성 구조체들의 제 2 세트와 상기 게이트들의 세트 사이에 있고, 상기 비아들의 제 3 세트의 비아는 상기 전도성 구조체들의 제 2 세트의 전도성 구조체가 상기 게이트들의 세트의 다른 게이트와 중첩되는 곳에 위치됨 - 를 더 포함한다.
5) 본 개시의 실시형태에 따른, 집적 회로는, 상기 제 2 방향으로 연장되고, 상기 게이트들의 세트 사이에 있고, 적어도 상기 전도성 구조체들의 제 1 세트와 중첩되고, 상기 제 1 레벨, 상기 제 2 레벨, 및 상기 제 3 레벨과는 상이한 제 4 레벨 상에 위치되는 전도성 구조체들의 제 3 세트 - 상기 전도성 구조체들의 제 3 세트의 상기 구조체들의 각각은 상기 제 1 방향으로 상기 전도성 구조체들의 제 3 세트의 인접한 구조체로부터 분리됨 -; 및 상기 전도성 구조체들의 제 3 세트를 상기 전도성 구조체들의 제 2 세트에 결합하는 비아들의 제 4 세트 - 상기 비아들의 제 4 세트는 상기 전도성 구조체들의 제 3 세트와 상기 전도성 구조체들의 제 2 세트 사이에 있고, 상기 비아들의 제 4 세트의 비아는 상기 전도성 구조체들의 제 3 세트의 구조체가 상기 전도성 구조체들의 제 2 세트의 다른 구조체와 중첩되는 곳에 위치됨 - 를 더 포함한다.
6) 본 개시의 실시형태에 따른, 집적 회로는, 상기 제 1 방향으로 연장되고 상기 게이트들의 세트 아래에 있는 핀(fin)들의 세트 - 상기 핀들의 각각은 핀 피치만큼 상기 제 2 방향으로 상기 핀들의 세트의 인접한 핀으로부터 분리됨 - 를 더 포함한다.
7) 본 개시의 실시형태에 따른, 집적 회로에 있어서, 상기 전도성 구조체들의 제 1 세트의 상기 전도성 구조체의 상단 표면은 상기 활성 영역들의 세트의 활성 영역의 상단 표면, 또는 상기 핀들의 세트의 핀의 상단 표면 아래에 있다.
8) 본 개시의 실시형태에 따른, 집적 회로는 전송 게이트를 포함한다.
9) 본 개시의 다른 실시형태에 따른, 집적 회로를 형성하는 방법은, 프로세서에 의해, 상기 집적 회로의 레이아웃 설계를 생성하는 단계; 및 상기 레이아웃 설계에 기초하여 상기 집적 회로를 제조하는 단계를 포함하고, 상기 레이아웃 설계를 생성하는 단계는, 제 1 방향으로 연장되고, 제 1 레이아웃 레벨 상에 위치되고, 상기 제 1 방향과는 상이한 제 2 방향으로 서로로부터 분리되는 활성 영역 레이아웃 패턴들의 세트 - 상기 활성 영역 레이아웃 패턴들의 세트는 상기 집적 회로의 기판 내에서 활성 영역들의 세트를 제조하는 것에 대응함 - 를 생성하는 단계; 적어도 상기 제 1 방향 또는 상기 제 2 방향으로 연장되고, 상기 제 1 레이아웃 레벨 상에 위치되고, 상기 활성 영역 레이아웃 패턴들의 세트 사이에 있는 전도성 특징부 레이아웃 패턴들의 제 1 세트 - 상기 전도성 특징부 레이아웃 패턴들의 제 1 세트는 상기 기판 내에서 상기 집적 회로의 전도성 구조체들의 제 1 세트를 제조하는 것에 대응함 - 를 생성하는 단계; 상기 제 2 방향으로 연장되고, 적어도 상기 전도성 특징부 레이아웃 패턴들의 제 1 세트와 중첩되고, 상기 제 1 레이아웃 레벨과는 상이한 제 2 레이아웃 레벨 상에 위치되는 게이트 레이아웃 패턴들의 세트 - 상기 게이트 레이아웃 패턴들의 세트의 상기 레이아웃 패턴들의 각각은 제 1 피치만큼 상기 제 1 방향으로 상기 게이트 레이아웃 패턴들의 세트의 인접한 레이아웃 패턴으로부터 분리되고, 상기 게이트 레이아웃 패턴들의 세트는 상기 집적 회로의 게이트들의 세트를 제조하는 것에 대응함 - 를 생성하는 단계; 상기 집적 회로의 콘택트들의 세트를 제조하는 것에 대응하는 금속 상부 확산 레이아웃 패턴들의 세트 - 상기 금속 상부 확산 레이아웃 패턴들의 세트는 상기 제 2 방향으로 연장되고, 상기 전도성 특징부 레이아웃 패턴들의 제 1 세트와 중첩되고, 상기 제 2 레이아웃 레벨 상에 위치되고, 상기 금속 상부 확산 패턴들의 세트의 상기 레이아웃 패턴들의 각각은 상기 제 1 방향으로 상기 금속 상부 확산 레이아웃 패턴들의 세트의 인접한 레이아웃 패턴으로부터 분리됨 - 를 생성하는 단계; 및 비아들의 제 1 세트를 제조하는 것에 대응하는 비아 레이아웃 패턴들의 제 1 세트 - 상기 비아들의 제 1 세트는 상기 전도성 구조체들의 제 1 세트를 상기 콘택트들의 세트에 결합하고, 상기 비아 레이아웃 패턴들의 제 1 세트는 상기 전도성 특징부 레이아웃 패턴들의 제 1 세트와 상기 금속 상부 확산 레이아웃 패턴들의 세트 사이에 있고, 상기 비아 레이아웃 패턴들의 제 1 세트의 레이아웃 패턴은 상기 금속 상부 확산 레이아웃 패턴들의 세트의 레이아웃 패턴이 상기 전도성 특징부 레이아웃 패턴들의 제 1 세트의 상기 레이아웃 패턴과 중첩되는 곳에 위치됨 - 를 생성하는 단계를 포함한다.
10) 본 개시의 다른 실시형태에 따른, 집적 회로를 형성하는 방법에 있어서, 상기 레이아웃 설계를 생성하는 단계는, 상기 집적 회로의 전도성 구조체들의 제 2 세트를 제조하는 것에 대응하는 전도성 특징부 레이아웃 패턴들의 제 2 세트 - 상기 전도성 특징부 레이아웃 패턴들의 제 2 세트는 상기 제 1 방향으로 연장되고, 상기 활성 영역 레이아웃 패턴들의 세트 사이에 있고, 적어도 상기 게이트 레이아웃 패턴들의 세트와 중첩되고, 상기 제 1 레이아웃 레벨 및 상기 제 2 레이아웃 레벨과는 상이한 제 3 레이아웃 레벨 상에 위치되고, 상기 전도성 레이아웃 패턴들의 제 2 세트의 상기 레이아웃 패턴들의 각각은 상기 제 2 방향으로 상기 전도성 레이아웃 패턴들의 제 2 세트의 인접한 레이아웃 패턴으로부터 분리됨 - 를 생성하는 단계를 더 포함한다.
11) 본 개시의 다른 실시형태에 따른, 집적 회로를 형성하는 방법에 있어서, 상기 레이아웃 설계를 생성하는 단계는, 비아들의 제 2 세트를 제조하는 것에 대응하는 비아 레이아웃 패턴들의 제 2 세트 - 상기 비아들의 제 2 세트는 상기 전도성 구조체들의 제 2 세트를 상기 게이트들의 세트에 결합하고, 상기 비아 레이아웃 패턴들의 제 2 세트는 상기 전도성 특징부 레이아웃 패턴들의 제 2 세트와 상기 게이트 레이아웃 패턴들의 세트 사이에 있고, 상기 비아 레이아웃 패턴들의 제 2 세트의 레이아웃 패턴은 상기 전도성 특징부 레이아웃 패턴들의 제 2 세트의 레이아웃 패턴이 상기 게이트 레이아웃 패턴들의 세트의 다른 레이아웃 패턴과 중첩되는 곳에 위치됨 - 를 생성하는 단계를 더 포함한다.
12) 본 개시의 다른 실시형태에 따른, 집적 회로를 형성하는 방법에 있어서, 상기 레이아웃 설계를 생성하는 단계는, 상기 집적 회로의 전도성 구조체들의 제 3 세트를 제조하는 것에 대응하는 전도성 특징부 레이아웃 패턴들의 제 3 세트 - 상기 전도성 특징부 레이아웃 패턴들의 제 3 세트는 상기 제 2 방향으로 연장되고, 상기 게이트 레이아웃 패턴들의 세트 사이에 있고, 적어도 상기 전도성 특징부 레이아웃 패턴들의 제 1 세트와 중첩되고, 상기 제 1 레이아웃 레벨, 상기 제 2 레이아웃 레벨, 및 상기 제 3 레이아웃 레벨과는 상이한 제 4 레이아웃 레벨 상에 위치되고, 상기 전도성 레이아웃 패턴들의 제 3 세트의 상기 레이아웃 패턴들의 각각은 상기 제 1 방향으로 상기 전도성 레이아웃 패턴들의 제 3 세트의 인접한 레이아웃 패턴으로부터 분리됨 - 를 생성하는 단계; 및 비아들의 제 4 세트를 제조하는 것에 대응하는 비아 레이아웃 패턴들의 제 4 세트 - 상기 비아들의 제 4 세트는 상기 전도성 구조체들의 제 3 세트를 상기 전도성 구조체들의 제 2 세트에 결합하고, 상기 비아 레이아웃 패턴들의 제 4 세트는 상기 전도성 특징부 레이아웃 패턴들의 제 3 세트와 상기 전도성 특징부 레이아웃 패턴들의 제 2 세트 사이에 있고, 상기 비아 레이아웃 패턴들의 제 4 세트의 레이아웃 패턴은 상기 전도성 특징부 레이아웃 패턴들의 제 3 세트의 레이아웃 패턴이 상기 전도성 특징부 레이아웃 패턴들의 제 2 세트의 다른 레이아웃 패턴과 중첩되는 곳에 위치됨 - 를 생성하는 단계를 더 포함한다.
13) 본 개시의 다른 실시형태에 따른, 집적 회로를 형성하는 방법에 있어서, 상기 레이아웃 설계를 생성하는 단계는, 비아들의 제 2 세트를 제조하는 것에 대응하는 비아 레이아웃 패턴들의 제 2 세트 - 상기 비아들의 제 2 세트는 상기 전도성 구조체들의 제 1 세트를 상기 게이트들의 세트에 결합하고, 상기 비아 레이아웃 패턴들의 제 2 세트는 상기 전도성 특징부 레이아웃 패턴들의 제 1 세트와 상기 게이트 레이아웃 패턴들의 세트 사이에 있고, 상기 비아 레이아웃 패턴들의 제 2 세트의 레이아웃 패턴은 상기 게이트 레이아웃 패턴들의 세트의 레이아웃 패턴이 상기 전도성 특징부 레이아웃 패턴들의 제 1 세트의 레이아웃 패턴과 중첩되는 곳에 위치됨 - 를 생성하는 단계를 더 포함한다.
14) 본 개시의 다른 실시형태에 따른, 집적 회로를 형성하는 방법에 있어서, 상기 레이아웃 설계를 생성하는 단계는, 상기 집적 회로 구조체의 핀들의 세트를 제조하는 것에 대응하는 핀 레이아웃 패턴들의 세트 - 상기 핀 레이아웃 패턴들의 세트는 상기 제 1 방향으로 연장되고 상기 게이트 레이아웃 패턴들의 세트 아래에 있고, 상기 핀 레이아웃 패턴들의 세트의 상기 레이아웃 패턴들의 각각은 핀 피치만큼 상기 제 2 방향으로 상기 핀 레이아웃 패턴들의 세트의 인접한 레이아웃 패턴으로부터 분리됨 - 를 생성하는 단계를 더 포함한다.
15) 본 개시의 다른 실시형태에 따른, 집적 회로를 형성하는 방법에 있어서, 상기 레이아웃 설계를 생성하는 단계는, 상기 집적 회로의 상기 게이트들의 세트의 제 1 게이트의 절단 영역에 대응하는 절단 특징부 레이아웃 패턴 - 상기 절단 특징부 레이아웃 패턴은 상기 제 1 방향으로 연장되고, 적어도 상기 게이트 레이아웃 패턴들의 세트의 제 1 게이트 레이아웃 패턴과 중첩됨 - 을 생성하는 단계를 더 포함한다.
16) 본 개시의 다른 실시형태에 따른, 집적 회로를 형성하는 방법은, 제 1 게이트 구조체 및 제 2 게이트 구조체를 형성하기 위하여 상기 게이트 구조체들의 세트의 상기 제 1 게이트의 부분을 제거하는 단계를 더 포함하고, 상기 절단 특징부 레이아웃 패턴은 상기 게이트 구조체들의 세트의 상기 제 1 게이트의 제거된 부분의 위치를 식별한다.
17) 본 개시의 또 다른 실시형태에 따른, 집적 회로를 형성하는 방법은, 프로세서에 의해, 상기 집적 회로의 레이아웃 설계를 생성하는 단계; 및 상기 레이아웃 설계에 기초하여 상기 집적 회로 - 상기 집적 회로는 전송 게이트를 포함함 - 를 제조하는 단계를 포함하고, 상기 레이아웃 설계를 생성하는 단계는, 제 1 레이아웃 레벨 상에서 활성 영역 레이아웃 패턴들의 세트 - 상기 활성 영역 레이아웃 패턴들의 세트는 제 1 방향으로 연장되고, 상기 제 1 방향과는 상이한 제 2 방향으로 서로로부터 분리되고, 상기 활성 영역 레이아웃 패턴들의 세트는 상기 집적 회로의 기판 내에서 활성 영역들의 세트를 제조하는 것에 대응함 - 를 배치하는 단계; 상기 제 1 레이아웃 레벨 상에서 전도성 특징부 레이아웃 패턴들의 제 1 세트 - 상기 전도성 특징부 레이아웃 패턴들의 제 1 세트는 적어도 상기 제 1 방향 또는 상기 제 2 방향으로 연장되고, 상기 활성 영역 레이아웃 패턴들의 세트 사이에 있고, 상기 전도성 특징부 레이아웃 패턴들의 제 1 세트는 상기 집적 회로의 상기 기판 내에서 전도성 구조체들의 제 1 세트를 제조하는 것에 대응함 - 를 배치하는 단계; 상기 제 1 레이아웃 레벨과는 상이한 제 2 레이아웃 레벨 상에서 금속 상부 확산 레이아웃 패턴들의 세트 - 상기 금속 상부 확산 레이아웃 패턴들의 세트는 상기 집적 회로의 콘택트들의 세트를 제조하는 것에 대응하고, 상기 금속 콘택트들의 세트는 상기 집적 회로의 상기 활성 영역들에 전기적으로 결합되고, 상기 금속 상부 확산 레이아웃 패턴들의 세트는 상기 제 2 방향으로 연장되고, 상기 전도성 특징부 레이아웃 패턴들의 제 1 세트와 중첩되고, 상기 금속 확산 패턴들의 세트의 상기 레이아웃 패턴들의 각각은 상기 제 1 방향으로 상기 금속 상부 확산 레이아웃 패턴들의 세트의 인접한 레이아웃 패턴으로부터 분리됨 - 를 배치하는 단계; 및 상기 전도성 특징부 레이아웃 패턴들의 제 1 세트와 상기 금속 상부 확산 레이아웃 패턴들의 세트 사이에 비아 레이아웃 패턴들의 제 1 세트 - 상기 비아 레이아웃 패턴들의 제 1 세트는 비아들의 제 1 세트를 제조하는 것에 대응하고, 상기 비아들의 제 1 세트는 상기 전도성 구조체들의 제 1 세트를 상기 콘택트들의 세트에 결합하고, 상기 비아 레이아웃 패턴들의 제 1 세트의 레이아웃 패턴은 금속 상부 확산 레이아웃 패턴들의 레이아웃 패턴이 상기 전도성 특징부 레이아웃 패턴들의 제 1 세트의 상기 레이아웃 패턴과 중첩되는 곳에 위치됨 - 를 배치하는 단계를 포함한다.
18) 본 개시의 또 다른 실시형태에 따른, 집적 회로를 형성하는 방법에 있어서, 상기 레이아웃 설계를 생성하는 단계는, 상기 제 1 레이아웃 레벨 및 상기 제 2 레이아웃 레벨과는 상이한 제 3 레이아웃 레벨 상에서 전도성 특징부 레이아웃 패턴들의 제 2 세트 - 상기 전도성 특징부 레이아웃 패턴들의 제 2 세트는 상기 집적 회로의 전도성 구조체들의 제 2 세트를 제조하는 것에 대응하고, 상기 전도성 특징부 레이아웃 패턴들의 제 2 세트는 상기 제 1 방향으로 연장되고, 상기 활성 영역 레이아웃 패턴들의 세트 사이에 있고, 적어도 상기 전도성 특징부 레이아웃 패턴들의 제 1 세트와 중첩되고, 상기 전도성 레이아웃 패턴들의 제 2 세트의 상기 레이아웃 패턴들의 각각은 상기 제 2 방향으로 상기 전도성 레이아웃 패턴들의 제 2 세트의 인접한 레이아웃 패턴으로부터 분리됨 - 를 배치하는 단계; 및 상기 전도성 특징부 레이아웃 패턴들의 제 1 세트와 상기 금속 상부 확산 레이아웃 패턴들의 세트 사이에 비아 레이아웃 패턴들의 제 2 세트 - 상기 비아 레이아웃 패턴들의 제 2 세트는 비아들의 제 2 세트를 제조하는 것에 대응하고, 상기 비아들의 제 2 세트는 상기 전도성 구조체들의 제 2 세트를 상기 콘택트들의 세트에 결합하고, 상기 비아 레이아웃 패턴들의 제 2 세트의 레이아웃 패턴은 상기 전도성 특징부 레이아웃 패턴들의 제 2 세트의 레이아웃 패턴이 상기 금속 상부 확산 레이아웃 패턴들의 세트의 다른 레이아웃 패턴과 중첩되는 곳에 위치됨 - 를 배치하는 단계를 더 포함한다.
19) 본 개시의 또 다른 실시형태에 따른, 집적 회로를 형성하는 방법에 있어서, 상기 레이아웃 설계를 생성하는 단계는, 상기 제 2 레이아웃 레벨 상에서 게이트 레이아웃 패턴들의 세트 - 상기 게이트 레이아웃 패턴들의 세트는 상기 제 2 방향으로 연장되고, 적어도 상기 전도성 특징부 레이아웃 패턴들의 제 1 세트와 중첩되고, 상기 게이트 레이아웃 패턴들의 세트의 상기 레이아웃 패턴들의 각각은 제 1 피치만큼 상기 제 1 방향으로 상기 게이트 레이아웃 패턴들의 세트의 인접한 레이아웃 패턴으로부터 분리되고, 상기 게이트 레이아웃 패턴들의 세트는 상기 집적 회로의 게이트들의 세트를 제조하는 것에 대응함 - 를 배치하는 단계를 더 포함한다.
20) 본 개시의 또 다른 실시형태에 따른, 집적 회로를 형성하는 방법에 있어서, 상기 레이아웃 설계를 생성하는 단계는, 상기 전도성 특징부 레이아웃 패턴들의 제 1 세트와 상기 게이트 레이아웃 패턴들의 세트 사이에 비아 레이아웃 패턴들의 제 2 세트 - 상기 비아 레이아웃 패턴들의 제 2 세트는 비아들의 제 2 세트를 제조하는 것에 대응하고, 상기 비아들의 제 2 세트는 상기 전도성 구조체들의 제 1 세트를 상기 게이트들의 세트에 결합하고, 상기 비아 레이아웃 패턴들의 제 2 세트의 레이아웃 패턴은 상기 게이트 레이아웃 패턴들의 세트의 레이아웃 패턴이 상기 전도성 특징부 레이아웃 패턴들의 제 1 세트의 레이아웃 패턴과 중첩되는 곳에 위치됨 - 를 배치하는 단계를 더 포함한다.
상기한 것은 몇몇 실시예들의 특징들의 개요를 기술하여, 당해 분야의 당업자들은 본 개시물의 양태들을 더 양호하게 이해할 수도 있다. 당해 분야의 당업자들은 본원에서 도입된 실시예들의 동일한 목적들을 수행하고 및/또는 그 동일한 장점들을 달성하기 위한 다른 프로세스들 및 구조들을 설계하거나 수정하기 위한 기초로서, 그들이 본 개시물을 용이하게 이용할 수도 있다는 것을 인식해야 한다. 당해 분야의 당업자들은 또한, 이러한 등가의 구성들이 본 개시물의 사상 및 범위로부터 이탈하지 않으며, 이들이 본 개시물의 사상 및 범위로부터 이탈하지 않으면서 본원에서의 다양한 변경들, 대체들, 및 개조들을 행할 수도 있다는 것을 인식해야 한다.

Claims (10)

  1. 집적 회로로서,
    기판에서의 활성 영역들의 세트 - 상기 활성 영역들의 세트는 제 1 방향으로 연장되고, 제 1 레벨 상에 위치되고, 상기 제 1 방향과는 상이한 제 2 방향으로 서로로부터 분리됨 -;
    적어도 상기 제 1 방향 또는 상기 제 2 방향으로 연장되고, 상기 제 1 레벨 상에 위치되고, 상기 활성 영역들의 세트 사이에 있는 전도성 구조체들의 제 1 세트;
    적어도 상기 제 1 방향 또는 상기 제 2 방향으로 연장되고, 적어도 상기 제 1 레벨 상에 위치되고, 상기 활성 영역들의 세트와 상기 전도성 구조체들의 제 1 세트 사이에 있는 얕은 트렌치 격리(shallow trench isolation; STI) 영역;
    상기 제 2 방향으로 연장되고, 적어도 상기 전도성 구조체들의 제 1 세트와 중첩되고, 상기 제 1 레벨과는 상이한 제 2 레벨 상에 위치되는 게이트들의 세트 - 상기 게이트들의 세트의 상기 게이트들의 각각은 제 1 피치만큼 상기 제 1 방향으로 상기 게이트들의 세트의 인접한 게이트로부터 분리됨 -; 및
    상기 제 2 방향으로 연장되고, 상기 전도성 구조체들의 제 1 세트와 중첩되고, 상기 제 2 레벨 상에 위치되는 콘택트들의 세트 - 상기 콘택트들의 세트의 상기 콘택트들의 각각은 상기 제 1 방향으로 상기 콘택트들의 세트의 인접한 콘택트로부터 분리됨 - 를 포함하는, 집적 회로.
  2. 제 1 항에 있어서,
    상기 전도성 구조체들의 제 1 세트를 상기 게이트들의 세트에 결합하는 비아들의 제 1 세트 - 상기 비아들의 제 1 세트는 상기 전도성 구조체들의 제 1 세트와 상기 게이트들의 세트 사이에 있고, 상기 비아들의 제 1 세트의 비아는 상기 게이트들의 세트의 게이트가 상기 전도성 구조체들의 제 1 세트의 전도성 구조체와 중첩되는 곳에 위치됨 -; 및
    상기 전도성 구조체들의 제 1 세트를 상기 콘택트들의 세트에 결합하는 비아들의 제 2 세트 - 상기 비아들의 제 2 세트는 상기 전도성 구조체들의 제 1 세트와 상기 콘택트들의 세트 사이에 있고, 상기 비아들의 제 2 세트의 비아는 상기 콘택트들의 세트의 콘택트가 상기 전도성 구조체들의 제 1 세트의 상기 전도성 구조체와 중첩되는 곳에 위치됨 - 를 더 포함하는, 집적 회로.
  3. 제 2 항에 있어서,
    상기 제 1 방향으로 연장되고, 상기 활성 영역들의 세트 사이에 있고, 적어도 상기 게이트들의 세트와 중첩되고, 상기 제 1 레벨 및 상기 제 2 레벨과는 상이한 제 3 레벨 상에 위치되는 전도성 구조체들의 제 2 세트 - 상기 전도성 구조체들의 제 2 세트의 상기 전도성 구조체들의 각각은 상기 제 2 방향으로 상기 전도성 구조체들의 제 2 세트의 인접한 전도성 구조체로부터 분리됨 -; 및
    상기 전도성 구조체들의 제 2 세트를 상기 콘택트들의 세트에 결합하는 비아들의 제 3 세트 - 상기 비아들의 제 3 세트는 상기 전도성 구조체들의 제 2 세트와 상기 콘택트들의 세트 사이에 있고, 상기 비아들의 제 3 세트의 비아는 상기 전도성 구조체들의 제 2 세트의 전도성 구조체가 상기 콘택트들의 세트의 다른 콘택트와 중첩되는 곳에 위치됨 - 를 더 포함하는, 집적 회로.
  4. 제 2 항에 있어서,
    상기 제 1 방향으로 연장되고, 상기 활성 영역들의 세트 사이에 있고, 적어도 상기 게이트들의 세트와 중첩되고, 상기 제 1 레벨 및 상기 제 2 레벨과는 상이한 제 3 레벨 상에 위치되는 전도성 구조체들의 제 2 세트 - 상기 전도성 구조체들의 제 2 세트의 상기 전도성 구조체들의 각각은 상기 제 2 방향으로 상기 전도성 구조체들의 제 2 세트의 인접한 전도성 구조체로부터 분리됨 -; 및
    상기 전도성 구조체들의 제 2 세트를 상기 게이트들의 세트에 결합하는 비아들의 제 3 세트 - 상기 비아들의 제 3 세트는 상기 전도성 구조체들의 제 2 세트와 상기 게이트들의 세트 사이에 있고, 상기 비아들의 제 3 세트의 비아는 상기 전도성 구조체들의 제 2 세트의 전도성 구조체가 상기 게이트들의 세트의 다른 게이트와 중첩되는 곳에 위치됨 - 를 더 포함하는, 집적 회로.
  5. 제 4 항에 있어서,
    상기 제 2 방향으로 연장되고, 상기 게이트들의 세트 사이에 있고, 적어도 상기 전도성 구조체들의 제 1 세트와 중첩되고, 상기 제 1 레벨, 상기 제 2 레벨, 및 상기 제 3 레벨과는 상이한 제 4 레벨 상에 위치되는 전도성 구조체들의 제 3 세트 - 상기 전도성 구조체들의 제 3 세트의 상기 구조체들의 각각은 상기 제 1 방향으로 상기 전도성 구조체들의 제 3 세트의 인접한 구조체로부터 분리됨 -; 및
    상기 전도성 구조체들의 제 3 세트를 상기 전도성 구조체들의 제 2 세트에 결합하는 비아들의 제 4 세트 - 상기 비아들의 제 4 세트는 상기 전도성 구조체들의 제 3 세트와 상기 전도성 구조체들의 제 2 세트 사이에 있고, 상기 비아들의 제 4 세트의 비아는 상기 전도성 구조체들의 제 3 세트의 구조체가 상기 전도성 구조체들의 제 2 세트의 다른 구조체와 중첩되는 곳에 위치됨 - 를 더 포함하는, 집적 회로.
  6. 제 1 항에 있어서,
    상기 집적 회로는 전송 게이트를 포함하는 것인, 집적 회로.
  7. 집적 회로를 형성하는 방법으로서,
    프로세서에 의해, 상기 집적 회로의 레이아웃 설계를 생성하는 단계; 및
    상기 레이아웃 설계에 기초하여 상기 집적 회로를 제조하는 단계
    를 포함하고,
    상기 레이아웃 설계를 생성하는 단계는,
    제 1 방향으로 연장되고, 제 1 레이아웃 레벨 상에 위치되고, 상기 제 1 방향과는 상이한 제 2 방향으로 서로로부터 분리되는 활성 영역 레이아웃 패턴들의 세트 - 상기 활성 영역 레이아웃 패턴들의 세트는 상기 집적 회로의 기판 내에서 활성 영역들의 세트를 제조하는 것에 대응함 - 를 생성하는 단계;
    적어도 상기 제 1 방향 또는 상기 제 2 방향으로 연장되고, 상기 제 1 레이아웃 레벨 상에 위치되고, 상기 활성 영역 레이아웃 패턴들의 세트 사이에 있는 전도성 특징부 레이아웃 패턴들의 제 1 세트 - 상기 전도성 특징부 레이아웃 패턴들의 제 1 세트는 상기 기판 내에서 상기 집적 회로의 전도성 구조체들의 제 1 세트를 제조하는 것에 대응함 - 를 생성하는 단계;
    상기 제 2 방향으로 연장되고, 적어도 상기 전도성 특징부 레이아웃 패턴들의 제 1 세트와 중첩되고, 상기 제 1 레이아웃 레벨과는 상이한 제 2 레이아웃 레벨 상에 위치되는 게이트 레이아웃 패턴들의 세트 - 상기 게이트 레이아웃 패턴들의 세트의 상기 레이아웃 패턴들의 각각은 제 1 피치만큼 상기 제 1 방향으로 상기 게이트 레이아웃 패턴들의 세트의 인접한 레이아웃 패턴으로부터 분리되고, 상기 게이트 레이아웃 패턴들의 세트는 상기 집적 회로의 게이트들의 세트를 제조하는 것에 대응함 - 를 생성하는 단계;
    상기 집적 회로의 콘택트들의 세트를 제조하는 것에 대응하는 금속 상부 확산 레이아웃 패턴들의 세트 - 상기 금속 상부 확산 레이아웃 패턴들의 세트는 상기 제 2 방향으로 연장되고, 상기 전도성 특징부 레이아웃 패턴들의 제 1 세트와 중첩되고, 상기 제 2 레이아웃 레벨 상에 위치되고, 상기 금속 상부 확산 패턴들의 세트의 상기 레이아웃 패턴들의 각각은 상기 제 1 방향으로 상기 금속 상부 확산 레이아웃 패턴들의 세트의 인접한 레이아웃 패턴으로부터 분리됨 - 를 생성하는 단계; 및
    비아들의 제 1 세트를 제조하는 것에 대응하는 비아 레이아웃 패턴들의 제 1 세트 - 상기 비아들의 제 1 세트는 상기 전도성 구조체들의 제 1 세트를 상기 콘택트들의 세트에 결합하고, 상기 비아 레이아웃 패턴들의 제 1 세트는 상기 전도성 특징부 레이아웃 패턴들의 제 1 세트와 상기 금속 상부 확산 레이아웃 패턴들의 세트 사이에 있고, 상기 비아 레이아웃 패턴들의 제 1 세트의 레이아웃 패턴은 상기 금속 상부 확산 레이아웃 패턴들의 세트의 레이아웃 패턴이 상기 전도성 특징부 레이아웃 패턴들의 제 1 세트의 상기 레이아웃 패턴과 중첩되는 곳에 위치됨 - 를 생성하는 단계
    를 포함하는 것인, 집적 회로를 형성하는 방법.
  8. 제 7 항에 있어서,
    상기 레이아웃 설계를 생성하는 단계는,
    상기 집적 회로의 전도성 구조체들의 제 2 세트를 제조하는 것에 대응하는 전도성 특징부 레이아웃 패턴들의 제 2 세트 - 상기 전도성 특징부 레이아웃 패턴들의 제 2 세트는 상기 제 1 방향으로 연장되고, 상기 활성 영역 레이아웃 패턴들의 세트 사이에 있고, 적어도 상기 게이트 레이아웃 패턴들의 세트와 중첩되고, 상기 제 1 레이아웃 레벨 및 상기 제 2 레이아웃 레벨과는 상이한 제 3 레이아웃 레벨 상에 위치되고, 상기 전도성 특징부 레이아웃 패턴들의 제 2 세트의 상기 레이아웃 패턴들의 각각은 상기 제 2 방향으로 상기 전도성 특징부 레이아웃 패턴들의 제 2 세트의 인접한 레이아웃 패턴으로부터 분리됨 - 를 생성하는 단계
    를 더 포함하는 것인, 집적 회로를 형성하는 방법.
  9. 집적 회로를 형성하는 방법으로서,
    프로세서에 의해, 상기 집적 회로의 레이아웃 설계를 생성하는 단계;
    상기 레이아웃 설계에 기초하여 상기 집적 회로 - 상기 집적 회로는 전송 게이트를 포함함 - 를 제조하는 단계
    를 포함하고,
    상기 레이아웃 설계를 생성하는 단계는,
    제 1 레이아웃 레벨 상에서 활성 영역 레이아웃 패턴들의 세트 - 상기 활성 영역 레이아웃 패턴들의 세트는 제 1 방향으로 연장되고, 상기 제 1 방향과는 상이한 제 2 방향으로 서로로부터 분리되고, 상기 활성 영역 레이아웃 패턴들의 세트는 상기 집적 회로의 기판 내에서 활성 영역들의 세트를 제조하는 것에 대응함 - 를 배치하는 단계;
    상기 제 1 레이아웃 레벨 상에서 전도성 특징부 레이아웃 패턴들의 제 1 세트 - 상기 전도성 특징부 레이아웃 패턴들의 제 1 세트는 적어도 상기 제 1 방향 또는 상기 제 2 방향으로 연장되고, 상기 활성 영역 레이아웃 패턴들의 세트 사이에 있고, 상기 전도성 특징부 레이아웃 패턴들의 제 1 세트는 상기 집적 회로의 상기 기판 내에서 전도성 구조체들의 제 1 세트를 제조하는 것에 대응함 - 를 배치하는 단계;
    상기 제 1 레이아웃 레벨과는 상이한 제 2 레이아웃 레벨 상에서 금속 상부 확산 레이아웃 패턴들의 세트 - 상기 금속 상부 확산 레이아웃 패턴들의 세트는 상기 집적 회로의 콘택트들의 세트를 제조하는 것에 대응하고, 상기 콘택트들의 세트는 상기 집적 회로의 상기 활성 영역들에 전기적으로 결합되고, 상기 금속 상부 확산 레이아웃 패턴들의 세트는 상기 제 2 방향으로 연장되고, 상기 전도성 특징부 레이아웃 패턴들의 제 1 세트와 중첩되고, 상기 금속 상부 확산 레이아웃 패턴들의 세트의 상기 레이아웃 패턴들의 각각은 상기 제 1 방향으로 상기 금속 상부 확산 레이아웃 패턴들의 세트의 인접한 레이아웃 패턴으로부터 분리됨 - 를 배치하는 단계; 및
    상기 전도성 특징부 레이아웃 패턴들의 제 1 세트와 상기 금속 상부 확산 레이아웃 패턴들의 세트 사이에 비아 레이아웃 패턴들의 제 1 세트 - 상기 비아 레이아웃 패턴들의 제 1 세트는 비아들의 제 1 세트를 제조하는 것에 대응하고, 상기 비아들의 제 1 세트는 상기 전도성 구조체들의 제 1 세트를 상기 콘택트들의 세트에 결합하고, 상기 비아 레이아웃 패턴들의 제 1 세트의 레이아웃 패턴은 금속 상부 확산 레이아웃 패턴들의 레이아웃 패턴이 상기 전도성 특징부 레이아웃 패턴들의 제 1 세트의 상기 레이아웃 패턴과 중첩되는 곳에 위치됨 - 를 배치하는 단계
    를 포함하는 것인, 집적 회로를 형성하는 방법.
  10. 제 9 항에 있어서,
    상기 레이아웃 설계를 생성하는 단계는,
    상기 제 1 레이아웃 레벨 및 상기 제 2 레이아웃 레벨과는 상이한 제 3 레이아웃 레벨 상에서 전도성 특징부 레이아웃 패턴들의 제 2 세트 - 상기 전도성 특징부 레이아웃 패턴들의 제 2 세트는 상기 집적 회로의 전도성 구조체들의 제 2 세트를 제조하는 것에 대응하고, 상기 전도성 특징부 레이아웃 패턴들의 제 2 세트는 상기 제 1 방향으로 연장되고, 상기 활성 영역 레이아웃 패턴들의 세트 사이에 있고, 적어도 상기 전도성 특징부 레이아웃 패턴들의 제 1 세트와 중첩되고, 상기 전도성 특징부 레이아웃 패턴들의 제 2 세트의 상기 레이아웃 패턴들의 각각은 상기 제 2 방향으로 상기 전도성 특징부 레이아웃 패턴들의 제 2 세트의 인접한 레이아웃 패턴으로부터 분리됨 - 를 배치하는 단계; 및
    상기 전도성 특징부 레이아웃 패턴들의 제 2 세트와 상기 금속 상부 확산 레이아웃 패턴들의 세트 사이에 비아 레이아웃 패턴들의 제 2 세트 - 상기 비아 레이아웃 패턴들의 제 2 세트는 비아들의 제 2 세트를 제조하는 것에 대응하고, 상기 비아들의 제 2 세트는 상기 전도성 구조체들의 제 2 세트를 상기 콘택트들의 세트에 결합하고, 상기 비아 레이아웃 패턴들의 제 2 세트의 레이아웃 패턴은 상기 전도성 특징부 레이아웃 패턴들의 제 2 세트의 레이아웃 패턴이 상기 금속 상부 확산 레이아웃 패턴들의 세트의 다른 레이아웃 패턴과 중첩되는 곳에 위치됨 - 를 배치하는 단계
    를 더 포함하는 것인, 집적 회로를 형성하는 방법.
KR1020180116307A 2017-09-28 2018-09-28 집적 회로 및 이를 제조하는 방법 KR102146762B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762564663P 2017-09-28 2017-09-28
US62/564,663 2017-09-28
US16/135,684 US10734321B2 (en) 2017-09-28 2018-09-19 Integrated circuit and method of manufacturing same
US16/135,684 2018-09-19

Publications (2)

Publication Number Publication Date
KR20190037180A KR20190037180A (ko) 2019-04-05
KR102146762B1 true KR102146762B1 (ko) 2020-08-25

Family

ID=65807804

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020180116307A KR102146762B1 (ko) 2017-09-28 2018-09-28 집적 회로 및 이를 제조하는 방법

Country Status (3)

Country Link
US (2) US10734321B2 (ko)
KR (1) KR102146762B1 (ko)
TW (1) TWI681538B (ko)

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10503863B2 (en) 2017-08-30 2019-12-10 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit and method of manufacturing same
CN110416296B (zh) * 2018-04-26 2021-03-26 苏州能讯高能半导体有限公司 半导体器件、半导体芯片及半导体器件制作方法
US11004738B2 (en) * 2018-09-21 2021-05-11 Taiwan Semiconductor Manufacturing Co., Ltd. Capacitance reduction by metal cut design
US11126775B2 (en) * 2019-04-12 2021-09-21 Taiwan Semiconductor Manufacturing Company, Ltd. IC layout, method, device, and system
US11469321B2 (en) * 2020-02-27 2022-10-11 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device
DE102020125839A1 (de) 2020-03-05 2021-09-09 Taiwan Semiconductor Manufacturing Co., Ltd. Integrierter schaltkreis und verfahren zu seiner bildung
US11651133B2 (en) * 2020-03-05 2023-05-16 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit and method of forming same
DE102020132921A1 (de) * 2020-04-30 2021-11-04 Taiwan Semiconductor Manufacturing Co., Ltd. HALBLEITERVORRICHTUNG MIT GESTUFTEM GATESTUMPFGRÖßENPROFIL UND VERFAHREN ZUR HERSTELLUNG DAVON
US11842994B2 (en) 2020-04-30 2023-12-12 Taiwan Semiconductor Manufacturing Company, Ltd Semiconductor device having staggered gate-stub-size profile and method of manufacturing same
US11637069B2 (en) * 2020-08-31 2023-04-25 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device with V2V rail and methods of making same
US11710743B2 (en) * 2020-09-18 2023-07-25 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit
US12001772B2 (en) * 2021-09-24 2024-06-04 International Business Machines Corporation Ultra-short-height standard cell architecture

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060151883A1 (en) * 2005-01-11 2006-07-13 Sony Corporation Semiconductor integrated circuit
JP2015057856A (ja) * 2008-03-13 2015-03-26 テラ イノヴェイションズ インコーポレイテッド 制限付きゲートレベルレイアウトアーキテクチャにおける交差結合トランジスタレイアウト

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7855414B2 (en) * 2006-07-28 2010-12-21 Broadcom Corporation Semiconductor device with increased breakdown voltage
US8964455B2 (en) 2010-03-10 2015-02-24 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for a SRAM circuit
US8488359B2 (en) 2010-08-20 2013-07-16 Shine C. Chung Circuit and system of using junction diode as program selector for one-time programmable devices
US8887106B2 (en) * 2011-12-28 2014-11-11 Taiwan Semiconductor Manufacturing Company, Ltd. Method of generating a bias-adjusted layout design of a conductive feature and method of generating a simulation model of a predefined fabrication process
US8830732B2 (en) 2012-11-30 2014-09-09 Taiwan Semiconductor Manufacturing Company, Ltd. SRAM cell comprising FinFETs
US8962402B1 (en) * 2013-08-14 2015-02-24 International Business Machines Corporation Lateral diffusion metal oxide semiconductor (LDMOS) device with tapered drift electrode
US9136393B2 (en) * 2013-11-15 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. HK embodied flash memory and methods of forming the same
US9306013B2 (en) * 2014-05-23 2016-04-05 Texas Instruments Incorporated Method of forming a gate shield in an ED-CMOS transistor and a base of a bipolar transistor using BICMOS technologies
US9887186B2 (en) * 2015-01-30 2018-02-06 Taiwan Semiconductor Manufacturing Company, Ltd. Memory circuit, layout of memory circuit, and method of forming layout
KR102422980B1 (ko) 2015-06-26 2022-07-19 인텔 코포레이션 집합형 절연 와이어를 구비하는 패키지 어셈블리
US10396063B2 (en) * 2016-05-31 2019-08-27 Taiwan Semiconductor Manufacturing Company Ltd. Circuit with combined cells and method for manufacturing the same
US10402529B2 (en) * 2016-11-18 2019-09-03 Taiwan Semiconductor Manufacturing Company, Ltd. Method and layout of an integrated circuit

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060151883A1 (en) * 2005-01-11 2006-07-13 Sony Corporation Semiconductor integrated circuit
JP2015057856A (ja) * 2008-03-13 2015-03-26 テラ イノヴェイションズ インコーポレイテッド 制限付きゲートレベルレイアウトアーキテクチャにおける交差結合トランジスタレイアウト

Also Published As

Publication number Publication date
TWI681538B (zh) 2020-01-01
US11508661B2 (en) 2022-11-22
US20200350250A1 (en) 2020-11-05
US20190096811A1 (en) 2019-03-28
KR20190037180A (ko) 2019-04-05
TW201924023A (zh) 2019-06-16
US10734321B2 (en) 2020-08-04

Similar Documents

Publication Publication Date Title
KR102146762B1 (ko) 집적 회로 및 이를 제조하는 방법
KR102010656B1 (ko) 집적 회로 및 집적 회로를 형성하는 방법
US11282836B2 (en) Integrated circuit and standard cell library
TWI721294B (zh) 標準單元結構與放置及佈線標準單元結構的方法
US11983479B2 (en) Integrated circuit, system for and method of forming an integrated circuit
US20230060387A1 (en) Integrated circuit and method of manufacturing same
US20200411503A1 (en) Integrated circuit and method of forming an integrated circuit
US11775724B2 (en) Integrated circuit and method of manufacturing the same
KR102558320B1 (ko) 집적 회로 디바이스 및 방법
KR20200018134A (ko) 표준 셀을 포함하는 집적 회로 및 이를 제조하기 위한 방법
CN110970415A (zh) 半导体元件的布局
KR102524237B1 (ko) 저손실 안테나 스위치용 반도체 소자
KR20240021037A (ko) 다중 문턱 소자들을 포함하는 집적 회로 및 이를 설계하는 방법
TW202416171A (zh) 包括標準胞元的積體電路
CN118198066A (zh) 集成电路器件及其制造系统

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right