DE102017110226A1 - Füller für integrierte Schaltungen und Verfahren davon - Google Patents

Füller für integrierte Schaltungen und Verfahren davon Download PDF

Info

Publication number
DE102017110226A1
DE102017110226A1 DE102017110226.4A DE102017110226A DE102017110226A1 DE 102017110226 A1 DE102017110226 A1 DE 102017110226A1 DE 102017110226 A DE102017110226 A DE 102017110226A DE 102017110226 A1 DE102017110226 A1 DE 102017110226A1
Authority
DE
Germany
Prior art keywords
fill cell
cell
designed
layout
cells
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
DE102017110226.4A
Other languages
English (en)
Inventor
Tseng Chin Lo
Molly Chang
Chih-Ting SUN
Zi-Kuan LI
Bo-Sen Chang
Geng-He Lin
Ya-Wen Tsen
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102017110226A1 publication Critical patent/DE102017110226A1/de
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/0203Particular design considerations for integrated circuits
    • H01L27/0207Geometrical layout of the components, e.g. computer aided design; custom LSI, semi-custom LSI, standard cell technique
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/392Floor-planning or layout, e.g. partitioning or placement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76885By forming conductive members before deposition of protective insulating material, e.g. pillars, studs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/14Measuring as part of the manufacturing process for electrical parameters, e.g. resistance, deep-levels, CV, diffusions by electrical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/30Structural arrangements specially adapted for testing or measuring during manufacture or treatment, or specially adapted for reliability measurements
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B10/00Static random access memory [SRAM] devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/30Structural arrangements specially adapted for testing or measuring during manufacture or treatment, or specially adapted for reliability measurements
    • H01L22/34Circuits for electrically characterising or monitoring manufacturing processes, e. g. whole test die, wafers filled with test structures, on-board-devices incorporated on each die, process control monitors or pad structures thereof, devices in scribe line

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Theoretical Computer Science (AREA)
  • General Engineering & Computer Science (AREA)
  • Architecture (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Semiconductor Integrated Circuits (AREA)

Abstract

Es wird ein Verfahren zum Einfügen von im Voraus entworfenen Füllzellen als Ersatz für Standard-Füllzellen bereitgestellt, das das Identifizieren von mindestens einer Lücke unter mehreren Funktionszellen umfasst. In einigen Ausführungsformen wird eine im Voraus entworfene Füllzelle innerhalb der mindestens einen Lücke eingefügt. Als Beispiel umfasst die im Voraus entworfene Füllzelle einen Layout-Entwurf, der eine Struktur aufweist, die einer bestimmten Fehlerart zugehörig ist. In verschiedenen Ausführungsformen wird eine Schicht auf einem Halbleitersubstrat strukturiert, derart, dass die Struktur des Layout-Entwurfs auf die Schicht auf dem Halbleitersubstrat übertragen wird. Danach wird die strukturierte Schicht unter Verwendung eines Prüfungsverfahrens mit Elektronenstrahl (E-Strahl) geprüft.

Description

  • QUERVERWEIS AUF VERWANDTE ANMELDUNG
  • Diese Anmeldung beansprucht den Vorteil der vorläufigen US-Patentanmeldung Nr. 62/356,964, die am 30. Juni 2016 eingereicht wurde und die durch Bezugnahme vollinhaltlich hierin aufgenommen wird.
  • ALLGEMEINER STAND DER TECHNIK
  • In der Elektronikbranche herrscht bislang eine ständig steigende Nachfrage nach kleineren und schnelleren elektronischen Vorrichtungen, die gleichzeitig in der Lage sind, eine größere Anzahl an zunehmend komplexen und anspruchsvollen Funktionen zu unterstützen. Dementsprechend herrscht in der Halbleiterbranche ein anhaltender Trend zur Herstellung kostengünstiger integrierter Schaltungen mit hoher Leistung und niedrigem Stromverbrauch. Bislang wurden diese Ziele größtenteils durch maßstäbliche Verkleinerung der Abmessungen der integrierten Halbleiterschaltung (z. B. minimale Strukturgröße) und die sich dabei ergebende verbesserte Herstellungseffizienz und Senkung der damit verbundenen Kosten erreicht. Durch eine solche maßstäbliche Verkleinerung hat der Halbleiterherstellungsprozess indes auch an Komplexität gewonnen. So erfordert die Realisierung ständiger Fortschritte bei den integrierten Halbleiterschaltungen und Vorrichtungen gleichartige Fortschritte bei den Halbleiterherstellungsprozessen und der Technologie.
  • Insbesondere hat die maßstäbliche Verkleinerung der Abmessungen der integrierten Schaltungen zu immer größeren Herausforderungen geführt, die mit dem Finden von Fehlern unter Verwendung bestehender Wafer-Prüfungsmethoden verbunden sind. Die Wafer-Prüfung kann in zwei Primärtechnologien unterteilt werden – optische Prüfung und Prüfung mittels Elektronenstrahl (E-Strahl). Obgleich der optischen Prüfung bei der Halbleiter-Wafer-Prüfung seit vielen Jahren die wichtigste Rolle zukommt, hat die Prüfung mittels E-Strahl beträchtlich an Interesse gewonnen, insbesondere aufgrund ihrer Fähigkeit zur Feststellung von Fehlern, die kleiner sind als diejenigen, die unter Verwendung optischer Prüfung festgestellt werden können. Zum Beispiel kann Prüfung mittels E-Strahl eine Feststellung von Fehlern bis hin zu etwa 3 Nanometern (nm) bereitstellen, während die optische Prüfung bei Fehlern, die kleiner als 30 nm sind, beginnt, Schwierigkeiten zu begegnen. E-Strahl-Prüfung kann auch verwendet werden, um Fehler vom Typ Spannungskontrast, wie beispielsweise elektrische Kurzschlüsse oder Leiterunterbrechungen an einem Kontakt oder eine Zusammenschaltungsleerstelle, festzustellen. Die Vorteile von E-Strahl-Prüfung sind offensichtlich aber es sind noch Herausforderungen vorhanden. Zum Beispiel leiden zumindest einige der bestehenden E-Strahl-Prüfungsverfahren an einer niedrigen Prüfungsempfindlichkeit, einem geringen Durchsatz und/oder einer langen Analysedurchlaufzeit. Somit haben sich die bestehenden Techniken nicht in jeder Hinsicht als vollständig zufriedenstellend erwiesen.
  • KURZE BESCHREIBUNG DER ZEICHNUNGEN
  • Gesichtspunkte der vorliegenden Offenbarung sind bei der Lektüre der folgenden detaillierten Beschreibung im Zusammenhang mit den begleitenden Figuren am besten verständlich. Es sei erwähnt, dass verschiedene Merkmale gemäß der Standardpraxis in der Branche nicht maßstabsgetreu sind. Tatsächlich kann es sein, dass die Abmessungen der verschiedenen Merkmale der Verständlichkeit der Erörterung halber beliebig vergrößert oder verkleinert wurden.
  • 1 ist ein vereinfachtes Blockdiagramm einer Ausführungsform eines Systems zur Herstellung einer integrierten Schaltung und eines dazugehörigen Ablaufs zur Herstellung von integrierten Schaltungen;
  • 2 ist ein detaillierteres Blockdiagramm des in 1 gezeigten Design-Hauses gemäß verschiedenen Gesichtspunkten der vorliegenden Offenbarung;
  • 3 zeigt ein Ablaufdiagramm eines Verfahrens 300 eines verallgemeinerten Entwurfsablaufs auf einer hohen Ebene gemäß verschiedenen Gesichtspunkten der vorliegenden Offenbarung;
  • 4A/4B veranschaulichen Ablaufdiagramme eines Verfahrens 400 und 450 zum Einfügen einer neu entworfenen Füllzelle in ein integriertes Schaltungslayout gemäß verschiedenen Ausführungsformen;
  • 5A/5B veranschaulichen Abschnitte eines integrierten Schaltungslayouts, die das Einfügen der neu entworfenen Füllzelle in das integrierte Schaltungslayout gemäß einigen Ausführungsformen aufzeigen; und
  • 6 bis 10 veranschaulichen beispielhafte Layout-Entwürfe, die in der neu entworfenen Füllzelle gemäß einigen Ausführungsformen verwendet werden können.
  • DETAILLIERTE BESCHREIBUNG
  • Die folgende Offenbarung stellt viele verschiedene Ausführungsformen oder Beispiele zur Ausführung verschiedener Merkmale des bereitgestellten Erfindungsgegenstands bereit. Spezifische Beispiele von Bauteilen und Anordnungen sind in der Folge beschrieben, um die vorliegende Offenbarung zu vereinfachen. Dabei handelt es sich selbstverständlich lediglich um Beispiele und damit wird keine Einschränkung beabsichtigt. Zum Beispiel kann die Bildung eines ersten Merkmals über oder auf einem zweiten Merkmal in der folgenden Beschreibung Ausführungsformen umfassen, in denen das erste und das zweite Merkmal in direktem Kontakt gebildet sind, und kann auch Ausführungsformen umfassen, in denen zusätzliche Merkmale zwischen dem ersten und dem zweiten Merkmal gebildet sein können, derart, dass es sein kann, dass das erste und das zweite Merkmal nicht in direktem Kontakt stehen. Zusätzlich kann die vorliegende Offenbarung Bezugsziffern und/oder -buchstaben in den verschiedenen Beispielen wiederholen. Diese Wiederholung dient den Zwecken der Einfachheit und Deutlichkeit und schreibt an sich keine Beziehung zwischen den verschiedenen erörterten Ausführungsformen und/oder Ausgestaltungen vor.
  • Ferner können Begriffe, die eine räumliche Beziehung beschreiben, wie beispielsweise „unterhalb”, „unter”, „untere/r/s”, „über”, „obere/r/s” und dergleichen, hier der Einfachheit der Beschreibung halber verwendet werden, um die Beziehung eines Elements oder Merkmals zu (einem) andere/n Element/en oder Merkmal/en zu beschreiben, wie in den Figuren veranschaulicht. Es wird beabsichtigt, dass Begriffe, die eine räumliche Beziehung beschreiben, verschiedene Ausrichtungen der Vorrichtung bei der Verwendung oder beim Betrieb zusätzlich zu der in den Figuren bildlich dargestellten Ausrichtung umfassen. Die Vorrichtung kann anders (um 90 Grad gedreht oder in anderen Ausrichtungen) ausgerichtet sein und die Beschreibungen für räumliche Beziehungen, die hier verwendet werden, können ebenfalls dementsprechend ausgelegt werden.
  • Es sei auch erwähnt, dass die Ausführungsformen, die hier beschrieben werden, beim Entwurf und/oder der Fertigung von irgendeiner Art von integrierter Schaltung oder eines Abschnitts davon eingesetzt werden können, die irgendeine von mehreren verschiedenen Vorrichtungen und/oder Bauelementen umfassen können, wie beispielsweise einen statischen Speicher mit wahlfreiem Zugriff (Static Random Access Memory – SRAM) und/oder andere Logikschaltungen, passive Bauelemente, wie beispielsweise Widerstände, Kondensatoren und Induktoren, und aktive Bauelemente, wie beispielsweise P-Kanal-Feldeffekttransistoren (PFETs), N-Kanal-FETs (NFETs), Metalloxid-Halbleiter-Feldeffekttransistoren (MOSFETs), Komplementär-Metalloxid-Halbleitertransistoren (CMOS), bipolare Transistoren, Hochspannungstransistoren, Hochfrequenztransistoren, FinFET-Vorrichtungen, Gate-All-Around-Vorrichtungen (GAA), Omega-Gate-Vorrichtungen (Ω-Gate), oder Pi-Gate-Vorrichtungen (Π-Gate), sowie verspannte Halbleitervorrichtungen, Silicon-On-Insulator-Vorrichtungen (SOI), teilweise verarmte SOI-Vorrichtungen (Partially Depleted SOI – PD-SOI), vollständig verarmte SOI-Vorrichtungen (Fully Depleted SOI – FD-SOI), andere Speicherzellen oder andere Vorrichtungen, wie im Fach bekannt. Der Durchschnittsfachmann kann andere Ausführungsformen von Halbleitervorrichtungen und/oder Schaltungen einschließlich ihres Entwurfs und ihrer Herstellung erkennen, die einen Nutzen aus Gesichtspunkten der vorliegenden Offenbarung ziehen können.
  • Die vorliegende Offenbarung betrifft allgemein ein Verfahren zum Verbessern der E-Strahl-Prüfungsmethodologie durch den Einsatz einer neu entworfenen Füllzelle in einem Schaltungslayout. So können zusätzliche Ausführungsformen eine integrierte Schaltung umfassen, die die neu entworfene Füllzelle umfasst. Insbesondere stellen Ausführungsformen der vorliegenden Offenbarung die neu entworfene Füllzelle als einen Ersatz für eine Standard-Füllzelle bereit, wobei die neu entworfene Füllzelle eine systematische Versuchsplanung (Design Of Experiments – DOE) basierend auf bestehenden und/oder potentiellen Prozessfehlerarten umfasst. Beim Halbleiterentwurf ist die Standardzellenmethodologie ein Verfahren zum Entwerfen von anwendungsspezifischen integrierten Schaltungen (Application-Specific Integrated Circuit – ASICs) mit hauptsächlich digitalen Logikmerkmalen. Die Standardzellenmethodologie ist ein Beispiel für Entwurfsabstraktion, durch die ein Entwurf mit sehr hoher Integrationsdichte (Very-Large-Scale Integration – VLSI) auf niedriger Ebene in eine abstrakte logische Darstellung (wie z. B. ein NAND-Gate) eingekapselt wird. Zellbasierte Methodologie – die allgemeine Klasse, zu der Standardzellen gehören – ermöglicht es einem Entwerfer, sich auf den Gesichtspunkt auf höherer Ebene (logische Funktion) des digitalen Entwurfs zu konzentrieren, während ein anderer Entwerfer sich auf den (physikalischen) Gesichtspunkt der Ausführung konzentriert. Gemeinsam mit den Fortschritten bei der Halbleiterherstellung hat die Standardzellenmethodologie den Entwerfern dabei geholfen, ASICs von vergleichsweise einfachen integrierten Schaltungen mit einer einzigen Funktion (mit mehreren tausend Gates) zu komplexen System-on-a-Chip-Vorrichtungen (SoC) mit mehreren Millionen Gates zu skalieren.
  • Als Beispiel ist eine Standardzelle (die z. B. als eine Funktionszelle und/oder Funktionslogikzelle bezeichnet werden kann) eine Gruppe von Transistor- und Zusammenschaltungsstrukturen, die eine boolesche Logikfunktion (z. B. AND, OR, XOR, XNOR, Inverter) oder eine Speicherfunktion (Flip-Flop oder Latch) bereitstellt. Die einfachsten Zellen sind direkte Darstellungen der elementaren booleschen NAND-, NOR- und XOR-Funktion, obgleich für gewöhnlich Zellen mit viel höherer Komplexität verwendet werden (z. B. ein 2-Bit-Volladdierer oder ein gemultiplexter D-Eingangs-Flipflop).
  • In einem Standardzellen-Layout einer integrierten Schaltung können Lücken zwischen Standardzellen erzeugt werden, da eine 100%-ige Nutzung des Layouts nicht möglich sein kann und auch aufgrund von Leitungsführungsüberlastung. Solche Lücken können aus einer Anzahl von Gründen, wie beispielsweise der Kontinuität der N-Wanne gefüllt werden, um die Gleichförmigkeit der Merkmale über einen Wafer hinweg zu verbessern, um sicherzustellen, dass Leistungs- und Massesignale an andere Funktionszellen gekoppelt werden, um Probleme zu verringern, die mit der Halbleiterausbeute verbunden sind, sowie aus einer Reihe von anderen Gründen. In verschiedenen Fällen können die vorhergehend beschriebenen Lücken unter Verwendung von Standard-Füllzellen gefüllt werden, die Füllzellen ohne Funktion umfassen können. Wie hier mit mehr Details beschrieben, zielen Ausführungsformen der vorliegenden Offenbarung auf ein Verfahren zur Verbesserung der E-Strahl-Prüfungsmethodologie durch den Einsatz einer neu entworfenen Füllzelle in einem Schaltungslayout, zum Beispiel zur Erhöhung der Fähigkeit zur mitlaufenden Erkennung von Problemen während des Prozesses, ab.
  • Obgleich seit vielen Jahren vorwiegend optische Prüfungstechniken zur Wafer-Prüfung verwendet wurden, hat die Prüfung mittels E-Strahl beträchtlich an Interesse gewonnen, insbesondere aufgrund ihrer Fähigkeit zur Feststellung von Fehlern, die kleiner sind als diejenigen, die unter Verwendung optischer Prüfung festgestellt werden können. Zum Beispiel kann Prüfung mittels E-Strahl eine Feststellung von Fehlern bis hin zu etwa 3 Nanometern (nm) bereitstellen, während die optische Prüfung bei Fehlern, die kleiner als 30 nm sind, beginnt, Schwierigkeiten zu begegnen. E-Strahl-Prüfung kann auch verwendet werden, um Fehler vom Typ Spannungskontrast, wie beispielsweise elektrische Kurzschlüsse oder Leiterunterbrechungen an einem Kontakt oder eine Zusammenschaltungsleerstelle, festzustellen. Trotz der Vorteile der E-Strahl-Prüfung sind noch Herausforderungen vorhanden. Zum Beispiel leiden zumindest einige bestehende E-Strahl-Prüfungsverfahren an einer niedrigen Prüfungsempfindlichkeit (z. B. aufgrund von allgemeiner Rezeptabstimmung), an einem niedrigen Durchsatz (z. B. aufgrund von zusätzlicher Abtast-/Prüfungszeit, die für gesunde Zellen anfällt) und/oder langer Analysedurchlaufzeit aufgrund von sich nicht wiederholenden geprüften Strukturen. Ausführungsformen der vorliegenden Offenbarung bieten Vorteile gegenüber dem Stand der Technik, obgleich es sich versteht, dass andere Ausführungsformen unterschiedliche Vorteile bieten können, nicht notwendigerweise alle Vorteile hier erörtert werden und kein bestimmter Vorteil für alle Ausführungsformen erforderlich ist. Zum Beispiel können zumindest einige Ausführungsformen die neu entworfenen Füllzelle als einen Ersatz für eine Standard-Füllzelle bereitstellen, wobei die neu entworfene Füllzelle eine systematische Versuchsplanung (Design Of Experiments – DOE) basierend auf bestehenden und/oder potentiellen Prozessfehlerarten umfasst. In einigen Beispielen können die Standard-Füllzellen in einer Auto-Place-and-Route-Stufe (APR – automatische Platzierung und Leitungsführung) eines Entwurfsablaufs durch die neu entworfenen Füllzellen ersetzt werden. In verschiedenen Ausführungsformen umfasst die APR-Stufe des Entwurfsablaufs einen Prozess, durch den eine Netzliste auf Gate-Ebene (die z. B. von einem Synthesewerkzeug erhalten wird) physikalisch in einem Schaltungslayout ausgeführt wird, indem Zellen platziert und die Leitungsführung der Zellen basierend auf den von der Netzliste abgeleiteten Verbindungen vorgenommen wird. Durch den Einsatz der neu entworfenen Füllzelle können Ausführungsformen der vorliegenden Offenbarung unregelmäßige Logikstrukturen in wiederholte Anordnungen (z. B. konzeptuell wiederholte Anordnungen) umwandeln, wie beispielsweise im Fall eines SRAM-Chip, wodurch eine Reihe von Vorteilen bereitgestellt wird. Zum Beispiel umfassen zumindest einige Vorteile keine zusätzlichen Kosten im Hinblick auf die Chip-Nutzung, verbesserte E-Strahl-Prüfungsempfindlichkeit (z. B. aufgrund wiederholter Anordnungen/Strukturen), erhöhten Durchsatz (z. B. da keine zusätzliche Prüfungszeit für gesunde Zellen anfällt) und kürzere Analysedurchlaufzeit (z. B. aufgrund von einem Vergleich von Zelle zu Zelle, der schneller eine Bestimmung bereitstellt, ob die Zelle gut/nicht gut ist) bereitstellt. So stellen Ausführungsformen der vorliegenden Offenbarung eine verbesserte E-Strahl-Prüfungsmethodologie bereit. Es versteht sich, dass die offenbarten Vorteile rein beispielhaft sind und für den Fachmann, der diese Offenbarung nutzt, zusätzliche Vorteile ersichtlich sein können.
  • Nun unter Bezugnahme auf 1 wird darin ein vereinfachtes Blockdiagramm einer Ausführungsform eines Systems 100 zur Herstellung von integrierten Schaltungen (IC) und ein zugehöriger Ablauf zur Herstellung von integrierten Schaltungen veranschaulicht, die verschiedene Gesichtspunkte der vorliegenden Erfindung nutzen können. Das System 100 zur Herstellung von integrierten Schaltungen umfasst mehrere Einheiten, wie beispielsweise ein Design-Haus 120, ein Maskenhaus 130 und einen Hersteller der integrierten Schaltungen 150 (d. h. ein Fertigungsanlage), die bei den Entwurfs-, Entwicklungs- und Herstellungszyklen und/oder Diensten, die die Herstellung einer integrierten Schaltungsvorrichtung 160 betreffen, miteinander interagieren. Die mehreren Einheiten sind durch ein Kommunikationsnetz verbunden, das ein einziges Netz oder eine Vielzahl von verschiedenen Netzen sein kann, wie beispielsweise ein Intranet und das Internet, und drahtgebundene und/oder drahtlose Kommunikationskanäle umfassen kann. Jede Entität kann mit anderen Entitäten interagieren und kann den anderen Entitäten Dienste bereitstellen und/oder von ihnen Dienste empfangen. Eines oder mehrere von dem Design-Haus 120, dem Maskenhaus 130, und dem Hersteller der integrierten Schaltungen 150 kann/können einen gemeinsamen Inhaber aufweisen und kann/können sogar in einer gemeinsamen Einrichtung vorhanden sein und gemeinsame Ressourcen nutzen.
  • In verschiedenen Ausführungsformen erzeugt das Design-Haus 120, das ein oder mehrere Entwurfsteams umfassen kann, ein Entwurfslayout 122 einer integrierten Schaltung. Das Entwurfslayout 122 der integrierten Schaltung kann verschiedene geometrische Strukturen umfassen, die für die Fertigung der integrierten Schaltungsvorrichtung 160 entworfen sind. Als Beispiel können die geometrischen Strukturen Strukturen aus Metall, Oxid oder Halbleiterschichten entsprechen, die die verschiedenen Bauelemente der zu fertigenden integrierten Schaltungsvorrichtung 160 bilden. Die verschiedenen Schichten werden kombiniert, um verschiedene Merkmale der integrierten Schaltungsvorrichtung 160 zu bilden. Zum Beispiel können verschiedene Abschnitte des Entwurfslayouts der integrierten Schaltung 122 Merkmale, wie beispielsweise ein aktives Gebiet, eine Gate-Elektrode, Source- und Drain-Gebiete, Metallleitungen oder Durchkontaktierungen einer Metallzusammenschaltung, Öffnungen für Bondinseln, sowie andere Merkmale, die im Fach bekannt sind und die innerhalb eines Halbleitersubstrats (wie z. B. einem Silizium-Wafer) zu bilden sind, und verschiedene Materialschichten umfassen, die auf dem Halbleitersubstrat angeordnet sind. Zusätzlich kann das Entwurfslayout der integrierten Schaltung 122 die neu entworfene Füllzelle gemäß Ausführungsformen der vorliegenden Offenbarung umfassen. In verschiedenen Beispielen führt das Design-Haus 120 einen Entwurfsprozess durch, um das Entwurfslayout der integrierten Schaltung 122 zu bilden. Der Entwurfsprozess kann logischen Entwurf, physikalischen Entwurf und/oder Platzierung und Leitungsführung umfassen. Zusätzliche Details des Entwurfsprozesses des Entwurfslayouts der integrierten Schaltung 122 im Design-Haus 120 einschließlich der neu entworfenen Füllzelle sind in der Folge mit mehr Details beschrieben. Das Entwurfslayout der integrierten Schaltung 122 kann in einer oder mehreren Datendateien dargestellt werden, die die geometrischen Strukturen betreffen, die zur Fertigung der integrierten Schaltungsvorrichtung 160 zu verwenden sind. In einigen Beispielen kann das Entwurfslayout der integrierten Schaltung 122 in einem GDSII-Dateiformat oder DFII-Dateiformat ausgedrückt werden.
  • In einigen Ausführungsformen kann das Design-Haus 120 das Entwurfslayout der integrierten Schaltung 122, zum Beispiel über die vorhergehend beschriebene Netzverbindung, an das Maskenhaus 130 übermitteln. Das Maskenhaus 130 kann dann das Entwurfslayout der integrierten Schaltung 122 zur Herstellung von einer oder mehreren Masken verwenden, die die neu entworfene Füllzelle umfassen, die zur Fertigung der verschiedenen Schichten der integrierten Schaltungsvorrichtung 160 gemäß dem Entwurfslayout der integrierten Schaltung 122 zu verwenden ist. In verschiedenen Beispielen führt das Maskenhaus 130 die Maskendatenvorbereitung 132, in der das Entwurfslayout der integrierten Schaltung 122 in eine Form umgesetzt wird, die physikalisch durch einen Maskenschreiber geschrieben werden kann, und Maskenfertigung 144 durch, in der das durch die Maskendatenvorbereitung 132 vorbereitete Entwurfslayout abgewandelt wird, um einem bestimmten Maskenschreiber und/oder Maskenhersteller zu genügen, und wird dann gefertigt. In dem Beispiel von 1 sind die Maskendatenvorbereitung 132 und Maskenfertigung 144 als getrennte Elemente veranschaulicht; in einigen Ausführungsformen können die Maskendatenvorbereitung 132 und die Maskenfertigung 144 indes gemeinsam als Maskendatenvorbereitung bezeichnet werden.
  • In einigen Beispielen umfasst die Maskendatenvorbereitung 132 die Anwendung von einer oder mehreren Resolution Enhancement Technologies (RETs – Auflösungsverbesserungstechnologien), um potentielle Lithographiefehler wie beispielsweise diejenigen zu kompensieren, die aus Beugung, Interferenz oder anderen Prozessauswirkungen entstehen. In einigen Beispielen kann Optical Proximity Correction (OPC – optische Nahbereichskorrektur) verwendet werden, um Linienbreiten in Abhängigkeit von der Dichte von umgebenden Geometrien anzupassen, „Hundeknochen”-Endkappen an den Leitungsenden hinzufügen, um eine Verkürzung des Linienendes zu verhindern, Nahbereichsfehler des Elektronenstrahls (E-Strahls) zu korrigieren, oder zu anderen im Fach bekannten Zwecken. Zum Beispiel können OPC-Techniken Sub-Resolution Assist Features (SRAFs – optisch nicht auflösbare Hilfsstrukturen) hinzufügen, die zum Beispiel das Hinzufügen von Scattering Bars (Linien an der Seite), Serifs (rechteckige Bereiche) und/oder Hammerheads (T-förmige Strukturen an den Linienenden) zu dem Entwurfslayout der integrierten Schaltung 122 gemäß optischen Modellen oder Regeln hinzuzufügen, derart, dass nach einem Lithographieprozess, eine Endstruktur auf einem Wafer mit verbesserter Auflösung und Präzision verbessert wurde. Die Maskendatenvorbereitung 132 kann auch weitere RETs, wie beispielsweise Off-Axis Illumination (OAI – Schrägbeleuchtung), Phase-Shifting Masks (PSM – Phasenverschiebungsmasken), andere zweckmäßige Techniken oder Kombinationen davon umfassen.
  • Nach der Maskendatenvorbereitung 132 und während der Maskenfertigung 144 kann eine Maske oder eine Gruppe von Masken basierend auf dem Entwurfslayout der integrierten Schaltung 122 hergestellt werden, das die neu entworfene Füllzelle umfasst. Zum Beispiel kann ein Elektronenstrahl (E-Strahl) oder ein Mechanismus mit mehreren E-Strahlen verwendet werden, um eine Struktur auf einer Maske (Photomaske oder Retikel) basierend auf dem Entwurfslayout der integrierten Schaltung 122 zu bilden, die die neu entworfene Füllzelle umfasst. Die Maske kann in verschiedenen Technologien gebildet werden. In einer Ausführungsform wird die Maske unter Verwendung von binärer Technologie gebildet. In einigen Ausführungsformen umfasst eine Maskenstruktur lichtundurchlässige Gebiete und lichtdurchlässige Gebiete. Ein Strahlenbündel, wie beispielsweise ein ultraviolettes (UV) Strahlenbündel, das verwendet wird, um eine strahlungsempfindliche Materialschicht (z. B. Photoresist), die auf einem Wafer beschichtet ist, zu belichten, wird durch das lichtundurchlässige Gebiet blockiert und durch die lichtdurchlässigen Gebiete durchgelassen. In einem Beispiel umfasst eine Binärmaske ein lichtdurchlässiges Substrat (z. B. Quarzglas) und ein lichtundurchlässiges Material (z. B. Chrom), das in den lichtundurchlässigen Gebieten der Maske beschichtet ist. In einigen Beispielen wird die Maske unter Verwendung einer Phasenverschiebungstechnologie gebildet. In einer Phasenverschiebungsmaske (PSM) sind verschiedene Merkmale in der Struktur, die auf der Maske gebildet wird, ausgestaltet, um eine vorkonfigurierte Phasendifferenz aufzuweisen, um Bildauflösung und Abbildungsqualität zu verbessern. In verschiedenen Beispielen kann die Phasenverschiebungsmaske eine gedämpfte Phasenverschiebungsmaske oder alternierende Phasenverschiebungsmaske sein.
  • In einigen Ausführungsformen verwendet der Hersteller 150 der integrierten Schaltung, wie zum Beispiel eine Halbleiter-Foundry, die Maske (oder Masken), die durch das Maskenhaus 130 hergestellt werden, um eine oder mehrere Maskenstrukturen, die eine neu entworfene Füllzellenstruktur umfassen, auf einen Produktionswafer 152 zu übertragen und somit die integrierte Schaltungsvorrichtung 160 auf dem Produktionswafer 152 herzustellen. Der Hersteller 150 der integrierten Schaltungen kann eine Fertigungsanlage für integrierte Schaltungen umfassen, die unzählige Herstellungsanlagen für die Fertigung einer Vielzahl von unterschiedlichen integrierten Schaltungsprodukten umfassen können. Zum Beispiel kann der Hersteller 150 der integrierten Schaltungen eine erste Herstellungsanlage für die Front-End-Fertigung mehrerer integrierter Schaltungsprodukte (d. h. Front-End-Of-Line-Fertigung – FEOL) umfassen, während eine zweite Herstellungsanlage die Back-End-Fertigung für die Zusammenschaltung und das Verpacken der integrierten Schaltungsprodukte (d. h. Back-End-Of-Line-Fertigung – BEOL) bereitstellen kann und eine dritte Fertigungseinrichtung andere Dienste für das Foundry-Geschäft (z. B. Forschung und Entwicklung) bereitstellen kann. In verschiedenen Ausführungsformen wird der Halbleiter-Wafer (d. h. der Produktionswafer 152) in und/oder auf dem die integrierte Schaltungsvorrichtung 160 gefertigt ist, ein Siliziumsubstrat oder ein anderes Substrat umfassen, das darauf gebildete Materialschichten aufweist. Andere Substratmaterialien können einen anderen zweckmäßigen Elementhalbleiter, wie beispielsweise Diamant oder Germanium; einen zweckmäßigen Verbindungshalbleiter, wie beispielsweise Siliziumcarbid, Indiumarsenid oder Indiumphosphid; oder einen zweckmäßigen Legierungshalbleiter, wie beispielsweise Siliziumgermaniumcarbid, Galliumarsenidphosphid oder Galliumindiumphosphid, umfassen. In einigen Ausführungsformen kann der Halbleiter-Wafer ferner verschiedene dotierte Gebiete, dielektrische Merkmale und Zusammenschaltungen auf mehreren Ebenen (die in aufeinanderfolgenden Fertigungsschritten gebildet werden) umfassen.
  • Darüber hinaus kann (können) die Maske (oder Masken) in einer Vielzahl von Prozessen verwendet werden. Zum Beispiel kann (können) die Maske (oder Masken) zum Strukturieren verschiedener Schichten, zum Bilden verschiedener dotierter Gebiete in dem Halbleiter-Wafer in einem Ionenimplantationsprozess, zum Bilden verschiedener Ätzgebiete in dem Halbleiter-Wafer in einem Ätzprozess und/oder in anderen zweckmäßigen Prozessen verwendet werden. An sich kann die neu entworfene Füllzellenstruktur während des Herstellungsprozesses auf eine von mehreren Schichten (z. B. ein Metall, Isolator usw.) des Produktions-Wafers 152 übertragen werden. Zusätzlich kann eine Wafer-Prüfungsanlage 154 (wie z. B. eine E-Strahl-Prüfungsanlage) des Herstellers 150 von integrierten Schaltungen verwendet werden, um den Produktions-Wafer 152 während verschiedener Stufen der Verarbeitung zu untersuchen, um zum Beispiel Fehler (wie z. B. zufällige oder systematische Fehler) festzustellen. Als Beispiel kann, wenn die Wafer-Prüfung 154 einen Fehler findet, der auf dem Produktionswafer 152 vorhanden ist, der Fehler beseitigt werden (z. B. durch ein Fehlerbeseitigungswerkzeug), der Produktionswafer 152 kann wiederaufbereitet werden oder es kann eine andere zweckmäßige Verarbeitung durchgeführt werden. Gemäß Ausführungsformen der vorliegenden Offenbarung sorgt die Verwendung der neu entworfenen Füllzelle für eine verbesserte E-Strahl-Prüfungsempfindlichkeit, einen erhöhten Durchsatz und eine kürzere Analysedurchlaufzeit. So stellen Ausführungsformen der vorliegenden Offenbarung eine verbesserte E-Strahl-Prüfungsmethodologie bereit, wodurch die Fähigkeiten der Wafer-Prüfungsanlage 154 verbessert werden.
  • Nun unter Bezugnahme auf 2 wird darin ein detaillierteres Blockdiagramm des in 1 gezeigten Design-Hauses 120 gemäß verschiedenen Gesichtspunkten der vorliegenden Offenbarung gezeigt. In dem Beispiel von 2 umfasst das Design-Haus 120 ein Entwurfssystem 180 für integrierte Schaltungen, das betriebsfähig ist, um die Funktionalität, die in Verbindung mit dem Design-Haus 120 von 1 beschrieben wurde, und in Verbindung mit den Verfahren 300, 400 und 450 von 3/4A/4B durchzuführen, die in der Folge erörtert werden. Das Entwurfssystem 180 für integrierte Schaltungen ist ein System zur Handhabung von Informationen, wie beispielsweise ein Computer, Server, Arbeitsplatz oder eine andere zweckmäßige Vorrichtung. Das System 180 umfasst einen Prozessor 182, der kommunikativ an einen Systemspeicher 184, einen Massenspeicher 186 und ein Kommunikationsmodul 188 gekoppelt ist. Der Systemspeicher 184 stellt dem Prozessor 182 nicht flüchtigen maschinenlesbaren Speicher bereit, um die Ausführung von Rechnerbefehlen durch den Prozessor zu erleichtern. Beispiele für Systemspeicher können Speichervorrichtungen mit wahlfreiem Zugriff (Random Access Memory – RAM), wie beispielsweise dynamischen RAM (DRAM), synchronen DRAM (SDRAM), Halbleiterspeichervorrichtungen und/oder eine Vielzahl anderer Speichervorrichtungen umfassen, die im Fach bekannt sind. Im Massenspeicher 186 sind Computerprogramme, Befehle und Daten gespeichert. Beispiele für Massenspeichervorrichtungen können Festplatten, optische Platten, magneto-optische Platten, Halbleiterspeicher und/oder eine Vielzahl anderer Massenspeicher umfassen, die im Fach bekannt sind. Das Kommunikationsmodul 188 ist betriebsfähig, um Informationen, wie beispielsweise Entwurfslayoutdateien für integrierte Schaltungen mit den anderen Bauelementen in dem System zur Herstellung der integrierten Schaltung 100, wie beispielsweise einem Maskenhaus 130, zu kommunizieren. Beispiele für Kommunikationsmodule können Ethernet-Karten, 802.11 WiFi-Vorrichtungen, zellulären Datenfunk und/oder andere zweckmäßige Vorrichtungen umfassen, die im Fach bekannt sind.
  • Das Entwurfssystem 180 für integrierte Schaltungen ist ausgestaltet, um während des Betriebs das Entwurfslayout 122 für integrierte Schaltungen, das die neu entworfene Füllzelle umfasst, bereitzustellen. In einer solchen Ausführungsform stellt das Entwurfssystem 180 für integrierte Schaltungen das Entwurfslayout 122 für integrierte Schaltungen, das die Form einer GDSII-Datei 194 aufweisen kann und das die neu entworfene Füllzelle umfasst, dem Maskenhaus 130 bereit. An sich kann das Maskenhaus 130 das bereitgestellte Entwurfslayout für integrierte Schaltungen verwenden, um eine oder mehrere Masken herzustellen, die die neu entworfene Füllzelle umfassen. In alternativen Ausführungsformen kann das Entwurfslayout 122 für integrierte Schaltungen zwischen den Bauelementen in dem System 100 zur Herstellung von integrierten Schaltungen in alternativen Dateiformaten, wie beispielsweise DFII, CIF, OASIS oder irgendeinem anderen zweckmäßigen Dateityp übertragen werden. Ferner können das Entwurfssystem 180 für integrierte Schaltungen, das Design-Haus 120 für integrierte Schaltungen und das Maskenhaus 130 in alternativen Ausführungsformen zusätzliche und/oder unterschiedliche Bauelemente umfassen.
  • Nun ist unter Bezugnahme auf 3 darin ein Ablaufdiagramm eines Verfahrens 300 veranschaulicht, das durch das Design-Haus 120 ausgeführt werden kann, um das Entwurfslayout 122 für die integrierte Schaltung bereitzustellen, das die neu entworfene Füllzelle gemäß verschiedenen Ausführungsformen umfasst. Als Beispiel umfasst das Verfahren 300 einen verallgemeinerten physikalischen Entwurfsablauf und/oder ASIC-Entwurfsablauf. Das Verfahren 300 beginnt mit dem Block 302, wo ein Entwurf eingegeben wird, zum Beispiel unter Verwendung einer Hardware-Beschreibungssprache (z. B. VHDL, Verilog und/oder SystemVerilog). Der unter Verwendung der Hardware-Beschreibungssprache eingegebene Entwurf kann als ein Register Transfer Level (RTL) Entwurf bezeichnet werden. In einigen Fällen kann eine funktionelle/logische Überprüfung nach dem RTL-Entwurf durchgeführt werden. Das Verfahren 300 wird dann mit Block 304 fortgesetzt, wo eine Synthese durchgeführt wird, um eine Netzliste (z. B. eine Netzliste auf Gate-Ebene) zu erzeugen. In einigen Beispielen nimmt ein Synthesewerkzeug die RTL-Hardware-Beschreibung und eine Standardzellen-Bibliothek als Eingaben und erzeugt eine Netzliste auf Gate-Ebene als Ausgabe. Das Verfahren wird mit Block 306 fortgesetzt, wo eine Partitionierung durchgeführt wird, zum Beispiel, um verschiedene Funktionsblöcke zu trennen. Danach wird das Verfahren mit Block 308 fortgesetzt, wo der Floorplan erstellt wird. Als Beispiel ist die Erstellung des Floorplans der Prozess, in dem Strukturen identifiziert werden, die nahe beieinander platziert werden sollten, und ihnen Raum zugewiesen wird, derart, dass die sich manchmal entgegenstehenden Ziele von verfügbarem Raum, erforderlicher Leistung und dem Wunsch, verschiedene Strukturen nahe beieinander zu haben, erfüllt werden. Lediglich zu veranschaulichenden Zwecken zeigt das Verfahren 300, dass das Einfügen der neu entworfenen Füllzellen (Block 320) unmittelbar im Anschluss an den Schritt zur Erstellung des Floorplans (Block 308) durchgeführt werden kann. Selbstverständlich und wie in der Folge erörtert, kann das Einfügen der neu entworfenen Füllzellen (Block 320) vor, nach oder während einem der Schritte des Verfahrens 300 durchgeführt werden. An sich ist der Block 320 des Verfahrens 300 unter Verwendung einer gestrichelten Linie veranschaulicht. Das Verfahren 300 kann dann mit Block 310 fortgesetzt werden, wo die Platzierung durchgeführt wird. Die Platzierung kann verwendet werden, um verschiedenen Schaltungsbauelementen Stellen auf einem Chip zuzuweisen. In verschiedenen Beispielen kann die Platzierung darauf abzielen, eine Gesamtdrahtlänge, eine zeitliche Steuerung, Überlastung, Leistung zu optimieren sowie andere Ziele zu erreichen. Das Verfahren kann dann mit Block 312 fortgesetzt werden, wo die Leitungsführung durchgeführt wird. Die Leitungsführung wird verwendet, um Verdrahtungen (z. B. elektrische Verbindungen) zwischen den vorhergehend platzierten Bauelementen unter Erfüllung der Entwurfsregeln für integrierte Schaltungen hinzuzufügen. Zusätzliche Arbeitsvorgänge können vor, während und nach dem Verfahren 300 bereitgestellt werden und einige der beschriebenen Arbeitsvorgänge können für zusätzliche Ausführungsformen des Verfahrens ersetzt, beseitigt oder verschoben werden. Zum Beispiel kann das Verfahren 300 auch eine Taktbaumsynthese, physikalische Überprüfung, Zeitsteuerungsanalyse, GDSII-Erzeugung oder andere zweckmäßige Schritte umfassen. Es sei auch erwähnt, dass das Verfahren 300 beispielhaft ist und nicht beabsichtigt wird, die vorliegende Offenbarung über das, was in den folgenden Ansprüchen ausdrücklich angeführt wird, hinaus einzuschränken.
  • Wie vorhergehend beschrieben, können Lücken zwischen Standardzellen eines Entwurfslayouts für integrierte Schaltungen (z. B. das Entwurfslayout 122 für integrierte Schaltungen) erzeugt werden, da es sein kann, dass es nicht möglich ist, eine 100%-ige Nutzung des Layouts zu erreichen, und auch aufgrund von Leitungsführungsüberlastung. In zumindest einigen bestehenden Verfahren kann eine nicht verwendete oder Standard-Füllzelle verwendet werden, um diese Lücken zu füllen. In Ausführungsformen der vorliegenden Offenbarung können solche Standard-Füllzellen durch eine im Voraus entworfene Zelle (z. B. die vorhergehend erörterte neu entworfene Füllzelle) ersetzt werden, zum Beispiel, um die Empfindlichkeit der mitlaufenden E-Strahl-Prüfung zu erhöhen. In verschiedenen Ausführungsformen umfasst die neu entworfene Füllzelle eine systematische Versuchsplanung (Design Of Experiments – DOE) basierend auf bestehenden und/oder potentiellen Prozessfehlerarten, zum Beispiel für eine gegebene Materialschicht, für einen gegebenen Abschnitt des Entwurfs für integrierte Schaltungen, für eine gegebene Vorrichtung oder Menge von Vorrichtungen usw. Wie vorhergehend erörtert und in manchen Fällen werden die Standard-Füllzellen nach dem Schritt der Erstellung des Floorplans (Block 308) und vor dem Platzierungsschritt (Block 310) des Verfahrens 300 durch die neu entworfenen Zellen ersetzt. Alternativ können in einigen Beispielen die Standard-Füllzellen durch die neu entworfenen Zellen als Teil des Platzierungsschritts (Block 310) ersetzt werden. In einigen Ausführungsformen kann es sein, dass die Standard-Füllzellen nicht platziert und dann durch die neu entworfenen Zellen ersetzt werden, sondern die neu entworfenen Zellen können stattdessen sofort an einem Punkt in dem Entwurf (z. B. dem Verfahren 300) platziert werden, wenn die Standard-Füllzelle normalerweise platziert worden wäre. Im Allgemeinen können, wie vorhergehend erörtert, die neu entworfene/n Füllzelle/n vor, nach oder während einem der Schritte des Verfahrens 300 platziert werden. In einigen Beispielen kann ein Layout-Entwurf, der die Standard-Füllzellen umfasst, derart neu entworfen werden, dass die Standard-Füllzellen entfernt und durch die neu entworfenen Zellen ersetzt werden. Unabhängig davon, ob die neu entworfene/n Füllzelle/n in den Layout-Entwurf für die integrierten Schaltungen eingefügt werden, stellt die vorliegenden Offenbarung ein oder mehrere Verfahren für eine solche Einfügung bereit, wie in der Folge mit mehr Details beschrieben.
  • Insbesondere und unter Bezugnahme auf 4A und 4B sind darin Verfahrensbeispiele 400 beziehungsweise 450 zum Einfügen einer neu entworfenen Füllzelle gemäß verschiedenen Ausführungsformen veranschaulicht. Als Beispiel stellt das Verfahren 400 ein Verfahren zum Einfügen der neu entworfenen Füllzelle an einer bestimmten Stufe des physikalischen Entwurfsablaufs (z. B. des Verfahrens 300) bereit, während das Verfahren 450 ein alternatives Verfahren zum Einfügen der neu entworfenen Füllzelle an einer anderen Stufe des physikalischen Entwurfsablaufs bereitstellt. Zusätzliche Arbeitsvorgänge können vor, während und nach den Verfahren 400 und 450 bereitgestellt werden und einige der beschriebenen Arbeitsvorgänge können für zusätzliche Ausführungsformen des Verfahrens ersetzt, beseitigt oder verschoben werden. Es sei auch erwähnt, dass die Verfahren 400 und 450 beispielhaft sind und nicht beabsichtigt wird, die vorliegende Offenbarung über das, was in den folgenden Ansprüchen ausdrücklich angeführt wird, hinaus einzuschränken. Verschiedene Gesichtspunkte der Verfahren 400 und 450 sind in der Folge unter Bezugnahme auf 5A, 5B und 6 bis 10 beschrieben.
  • Beginnend mit dem Verfahren 400, das in 4A gezeigt ist, beginnt das Verfahren 400 mit dem Block 402, in dem mindestens eine Lücke unter mehreren Funktionszellen festgestellt wird. Unter Bezugnahme auf das Beispiel von 5A und in einer Ausführungsform des Blocks 402 ist ein Abschnitt des Entwurfs 522 für integrierte Schaltungen gezeigt, der mehrere Standardzellen, die mit „STD ZELLE” gekennzeichnet sind, und eine Lücke umfasst, die mit „LÜCKE” gekennzeichnet ist, die inmitten der und/oder zwischen den Standardzellen angeordnet ist. Wie vorhergehend erwähnt, können die Standardzellen, die als eine Funktionszelle und/oder eine Funktionslogikzelle bezeichnet werden können, eine Gruppe von Transistor- und Zusammenschaltungsstrukturen umfassen, die eine Anzahl einfacher bis komplexer Schaltungsfunktionen bereitstellen können. Anders gesagt, können die Standardzellen als Abschnitte einer Schaltung des Entwurfs 522 für integrierte Schaltungen bezeichnet werden. Lücken, wie beispielsweise die Lücke, die in 5A gezeigt ist, können auftreten, da es sein kann, dass es nicht möglich ist, eine 100%-ige Nutzung des Entwurfs zu erreichen, oder auch aufgrund von Leitungsführungsüberlastung. 5A veranschaulicht auch eine Dummy-Zelle, wo in zumindest einigen Ausführungsformen ein Abstand zwischen der Dummy-Zelle und einer benachbarten Standardzelle größer als oder gleich etwa 0,2 Mikrometer beträgt.
  • Das Verfahren 400 wird mit Block 404 fortgesetzt, wo eine im Voraus entworfene Füllzelle (z. B. die neu entworfene Füllzelle, die vorhergehend erörtert wurde) innerhalb der beim Block 402 definierten Lücke platziert wird. Darüber hinaus umfasst die neu entworfene Füllzelle einen Layout-Entwurf, der mit einer bestimmten Fehlerart verbunden ist. Wie vorhergehend erörtert, ist es wünschenswert, die identifizierten Lücken aus einer Anzahl von Gründen, wie beispielsweise der Kontinuität der N-Wanne, zu füllen, um die Gleichförmigkeit der Merkmale über einen Wafer hinweg zu verbessern, um sicherzustellen, dass Leistungs- und Massesignale an andere Funktionszellen gekoppelt werden, um Probleme zu verringern, die mit der Halbleiterausbeute verbunden sind, sowie aus einer Reihe von anderen Gründen. In zumindest einigen herkömmlichen Verfahren können solche Lücken unter Verwendung von Standard-Füllzellen gefüllt werden, die Füllzellen ohne Funktion umfassen können. Gemäß Ausführungsformen der vorliegenden Offenbarung wird indes eine neu entworfene Füllzelle im Block 404 anstatt einer Standard-Füllzelle platziert. Selbstverständlich kann in einigen Ausführungsformen eine Kombination aus einer oder mehreren neu entworfenen Füllzellen und einer oder mehreren Standard-Füllzellen innerhalb einer oder mehrerer Lücken in dem integrierten Schaltungslayout platziert werden. Unter Bezugnahme auf das Beispiel von 5B und in einer Ausführungsform des Blocks 404 werden eine neu entworfene Füllzelle 524 und eine Standard-Füllzelle 536 in der Lücke platziert, die mit „LÜCKE” gekennzeichnet ist, die inmitten der und/oder zwischen den Standardzellen angeordnet ist. Wie in der Folge mit mehr Details erörtert, kann die neu entworfene Füllzelle 524 ein Layout aufweisen, das einer bestehenden und/oder potentiellen Fehlerart entspricht. Darüber hinaus kann die neu entworfene Füllzelle 524 ein Layout aufweisen, das einer bestehenden und/oder potentiellen Fehlerart innerhalb einer oder mehreren von den Standard-Zellen entspricht, die der neu entworfenen Füllzelle 524 benachbart sind. Anders gesagt, eine Fehlerart in mindestens einer benachbarten Standardzelle kann zuerst identifiziert werden und dann kann eine zweckmäßige neu entworfene Füllzelle ausgewählt werden, wobei die ausgewählte neu entworfene Füllzelle ein Layout aufweist, das der identifizierten Fehlerart in der benachbarten Standardzelle entspricht. Obgleich die neu entworfene Füllzelle 524 mit einer größeren Größe als die Standard-Füllzelle 526 veranschaulicht ist, wird damit keinerlei Einschränkung der gezeigten Größen beabsichtigt. In einigen Beispielen ist die neu entworfene Füllzelle 524 größer als die Standard-Füllzelle 526. In einigen Fällen wird die Standard-Füllzelle 526 nicht verwendet. So kann in einigen Beispielen die Lücke vollständig mit mehreren neu entworfenen Füllzellen gefüllt werden, die die gleichen oder unterschiedliche neu entworfene Füllzellen sein können, die zum Beispiel darauf abzielen, die gleiche oder eine unterschiedliche Fehlerart zu identifizieren.
  • Nun werden unter Bezugnahme auf 6 bis 10 zusätzliche Details bereitgestellt, die die neu entworfene Füllzelle (wie z. B. die neu entworfene Füllzelle 524) betreffen. Insbesondere stellen 6 bis 10 Beispiele für verschiedene Layout-Entwürfe bereit, die gemäß Ausführungsformen dieser Offenbarung verwendet werden können, um eine oder mehrere Fehlerarten während eines anschließenden E-Strahl-Prüfungsprozesses zu identifizieren. Es versteht sich, dass die erörterten Fehlerarten sowie der dazugehörige Layout-Entwurf, die für eine entsprechende neu entworfene Füllzelle verwendet werden, rein beispielhaft sind. Der Fachmann, der diese Offenbarung nutzt, wird andere Fehlerarten sowie andere zweckmäßige Layout-Entwürfe erkennen, die für die neu entworfene Füllzelle verwendet werden können.
  • Im Allgemeinen und in verschiedenen Ausführungsformen können die neu entworfenen Füllzellen, die hier beschrieben werden, Entwürfe umfassen, die wie erforderlich gemäß einem bestimmten Typ von Fehlerart kundenspezifisch angepasst sind. Insbesondere kann eine Vielzahl verschiedener Typen von Fehlerarten in einer bestimmten Schicht (z. B. einer Metallschicht, einer VIA-Schicht, einer ILD-Schicht usw.) und/oder innerhalb eines bestimmten Schaltungsbereichs weiter verbreitet sein, wodurch die im Voraus entworfenen Füllzellen wie erforderlich gemäß einer oder mehreren Fehlerarten, die eine nähere Prüfung erfordern (z. B. in manchen Fällen innerhalb eines gegebenen Schicht- und/oder Schaltungsbereichs) entworfen werden können. Als Beispiel können einige Fehlerarten eine Leitung (die z. B. eine Metallleitung sein kann), Über/Unterätzung, einen Leitungsabstand, eine Leitungsextrusion, eine Leitungsstruktur und/oder -größe oder andere Fehlerarten, wie beispielsweise einen VIA-Abstand, eine Struktur/Größe, Über/Unterätzung, einen Schwellenspannungsfehler (z. B. Schichtfehler, der zur Folge haben kann, dass die Schwellenspannung außerhalb eines Spezifikationswerts liegt), sowie andere Vorrichtungs- und/oder Schaltungsfehlerarten umfassen. In einigen Fällen und in Abhängigkeit von dem Typ der betreffenden Fehlerart kann die im Voraus entworfene Füllzelle einen kundenspezifischen Layout-Entwurf umfassen, der eine Layout-Struktur aufweist, die entworfen ist, um eine optimale E-Strahl-Prüfungsempfindlichkeit bereitzustellen (z. B. für den bestimmten Typ von Fehlerart, der dem kundenspezifisch angepassten Layout-Entwurf zugehörig ist). In verschiedenen Beispielen kann die im Voraus entworfene Füllzelle eine Zelle mit oder ohne Funktion sein.
  • Nun ist unter Bezugnahme auf 6 darin ein neu entworfenes Füllzellen-Layout 600 veranschaulicht, das einer ersten Fehlerart, wie beispielsweise einer „MD-MG”-Fehlerart, entspricht. Als Beispiel kann mit „MD” eine Verbindung von einer Metallschicht zu einem Source/Drain-Gebiet bezeichnet werden, daher kann sie einem Kontaktmetall ähnlich sein. Mit „MG” kann ein Metall-Gate bezeichnet werden. Selbstverständlich kann ein Material, das für „MG” verwendet wird, eine Metallschicht und/oder eine Polysiliziumschicht umfassen. So kann die Fehlerart „MD-MG” ein Layout-Entwurf sein, der zur Feststellung eines elektrischen „MD-MG”-Kurzschlusses (z. B. eines elektrischen Kurzschlusses zwischen einem Source/Drain-Metall und einem Metallgate) optimiert ist. Insbesondere unter Bezugnahme auf 6 sind darin „MD”-Merkmale 602, die eine Länge aufweisen, die als „1” identifiziert ist, Polymerkmale 604 (wie z. B. für ein Poly-Gate), Poly-Kontakte 606, ein Metall-auf-Poly „MP”-Merkmal 608, das eine Länge aufweist, die als „2” identifiziert ist, ein p-Typ-Vorrichtungsgebiet „P” und ein n-Typ-Vorrichtungsgebiet „N”, die als „3” identifiziert sind, ein Cut Poly „CPO”-Merkmal 610, aktive Bereiche 612 die einen aktiven Bereichsraum aufweisen, die als „5” identifiziert sind, identifiziert. Es sei erneut erwähnt, dass die Merkmale, Schichten und Abmessungen, die in 6 veranschaulicht sind, rein beispielhaft sind und andere Merkmale, Schichten und/oder Abmessungen verwendet werden können, zum Beispiel gemäß einer/einem bestimmten Technologie oder Prozess zum Identifizieren der angegebenen Fehlerart (z. B. der Fehlerart „MD-MG”). Es sei auch erwähnt, dass ähnliche Merkmale/Schichten, die in 7 bis 10 gezeigt sind, der Einfachheit der Bezugnahme halber gleiche Elementnummern aufweisen können.
  • Unter Bezugnahme auf 7 ist darin ein neu entworfenes Füllzellen-Layout 700 veranschaulicht, das einer zweiten Fehlerart, wie beispielsweise einer Fehlerart „MG-Extrusion”, entspricht. Insbesondere unter Bezugnahme auf 7 sind darin ein Poly-Merkmal „PO”, das als „1” identifiziert ist, ein aktiver Bereichsraum, der als „2” identifiziert ist, ein N+ p-Wannengebiet, das als „3” identifiziert ist, und ein P+ n-Wannengebiet, das als „4” identifiziert ist, identifiziert. Die Merkmale, Schichten und Abmessungen, die in 7 veranschaulicht sind, sind rein beispielhaft und andere Merkmale, Schichten und/oder Abmessungen können verwendet werden, zum Beispiel gemäß einer/einem bestimmten Technologie oder Prozess zum Identifizieren der angegebenen Fehlerart (z. B. die Fehlerart „MG-Extrusion”).
  • Unter Bezugnahme auf 8 ist darin ein neu entworfenes Füllzellen-Layout 800 veranschaulicht, das einer dritten Fehlerart, wie beispielsweise einer Fehlerart „MD-Unterätzung”, entspricht, die verwendet wird, um einen Kontaktunterätzungsfehler zu identifizieren. Insbesondere unter Bezugnahme auf 8 sind darin die „MD”-Merkmale 602, die eine Länge aufweisen, die als „1” identifiziert ist, Poly-Merkmale 604, aktive Bereiche 612, MD-Kontakte 802 sowie andere MD-Merkmale einschließlich eines Gebiets ohne MD „ohne MD” identifiziert. Die Merkmale, Schichten und Abmessungen, die in 8 veranschaulicht sind, sind rein beispielhaft und andere Merkmale, Schichten und/oder Abmessungen können verwendet werden, zum Beispiel gemäß einer/einem bestimmten Technologie oder Prozess zum Identifizieren der angegebenen Fehlerart (z. B. der Fehlerart „MG-Unterätzung”).
  • Unter Bezugnahme auf 9 ist darin ein neu entworfenes Füllzellen-Layout 900 veranschaulicht, das einer vierten Fehlerart, wie beispielsweise einer „CPO”-Fehlerart, entspricht. So kann das Layout 900 als eine Cut-Poly-Fehlerüberwachungseinrichtung verwendet werden. Insbesondere unter Bezugnahme auf 9 sind darin Cut Poly (CPO) Merkmale 610, die eine Länge aufweisen, die als „1 identifiziert ist, und Poly-Merkmale 604 „PO” sowie andere Merkmale/Schichten identifiziert. Die Merkmale, Schichten und Abmessungen, die in 9 veranschaulicht sind, sind rein beispielhaft und andere Merkmale, Schichten und/oder Abmessungen können verwendet werden, zum Beispiel gemäß einer/einem bestimmten Technologie oder Prozess zum Identifizieren der angegebenen Fehlerart (z. B. der Fehlerart „CPO”).
  • Unter Bezugnahme auf 10 ist darin ein neu entworfenes Füllzellen-Layout 1000 veranschaulicht, das einer fünften Fehlerart, wie beispielsweise einer Fehlerart Cut-MD „CMD”, entspricht. So kann das Layout 1000 als eine Cut-MD-Fehlerüberwachungseinrichtung verwendet werden. Insbesondere unter Bezugnahme auf 10 sind darin „CMD”-Merkmale 1002, „CMD”-Merkmale 1004, die eine Länge aufweisen, die als „1” identifiziert ist, sowie andere CMD-Strukturen/Merkmale identifiziert. Die Merkmale, Schichten und/oder Abmessungen, die in 10 veranschaulicht sind, sind rein beispielhaft und es können andere Merkmale, Schichten und/oder Abmessungen verwendet werden, zum Beispiel gemäß einer/einem bestimmten Technologie oder Prozess zum Identifizieren der angegebenen Fehlerart (z. B. der „CPO”-Fehlerart).
  • Es sei auch erwähnt, dass jedes der neu entworfenen Füllzellen-Layouts 600, 700, 800, 900 und 1000 wiederholte Anordnungen (z. B. eine wiederholte Strukturanordnung) bereitstellen, wodurch die Analysedurchlaufzeit von Vergleichen von Zelle zu Zelle während der E-Strahlprüfung einer Schicht, die unter Verwendung eines der Layouts 600, 700, 800, 900 und/oder 1000 strukturiert wurde, verkürzt wird und somit der anschließende E-Strahlprüfungsprozess verbessert wird. Mit anderen Worten, die Fehlerart/en, für die die Layouts 600, 700, 800, 900, 1000 entworfen sind, können innerhalb einer strukturierten Schicht unter Verwendung von E-Strahlprüfung und der neu entworfenen Füllzellen-Layouts 600, 700, 800, 900 und/oder 1000 schneller festgestellt werden. Wie vorhergehend erwähnt, sind die erörterten Fehlerarten sowie die dazugehörigen Layouts (z. B. die Layouts 600, 700, 800, 900, 1000), die für eine entsprechende neu entworfene Füllzelle verwendet werden, rein beispielhaft. In einigen Ausführungsformen kann ein kundenspezifisch entworfenes neu entworfenes Füllzellen-Layout bereitgestellt werden, zum Beispiel sobald Informationen, die ein/e bestimmtes Verarbeitungsproblem/Fehlerart betreffen, bekannt sind und/oder bestimmt wurden. Darüber hinaus kann die Platzierung einer neu entworfenen Füllzelle (im Block 404), die ein Layout aufweist, das einer bestimmten Fehlerart zugehörig ist, derart vorgenommen werden, dass das ausgewählte neu entworfene Füllzellen-Layout einer bestehenden und/oder potentiellen Fehlerart entspricht, die in den Standardzellen (z. B. STD ZELLE) der neu entworfenen Füllzelle (z. B. der neu entworfenen Füllzelle 524) benachbart vorhanden ist, die in der Lücke platziert wird.
  • Das Verfahren 400 wird mit Block 406 fortgesetzt, wo eine Schicht auf einem Halbleitersubstrat gemäß dem Layout-Entwurf, der die bestimmte Fehlerart betrifft, basierend auf der neu entworfenen Füllzelle strukturiert wird. Wie vorhergehend erörtert, können eine oder mehrere Masken gefertigt werden, die das neu entworfene Füllzellen-Layout (z. B. die neu entworfene Füllzelle 524) umfassen. Danach kann der Hersteller 150 der integrierten Schaltung, wie beispielsweise eine Halbleiter-Foundry, die (z. B. durch das Maskenhaus 130 gefertigte) Maske verwenden, um eine oder mehrere Maskenstrukturen (z. B. unter Verwendung eines Photolithographie- und Ätzprozesses) einschließlich der neu entworfenen Füllzellenstruktur auf einen Halbleiter-Wafer (z. B. den Produktionswafer 152) zu übertragen. Im Allgemeinen kann das neu entworfene Füllzellen-Layout während des Herstellungsprozesses auf eine von mehreren Schichten (z. B. Metall, Isolator usw.) des Produktions-Wafers 152 übertragen werden.
  • Das Verfahren 400 wird mit Block 408 fortgesetzt, wo die strukturierte Schicht (Block 406) unter Verwendung eines E-Strahl-Prüfungsprozesses geprüft wird. Insbesondere kann nach dem Strukturieren einer bestimmten Schicht eines Halbleiter-Wafers mit dem neu entworfenen Füllzellen-Layout der Wafer geprüft werden (z. B. durch die Waferprüfungsanlage 154, die eine E-Strahlprüfungsanlage umfasst). Als Beispiel kann, wenn die Wafer-Prüfung 154 einen Fehler findet, der auf dem Produktionswafer 152 vorhanden ist, der Fehler beseitigt werden (z. B. durch ein Fehlerbeseitigungswerkzeug), der Produktionswafer 152 kann wiederaufbereitet werden oder es kann eine andere zweckmäßige Verarbeitung durchgeführt werden. Gemäß Ausführungsformen der vorliegenden Offenbarung sorgt die Verwendung der neu entworfenen Füllzelle für eine verbesserte E-Strahl-Prüfungsempfindlichkeit, einen erhöhten Durchsatz und eine kürzere Analysedurchlaufzeit, die zumindest teilweise auf den wiederholten Anordnungen beruhen, die in den neu entworfenen Füllzellen bereitgestellt sind. So stellen Ausführungsformen der vorliegenden Offenbarung eine verbesserte E-Strahl-Prüfungsmethodologie bereit.
  • Unter Bezugnahme auf 4B ist das Verfahren 450 dem Verfahren 400 von 4A im Wesentlichen ähnlich. So liegt hier der Schwerpunkt der Deutlichkeit der Erörterung halber auf den Unterschieden zwischen den Verfahren 400 und 450. Insbesondere können in dem Verfahren 450 eine oder mehrere Standard-Füllzellen bereits verwendet worden sein, um die Lücke/n zwischen/unter Funktionszellen (z. B. Standardzellen) zu füllen, und das Verfahren 450 stellt das Entfernen solcher Standard-Füllzellen und ihr Ersetzen mit einer oder mehreren neu entworfenen Füllzellen bereit. So beginnt als Beispiel das Verfahren 450 beim Block 452, wo eine Standard-Füllzelle, die in einer Lücke zwischen Funktionszellen angeordnet ist, identifiziert wird (z. B. durch das System 180 für den Entwurf integrierter Schaltungen). Das Verfahren 450 wird mit dem Block 454 fortgesetzt, wo die identifizierte Standard-Füllzelle entfernt wird und durch eine neu entworfene Füllzelle (z. B. durch das System 180 für den Entwurf integrierter Schaltungen) ersetzt wird. Die neu entworfene Füllzelle umfasst einen Layout-Entwurf, der mit einer bestimmten Fehlerart verbunden ist, wie vorhergehend beschrieben. Danach kann das Verfahren 450 mit Block 456 fortgesetzt werden, wo eine Schicht auf einem Halbleitersubstrat gemäß dem Layout-Entwurf, der die bestimmten Fehlerart betrifft, basierend auf der neu entworfenen Füllzelle strukturiert wird, wie vorhergehend beschrieben. Das Verfahren 450 wird dann mit Block 458 fortgesetzt, wo die strukturierte Schicht (Block 456) unter Verwendung eines E-Strahl-Prüfungsprozesses geprüft wird, wie vorhergehend beschrieben.
  • Darüber hinaus können die verschiedenen Ausführungsformen, die hier offenbart sind, einschließlich der Verfahren 300, 400 und 450 auf irgendeinem zweckmäßigen Rechensystem, wie beispielsweise dem System 180 für den Entwurf integrierter Schaltungen, ausgeführt werden, das in Verbindung mit 2 beschrieben wurde. In einigen Ausführungsformen können die Verfahren 300, 400 und 450 auf einem einzigen Computer, lokalen Netzwerken, Client-Server-Netzwerken, Weitbereichsnetzen, Internets, handgehaltenen oder anderen tragbaren und drahtlosen Vorrichtungen und Netzwerken ausgeführt werden. Eine solche Systemarchitektur kann die Form einer vollständig aus Hardware bestehenden Ausführungsform, einer vollständig aus Software bestehenden Ausführungsform oder einer Ausführungsform annehmen, die sowohl Hardware- als auch Software-Elemente enthält. Als Beispiel umfasst Hardware allgemein zum Beispiel mindestens prozessorfähige Plattformen, wie beispielsweise Client-Maschinen (auch als Personal Computer oder Server bekannt) und handgehaltene Verarbeitungsvorrichtungen (wie beispielsweise Smartphones, persönliche digitale Assistenten (PDAs) oder persönliche Rechenvorrichtungen (Personal Computing Devices – PCDs). Darüber hinaus kann Hardware auch irgendeine physikalische Vorrichtung umfassen, die in der Lage ist, maschinenlesbare Befehle zu speichern, wie beispielsweise Speicher oder andere Datenspeichervorrichtungen. Andere Formen von Hardware umfassen Hardware-Teilsysteme einschließlich Übertragungsvorrichtungen, wie zum Beispiel Modems, Modemkarten, Ports und Port-Karten. In verschiedenen Beispielen umfasst Software allgemein irgendeinen Maschinencode, der in irgendeinem Datenträger, wie beispielsweise RAM oder ROM, gespeichert ist, und Maschinencode, der auf anderen Vorrichtungen (wie zum Beispiel Floppy-Disks, Flash-Speicher oder einer CD-ROM) gespeichert ist. In einigen Ausführungsformen kann Software zum Beispiel Quell- oder Objektcode umfassen. Darüber hinaus kann Software irgendeine Menge von Befehlen umfassen, die in der Lage sind, auf einer Client-Maschine oder einem Server ausgeführt zu werden.
  • Ferner können Ausführungsformen der vorliegenden Offenbarung die Form eines Computerprogrammprodukts annehmen, auf das von einem greifbaren maschinenverwendbaren oder maschinenlesbaren Datenträger zugegriffen werden kann, der Programmcode zur Verwendung durch oder in Verbindung mit einem Computer oder irgendeinem Befehlsausführungssystem bereitstellt. Zum Zweck dieser Beschreibung kann ein greifbarer maschinenverwendbarer oder maschinenlesbarer Datenträger irgendeine Vorrichtung sein, die das Programm zur Verwendung durch das oder in Verbindung mit dem/der Befehlsausführungssystem, -vorrichtung oder -gerät enthalten, speichern, kommunizieren, verbreiten oder transportieren kann. Der Datenträger kann ein elektronischer, magnetischer, optischer, elektromagnetischer, Infrarot-, ein Halbleitersystem (oder Vorrichtung oder Gerät) oder ein Verbreitungsmedium sein.
  • In einigen Ausführungsformen können definierte Organisationen von Daten, die als Datenstrukturen bekannt sind, bereitgestellt werden, um eine oder mehrere Ausführungsformen der vorliegenden Offenbarung zu ermöglichen. Zum Beispiel kann eine Datenstruktur eine Organisation von Daten oder eine Organisation von ausführbarem Code bereitstellen. In einigen Beispielen können Datensignale über ein oder mehrere Übertragungsmedien getragen werden und verschiedene Datenstrukturen speichern und transportieren und können somit verwendet werden, um eine Ausführungsform der vorliegenden Offenbarung zu transportieren.
  • Die Ausführungsformen der vorliegenden Offenbarung bieten Vorteile gegenüber dem Stand der Technik, obgleich es sich versteht, dass andere Ausführungsformen unterschiedliche Vorteile bieten können, nicht alle Vorteile notwendigerweise hier erörtert werden und dass kein bestimmter Vorteil für alle Ausführungsformen erforderlich ist. Durch das offenbarte Verfahren zur Verbesserung der E-Strahl-Prüfungsmethodologie durch den Einsatz einer neu entworfenen Füllzelle werden verschiedene Mängel von zumindest einigen der gegenwärtig eingesetzten Verfahren wirksam behoben. Zum Beispiel stellen Ausführungsformen der vorliegenden Offenbarung eine neu entworfene Füllzelle als einen Ersatz für eine Standard-Füllzelle bereit, wobei die neu entworfene Füllzelle eine systematische Versuchsplanung (Design Of Experiments – DOE) basierend auf bestehenden und/oder potentiellen Prozessfehlerarten umfasst (z. B. von benachbarten Funktions-/Standardzellen). Durch den Einsatz der neu entworfenen Füllzelle können Ausführungsformen der vorliegenden Offenbarung unregelmäßige Logikstrukturen in wiederholte Anordnungen umwandeln, wodurch eine Reihe von Vorteilen bereitgestellt wird. Zum Beispiel umfassen zumindest einige Vorteile keine zusätzlichen Kosten im Hinblick auf die Chip-Nutzung, verbesserte E-Strahl-Prüfungsempfindlichkeit (z. B. aufgrund wiederholter Anordnungen/Strukturen), erhöhten Durchsatz (z. B. da keine zusätzliche Prüfungszeit für gesunde Zellen anfällt) und kürzere Analysedurchlaufzeit (z. B. aufgrund von Vergleich von Zelle zu Zelle, der schneller eine Bestimmung bereitstellt, ob die Zelle gut/nicht gut ist). So stellen Ausführungsformen der vorliegenden Offenbarung eine verbesserte E-Strahl-Prüfungsmethodologie bereit. Der Fachmann wird ohne Weiteres verstehen, dass die hier beschriebenen Verfahren auf eine Vielzahl anderer Halbleiter-Layouts, Halbleitervorrichtungen und Halbleiterprozesse angewandt werden können, um auf vorteilhafte Weise ähnliche Vorteile zu erreichen wie diejenigen, die hier beschrieben sind, ohne vom Schutzumfang der vorliegenden Offenbarung abzuweichen.
  • So hat eine der Ausführungsformen der vorliegenden Offenbarung ein Verfahren zur Fertigung einer Halbleitervorrichtung beschrieben, das das Identifizieren von mindestens einer Lücke unter mehreren Funktionszellen umfasst. In einigen Ausführungsformen wird eine im Voraus entworfene Füllzelle innerhalb der mindestens einen Lücke eingefügt. Als Beispiel umfasst die im Voraus entworfene Füllzelle einen Layout-Entwurf, der eine Struktur aufweist, die einer bestimmten Fehlerart zugehörig ist. In verschiedenen Ausführungsformen wird eine Schicht auf einem Halbleitersubstrat strukturiert, derart, dass die Struktur des Layout-Entwurfs auf die Schicht auf dem Halbleitersubstrat übertragen wird. Danach wird die strukturierte Schicht unter Verwendung eines Prüfungsverfahrens mit Elektronenstrahl (E-Strahl) geprüft.
  • In einer anderen von den Ausführungsformen wird ein Verfahren zum Fertigen einer Halbleitervorrichtung erörtert, das das Identifizieren einer Standard-Füllzelle umfasst, die in einer Lücke zwischen mehreren Funktionszellen angeordnet ist. In einigen Ausführungsformen wird die Standard-Füllzelle entfernt und eine neu entworfene Füllzelle wird innerhalb der Lücke zwischen den mehreren Funktionszellen eingefügt. In verschiedenen Beispielen umfasst die neu entworfene Füllzelle eine Layout-Struktur, die einer bestimmten Fehlerart zugehörig ist. In einigen Ausführungsformen wird eine Substratschicht derart strukturiert, dass die Layout-Struktur auf die Substratschicht übertragen wird und die strukturierte Schicht wird geprüft.
  • In noch anderen Ausführungsformen wird eine integrierte Schaltung erörtert, die mehrere Funktionszellen, die mindestens eine Lücke aufweisen, die der mindestens einen Funktionszelle von den mehreren Funktionszellen benachbart angeordnet ist, und eine im Voraus entworfene Füllzelle umfasst, die innerhalb der mindestens einen Lücke angeordnet ist. Als Beispiel umfasst die im Voraus entworfene Füllzelle einen Layout-Entwurf, der einer bestimmten Fehlerart zugehörig ist. Darüber hinaus kann die bestimmte Fehlerart eine potentielle Fehlerart von der mindestens einen Funktionszelle umfassen. In einigen Ausführungsformen umfasst der Layout-Entwurf eine wiederholte Strukturanordnung.
  • Vorhergehend wurden Merkmale von mehreren Ausführungsformen dargestellt, derart, dass der Fachmann die Gesichtspunkte der vorliegenden Offenbarung besser verstehen kann. Der Fachmann sollte verstehen, dass die vorliegende Offenbarung ohne Weiteres als Grundlage zum Entwerfen oder Abwandeln anderer Prozesse und Strukturen dienen kann, um die gleichen Zwecke durchzuführen und/oder die gleichen Vorteile der hier eingeführten Ausführungsformen zu erreichen. Der Fachmann sollte auch verstehen, dass solche äquivalenten Konstruktionen nicht vom Gedanken und Schutzbereich der vorliegenden Offenbarung abweichen und dass er verschiedene Änderungen, Ersetzungen und Abwandlungen daran vornehmen kann, ohne vom Gedanken und Schutzbereich der vorliegenden Offenbarung abzuweichen.

Claims (20)

  1. Verfahren zur Fertigung einer Halbleitervorrichtung, das Folgendes umfasst: Identifizieren von mindestens einer Lücke unter mehreren Funktionszellen; Einfügen einer im Voraus entworfenen Füllzelle in die mindestens eine Lücke, wobei die im Voraus entworfene Füllzelle einen Layout-Entwurf umfasst, der eine Struktur aufweist, die einer bestimmten Fehlerart zugehörig ist; Strukturieren einer Schicht auf einem Halbleitersubstrat, derart, dass die Struktur des Layout-Entwurfs auf die Schicht auf dem Halbleitersubstrat übertragen wird; und Prüfen der strukturierten Schicht unter Verwendung eines Prüfungsverfahrens mit Elektronenstrahl (E-Strahl).
  2. Verfahren nach Anspruch 1, das ferner Folgendes umfasst: Einfügen von mindestens einer Standard-Füllzelle und der im Voraus entworfenen Füllzelle in die mindestens eine Lücke.
  3. Verfahren nach Anspruch 1 oder 2, das ferner Folgendes umfasst: Identifizieren von mindestens einem von einer bestehenden Fehlerart und einer potentiellen Fehlerart in mindestens einer Funktionszelle von den mehreren Funktionszellen; und Einfügen der im Voraus entworfenen Füllzelle, wobei die Struktur des Layout-Entwurfs der im Voraus entworfenen Füllzelle mindestens einem von der bestehenden Fehlerart und der potentiellen Fehlerart zugehörig ist.
  4. Verfahren nach Anspruch 3, wobei die mindestens eine Funktionszelle der im Voraus entworfenen Füllzelle benachbart ist, die innerhalb der mindestens einen Lücke platziert wird.
  5. Verfahren nach Anspruch 3 oder 4, das ferner Folgendes umfasst: basierend auf der identifizierten mindestens einen von der bestehenden Fehlerart und der potentiellen Fehlerart, Auswählen der im Voraus entworfenen Füllzelle vor dem Einfügen der im Voraus entworfenen Füllzelle.
  6. Verfahren nach einem der vorhergehenden Ansprüche, das ferner Folgendes umfasst: als Teil eines physikalischen Entwurfsablaufs, Einfügen der im Voraus entworfenen Füllzelle nach einem Schritt des Erstellens des Floorplans als Teil eines Platzierungsschritts.
  7. Verfahren nach einem der vorhergehenden Ansprüche, das ferner Folgendes umfasst: vor dem Einfügen der im Voraus entworfenen Füllzelle, Identifizieren einer Standard-Füllzelle innerhalb der mindestens einen Lücke; Entfernen der Standard-Füllzelle von der mindestens einen Lücke; und Einfügen der im Voraus entworfenen Füllzelle.
  8. Verfahren nach einem der vorhergehenden Ansprüche, wobei die Struktur des Layout-Entwurfs der im Voraus entworfenen Füllzelle eine wiederholte Strukturanordnung umfasst.
  9. Verfahren nach einem der vorhergehenden Ansprüche 2 bis 8, das ferner Folgendes umfasst: Prüfen von sowohl der mindestens einen Standard-Füllzelle als auch der im Voraus entworfenen Füllzelle unter Verwendung des E-Strahl-Prüfungsverfahrens, wobei eine erste Analysedurchlaufzeit zur Prüfung der im Voraus entworfenen Füllzelle kürzer ist als eine zweite Analysedurchlaufzeit zur Prüfung der Standard-Füllzelle.
  10. Verfahren nach einem der vorhergehenden Ansprüche, das ferner Folgendes umfasst: Strukturieren der Schicht, wobei das Strukturieren der Schicht Folgendes umfasst: Fertigen einer Maske, die die Struktur des Layout-Entwurfs der im Voraus entworfenen Füllzelle umfasst; Übertragen der Struktur des Layout-Entwurfs von der Maske auf die Schicht auf dem Halbleitersubstrat mittels eines Photolithographieprozesses.
  11. Verfahren zur Fertigung einer Halbleitervorrichtung, das Folgendes umfasst: Identifizieren einer Standard-Füllzelle, die in einer Lücke zwischen mehreren Funktionszellen angeordnet ist; Entfernen der Standard-Füllzelle und Einfügen einer neu entworfenen Füllzelle innerhalb der Lücke zwischen den mehreren Funktionszellen, wobei die neu entworfene Füllzelle eine Layout-Struktur umfasst, die einer bestimmten Fehlerart zugehörig ist; Strukturieren einer Substratschicht derart, dass die Layout-Struktur auf die Substratschicht übertragen wird; und Prüfen der strukturierten Schicht.
  12. Verfahren nach Anspruch 11, wobei die Standard-Füllzelle eine unregelmäßige Struktur umfasst und wobei die neu entworfene Füllzelle eine wiederholte Anordnung umfasst.
  13. Verfahren nach Anspruch 11 oder 12, das ferner Folgendes umfasst: Identifizieren von mindestens einem von einer bestehenden Fehlerart und einer potentiellen Fehlerart in mindestens einer Funktionszelle von den mehreren Funktionszellen; Auswählen der neu entworfenen Füllzelle, die die Layout-Struktur aufweist, die der mindestens einen von der bestehenden Fehlerart und der potentiellen Fehlerart zugehörig ist; und nach dem Entfernen der Standard-Füllzelle, Einfügen der ausgewählten neu entworfenen Füllzelle.
  14. Verfahren nach Anspruch 13, wobei die mindestens eine Funktionszelle der ausgewählten neu entworfenen Füllzelle benachbart ist.
  15. Verfahren nach einem der vorhergehenden Ansprüche 11 bis 14, wobei das Entfernen der Standard-Füllzelle und das Einfügen der neu entworfenen Füllzelle als Teil von mindestens einem Schritt zum Erstellen des Floorplans und einem Platzierungsschritt eines physikalischen Entwurfsablaufs durchgeführt wird.
  16. Verfahren nach einem der vorhergehenden Ansprüche 11 bis 15, das ferner Folgendes umfasst: Strukturieren der Substratschicht, wobei das Strukturieren der Substratschicht Folgendes umfasst: Fertigen einer Maske, die die Layout-Struktur der neu entworfenen Füllzelle umfasst; Übertragen der Layout-Struktur von der Maske auf die Substratschicht mittels eines Photolithographieprozesses.
  17. Verfahren nach einem der vorhergehenden Ansprüche 11 bis 16, wobei das Prüfen der strukturierten Schicht das Prüfen der strukturierten Schicht unter Verwendung eines Prüfungsprozesses mit Elektronenstrahl (E-Strahl) umfasst.
  18. Integrierte Schaltung, die Folgendes umfasst: mehrere Funktionszellen, die mindestens eine Lücke umfassen, die mindestens einer Funktionszelle von den mehreren Funktionszellen benachbart angeordnet ist; und eine im Voraus entworfene Füllzelle, die innerhalb der mindestens einen Lücke angeordnet ist, wobei die im Voraus entworfene Füllzelle einen Layout-Entwurf umfasst, der einer bestimmten Fehlerart zugehörig ist, wobei die bestimmte Fehlerart eine potentielle Fehlerart der mindestens einen Funktionszelle umfasst und wobei der Layout-Entwurf eine wiederholte Strukturanordnung umfasst.
  19. Integrierte Schaltung nach Anspruch 18, die ferner Folgendes aufweist: mehrere Lücken, die unter den mehreren Funktionszellen angeordnet sind; und mehrere im Voraus entworfene Füllzellen, wobei mindestens eine im Voraus entworfene Füllzelle von den mehreren im Voraus entworfenen Füllzellen innerhalb von jeder von den mehreren Lücken angeordnet ist und einer bestimmten Funktionszelle benachbart ist, und wobei die mindestens eine im Voraus entworfene Füllzelle den Layout-Entwurf umfasst, der einer potentiellen Fehlerart der benachbarten bestimmten Funktionszelle zugehörig ist.
  20. Integrierte Schaltung nach Anspruch 18 oder 19, wobei die mehreren Funktionszellen zumindest einen Teil eines statischen Speichers mit wahlfreiem Zugriff (Static Random Access Memory – SRAM) definieren.
DE102017110226.4A 2016-06-30 2017-05-11 Füller für integrierte Schaltungen und Verfahren davon Pending DE102017110226A1 (de)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201662356964P 2016-06-30 2016-06-30
US62/356,964 2016-06-30
US15/484,628 2017-04-11
US15/484,628 US10283496B2 (en) 2016-06-30 2017-04-11 Integrated circuit filler and method thereof

Publications (1)

Publication Number Publication Date
DE102017110226A1 true DE102017110226A1 (de) 2018-01-04

Family

ID=60662698

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102017110226.4A Pending DE102017110226A1 (de) 2016-06-30 2017-05-11 Füller für integrierte Schaltungen und Verfahren davon

Country Status (5)

Country Link
US (6) US10283496B2 (de)
KR (1) KR101972215B1 (de)
CN (1) CN107564828B (de)
DE (1) DE102017110226A1 (de)
TW (1) TWI669800B (de)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11675949B2 (en) 2019-02-21 2023-06-13 Taiwan Semiconductor Manufacturing Company, Ltd. Space optimization between SRAM cells and standard cells

Families Citing this family (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10199283B1 (en) 2015-02-03 2019-02-05 Pdf Solutions, Inc. Method for processing a semiconductor wager using non-contact electrical measurements indicative of a resistance through a stitch, where such measurements are obtained by scanning a pad comprised of at least three parallel conductive stripes using a moving stage with beam deflection to account for motion of the stage
US10978438B1 (en) 2015-12-16 2021-04-13 Pdf Solutions, Inc. IC with test structures and E-beam pads embedded within a contiguous standard cell area
US10789407B1 (en) * 2016-03-30 2020-09-29 Silicon Technologies, Inc. Analog design tool having a cell set, and related methods
US10283496B2 (en) * 2016-06-30 2019-05-07 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit filler and method thereof
US11016398B2 (en) 2018-06-14 2021-05-25 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit overlay test patterns and method thereof
US10605745B2 (en) * 2018-06-28 2020-03-31 Applied Materials Israel Ltd. Guided inspection of a semiconductor wafer based on systematic defects
US11138360B2 (en) * 2018-10-31 2021-10-05 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device with filler cell region, method of generating layout diagram and system for same
EP3723127A1 (de) 2019-04-10 2020-10-14 IMEC vzw Standardzellenvorrichtung und verfahren zum bilden einer verbindungsstruktur für eine standardzellenvorrichtung
US11055465B2 (en) 2019-09-04 2021-07-06 International Business Machines Corporation Fill techniques for avoiding Boolean DRC failures during cell placement
KR20210029966A (ko) * 2019-09-09 2021-03-17 삼성전자주식회사 집적된 표준 셀 구조를 포함하는 집적 회로
JP2021044315A (ja) * 2019-09-09 2021-03-18 キオクシア株式会社 不揮発性半導体記憶装置
CN112214960B (zh) * 2020-10-13 2023-07-25 飞腾信息技术有限公司 一种兼顾集成电路时序的冗余金属填充方法及系统
US20220245318A1 (en) * 2021-01-29 2022-08-04 Taiwan Semiconductor Manufacturing Company, Ltd. Method of generating netlist including proximity-effect-inducer (pei) parameters
KR20220134325A (ko) 2021-03-26 2022-10-05 삼성전자주식회사 표준 셀 및 필러 셀을 포함하는 집적 회로
CN113515915B (zh) * 2021-04-23 2023-04-25 成都海光集成电路设计有限公司 插入填充单元的方法、装置、设备以及存储介质

Family Cites Families (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3601416A (en) 1969-06-13 1971-08-24 Monsanto Co Self-adjusting wraparound seal
JP3344615B2 (ja) * 1995-12-12 2002-11-11 ソニー株式会社 半導体装置の製造方法
US7098060B2 (en) * 2002-09-06 2006-08-29 E.I. Du Pont De Nemours And Company Methods for producing full-color organic electroluminescent devices
EP2026379B1 (de) * 2006-06-02 2012-08-15 Murata Manufacturing Co., Ltd. Mehrschichtige keramische elektronische komponente und herstellungsverfahren dafür
US7782073B2 (en) 2007-03-30 2010-08-24 Taiwan Semiconductor Manufacturing Company, Ltd. High accuracy and universal on-chip switch matrix testline
US8225255B2 (en) 2008-05-21 2012-07-17 International Business Machines Corporation Placement and optimization of process dummy cells
US7951647B2 (en) * 2008-06-17 2011-05-31 Taiwan Semiconductor Manufacturing Company, Ltd. Performing die-to-wafer stacking by filling gaps between dies
US8063402B2 (en) 2009-04-13 2011-11-22 Freescale Semiconductor, Inc. Integrated circuit having a filler standard cell
US8631379B2 (en) 2010-02-09 2014-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Decomposing integrated circuit layout
US8477299B2 (en) 2010-04-01 2013-07-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for monitoring mask process impact on lithography performance
CN102456702B (zh) * 2010-10-14 2014-03-19 北京大学 一种基于石墨烯电极的功能化分子电子器件及其制备方法与应用
US8601416B2 (en) 2012-03-15 2013-12-03 Taiwan Semiconductor Manufacturing Co., Ltd. Method of circuit design yield analysis
US8713491B2 (en) 2012-03-29 2014-04-29 Taiwan Semiconductor Manufacturing Co., Ltd. Pre-colored methodology of multiple patterning
US9361423B2 (en) 2012-04-13 2016-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. RC corner solutions for double patterning technology
US8762900B2 (en) 2012-06-27 2014-06-24 Taiwan Semiconductor Manufacturing Company, Ltd. Method for proximity correction
US8745556B2 (en) 2012-06-28 2014-06-03 Taiwan Semiconductor Manufacturing Co., Ltd. Layout method and system for multi-patterning integrated circuits
US8769451B2 (en) 2012-07-12 2014-07-01 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device design method, system and computer program product
US8775993B2 (en) 2012-08-31 2014-07-08 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit design flow with layout-dependent effects
US8782575B1 (en) 2013-01-23 2014-07-15 Taiwan Semiconductor Manufacturing Company Limited Conflict detection for self-aligned multiple patterning compliance
US8793640B1 (en) 2013-03-12 2014-07-29 Taiwan Semiconductor Manufacturing Company, Ltd. Methods and apparatus for RC extraction
US8887116B2 (en) 2013-03-14 2014-11-11 Taiwan Semiconductor Manufacturing Co., Ltd. Flexible pattern-oriented 3D profile for advanced process nodes
JP6702955B2 (ja) 2014-06-12 2020-06-03 ピイディエフ・ソリューションズ・インコーポレーテッド フィラーセル、タップセル、デキャップセル、スクライブライン及び/又はダミーフィル並びにこれらを内包する製品ICチップのために使用されるはずの領域への、IC試験構造体及び/又はeビーム標的パッドの日和見的配置
US9542521B2 (en) 2014-09-25 2017-01-10 Texas Instruments Incorporated Filler insertion in circuit layout
US9799575B2 (en) * 2015-12-16 2017-10-24 Pdf Solutions, Inc. Integrated circuit containing DOEs of NCEM-enabled fill cells
US9691709B2 (en) * 2015-02-26 2017-06-27 International Business Machines Corporation Semiconductor device security
US9627370B1 (en) * 2016-04-04 2017-04-18 Pdf Solutions, Inc. Integrated circuit containing standard logic cells and library-compatible, NCEM-enabled fill cells, including at least via-open-configured, GATE-short-configured, GATECNT-short-configured, and TS-short-configured, NCEM-enabled fill cells
US10283496B2 (en) * 2016-06-30 2019-05-07 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit filler and method thereof

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11675949B2 (en) 2019-02-21 2023-06-13 Taiwan Semiconductor Manufacturing Company, Ltd. Space optimization between SRAM cells and standard cells

Also Published As

Publication number Publication date
US20190371783A1 (en) 2019-12-05
CN107564828B (zh) 2020-04-28
US20220246600A1 (en) 2022-08-04
US10388645B2 (en) 2019-08-20
US20230369309A1 (en) 2023-11-16
US10283496B2 (en) 2019-05-07
US11776948B2 (en) 2023-10-03
US20180358348A1 (en) 2018-12-13
US20180006010A1 (en) 2018-01-04
TWI669800B (zh) 2019-08-21
KR20180003427A (ko) 2018-01-09
US11309307B2 (en) 2022-04-19
US10679980B2 (en) 2020-06-09
US20200303366A1 (en) 2020-09-24
TW201803075A (zh) 2018-01-16
CN107564828A (zh) 2018-01-09
KR101972215B1 (ko) 2019-04-24

Similar Documents

Publication Publication Date Title
DE102017110226A1 (de) Füller für integrierte Schaltungen und Verfahren davon
DE102019116744B4 (de) Verfahren zum erzeugen eines layoutdiagramms, das hervorstehende pin-zellengebiete aufweist, und eine darauf basierende halbleitervorrichtung
DE102017125395A1 (de) Zellstrunkturen und Halbleitervorrichtungen damit
DE102018108579B4 (de) Integrierte schaltung und verfahren zu deren herstellung
DE102012220822A1 (de) Finfet mit verbesserter gate-planarität
DE102019129048A1 (de) Halbleitervorrichtung mit füllerzellregion, verfahren zur erzeugung eines layoutdiagramms und system für dafür
DE102019123621B4 (de) Routungsressourcenverbesserndes verfahren zum generieren von layout-diagrammen, und system dafür
US11762302B2 (en) Integrated circuit overlay test patterns and method thereof
DE102019128571A1 (de) Verfahren zum erzeugen eines layoutdiagramms mit zelle mit darauf basierenden stiftmustern und halbleitervorrichtung
DE102020119280A1 (de) Platzbedarf für multi-bit-flip-flop
DE102021111423B4 (de) Halbleitervorrichtung und Verfahren zu ihrer Herstellung
DE102021110414A1 (de) Vier-cpp-breite speicherzelle mit vergrabenem leistungsgitter und verfahren zu deren herstellung
DE102020115617B4 (de) Halbleitervorrichtung, integrierte Schaltung und darauf basierendes System
DE102022132158A1 (de) Verfahren, system und computerprogrammprodukt zum entwerfen von integrierten schaltkreisen
DE102021105450B4 (de) Integrierte Schaltungsvorrichtung, System und Verfahren
DE102021119369A1 (de) Pegelverschiebungsschaltkreis und verfahren
DE102020114130A1 (de) Abbindungsvorrichtung
DE102021108836A1 (de) Halbleiterbauelement mit v2v-schiene und herstellungsverfahren davon
DE102021102951A1 (de) Entkopplungssystem, verfahren zum betrieb davon und verfahren zur herstellung davon
DE102020132602B4 (de) Halbleitervorrichtung mit vergrabenen leitfähigen fingern und deren herstellungsverfahren
DE102021116850A1 (de) VERFAHREN ZUM ERZEUGEN EINER NETZLISTE, DIE NAHEFFEKT-AUSLÖSERPARAMETER (PEI-PARAMETERN) EINSCHLIEßT
DE102023111399A1 (de) Integrierter schaltkreis mit einem latch, das transistoren mit verschiedenen gatebreiten aufweist
DE102022132289A1 (de) Verfahren zum implementieren einer integrierten schaltung mit einer zelle schmaler breite und einer zelle breiterer breite mit der gleichen funktionalität
DE102021112663A1 (de) Integrierte schaltung und verfahren zu ihrer herstellung
DE102023104971A1 (de) Gates von hybrid-finnen-vorrichtungen

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R079 Amendment of ipc main class

Free format text: PREVIOUS MAIN CLASS: G06F0017500000

Ipc: G06F0030000000