TWI297446B - Delta information design closure in integrated circuit fabrication - Google Patents

Delta information design closure in integrated circuit fabrication Download PDF

Info

Publication number
TWI297446B
TWI297446B TW094101967A TW94101967A TWI297446B TW I297446 B TWI297446 B TW I297446B TW 094101967 A TW094101967 A TW 094101967A TW 94101967 A TW94101967 A TW 94101967A TW I297446 B TWI297446 B TW I297446B
Authority
TW
Taiwan
Prior art keywords
time
circuit design
difference
information
progressive
Prior art date
Application number
TW094101967A
Other languages
Chinese (zh)
Other versions
TW200534132A (en
Inventor
Chang Li-Fu
Wang Yao-Ting
Chang Feng-Cheng
Original Assignee
Clear Shape Technologies Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Clear Shape Technologies Inc filed Critical Clear Shape Technologies Inc
Publication of TW200534132A publication Critical patent/TW200534132A/en
Application granted granted Critical
Publication of TWI297446B publication Critical patent/TWI297446B/en

Links

Classifications

    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P90/00Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
    • Y02P90/02Total factory control, e.g. smart factories, flexible manufacturing systems [FMS] or integrated manufacturing systems [IMS]

Landscapes

  • Design And Manufacture Of Integrated Circuits (AREA)

Description

1297446 九、發明說明: 【發明所屬之技術領域】 特別是關於一種系統化製作 本發明係有關一種製作積體電路的方法 積體電路元件或晶片的方法。 【先前技術】1297446 IX. DESCRIPTION OF THE INVENTION: TECHNICAL FIELD OF THE INVENTION The present invention relates to a method of fabricating an integrated circuit component or a wafer. [Prior Art]

在超大型積體電路(VLSI)中,設計製造高複雜度的電子電路需要許 夕步驟。典型的系統晶片(System_on_Chip,s〇c)或者晶片設計,乃由— 概念式的晶片特性尹始,其包含晶片,及所需的各種輸人及輸出端子。這 個廣泛的設計概念碰轉化為_設計,其包含财魏描述的各種單 凡,與其彼此_連結關係4巾各種功能單元再藉由更詳細但仍然不具 體的方式描述,例如糊邏輯閘來描述單元的舰。最後這些邏輯開將再 被轉化為積體電路佈局,然後被應用以製成真正的晶片。因此,積體電路 佈局是最後真正被用以製造晶片的依據。 人在設計與製造之間,會加入分析整合工具,以確保製造與設計相互符 口。這些分析工具可以利用許多方式偵測出佈局錯誤,例如違反設計標準 (^esign-mle)、或更上層的設計錯誤,例如電路邏輯鏈誤、短路、或電源不 當等等。其中一項基礎的分析技術為涉及分析積體電路佈局中,訊號時間 的分析技術。 “時間限制”在積體電路設計中,代表電路裡的電晶體,必須要在有限 的且疋預先規劃好的時間裕度(time wind〇w)裡完成切換。而該時間裕度 疋根據電路裡各項元件的延遲來預先分韻…深次微米系統晶片可能會 運作在十億赫茲(Hz)或者更高的頻率,於是電晶體就必須要在幾百兆分之一 秒的時間裕度中,完成切換,切換時間約是100兆分之一秒。因此,高速 運作的系統晶片,要求更小的時間裕度。 极小時間裕度對於元件尺寸以及元件間連結方式的敏感度高,特別是 在深次微米系統晶片設計上。因此,在積體電路設計中.使用精細的尺寸, 皆於ί%間裕度有不好的影響。例如解析度強化技術(i,es〇luti如 1297446 te—ques,肪τ)會導致顯著的電晶體切換時間延長,因為其會導致複晶石夕 間極㈣㈣的實際長度與設料度間的偏移誤差(--η)。或者化學機 -械研磨法咖micd職hanicai polishing,CMp)會導致顯著的導線延遲時間 …延長,因為其會在高密度連接區域造成碟型下陷效應咖㈣effects)。因 、 在系統00片巾’製作完成的產品,在各種尺社會與原設計有偏移誤 差,而會影響功能表現。 …晶片的輯鄕程歧被職有域本且高良率的絲,鮮許多偏 移^差在會產生。但其所造成的各種時間問題使電路設計者必須要 鲁設計超規格的晶片原始設計,以獲得較大的時_度。例如,在必要的路 徑上加入-個額外的緩衝器,以改善非間隙性時間錯誤。這些超規格設計 使得電路描述資料庫愈加複雜,因此增加了製造成本,晶片面積,以及降 低晶片良率。 ,造成上述問題的—個主要原因是因為半導體工業的典型設計製造流程 的缺口。频而言,晶片在設計階段,下線生產前的時間驗證,與晶片在 1曰曰體㈣與電路㈣儲(netUst)僅被使用在設計峨,而不會被使用在 製程之中。同樣地’最終印在晶圓上的晶像也不會提供給設計者在模 • 使用。 、 ·】於疋,一種在晶片設計程序中,整合設計與製程,同時可以建立設計 ^製程溝__改善時間與雜分析驗證的方式, ,【發明内容】 v 本發冊、有關―難作積«路的方法,制是關於-種系統化製作 積體電路元件或晶片的方法;該整合式設計製程程序(integratedIn ultra-large integrated circuits (VLSI), designing and manufacturing highly complex electronic circuits requires a few steps. A typical system chip (System_on_Chip, s〇c) or wafer design consists of a conceptual wafer feature, including a wafer, and various input and output terminals required. This wide-ranging design concept is transformed into a _ design, which contains various kinds of singularities described by Cai Wei, and their various functional units are described in a more detailed but still non-specific way, such as paste logic gates. Unit of the ship. Finally, these logics are converted into integrated circuit layouts and then applied to make real wafers. Therefore, the integrated circuit layout is the basis for the final fabrication of the wafer. Between design and manufacturing, people will add analytical integration tools to ensure that manufacturing and design are in line with each other. These analysis tools can detect layout errors in many ways, such as violation of design criteria (^esign-mle), or higher design errors, such as circuit logic chain errors, short circuits, or improper power supplies. One of the basic analytical techniques is the analysis technique involved in analyzing the signal time in the integrated circuit layout. The “time limit” in the integrated circuit design, which represents the transistor in the circuit, must be switched in a limited and pre-planned time margin. The time margin is pre-rhythm based on the delay of the components in the circuit... Deep submicron system chips may operate at a frequency of one billion hertz (Hz) or higher, so the transistor must be in the hundreds of megahertz In one-second time margin, the switching is completed, and the switching time is about one hundredth of a second. Therefore, high speed operating system chips require less time margin. Very small time margins are sensitive to component size and how the components are connected, especially in deep submicron system die designs. Therefore, in the design of the integrated circuit, the use of fine dimensions has a bad influence on the margin. For example, resolution enhancement techniques (i, es〇luti such as 1297446 te-ques, fat τ) can result in significant transistor switching time extensions, as they can cause the actual length and set-up of the polycrystalline spine (4) (4). Offset error (--η). Or chemical machine - mechanical grinding, CMP) will result in significant wire delay time ... prolonged, because it will cause dish-shaped effect in the high-density connection area (4) effects). Because the products made in the system 00 wipes have offset errors in various rulers and original designs, they will affect the performance. ... The chip's collection process is dominated by a high-yield wire with a high yield, and a lot of offsets are generated. However, the various time issues caused by the circuit designer must be designed to achieve a large time lag. For example, add an extra buffer to the necessary path to improve the non-gap time error. These over-specified designs make the circuit description database more complex, thus increasing manufacturing costs, die area, and wafer yield. The main reason for the above problems is the gap in the typical design and manufacturing process of the semiconductor industry. In terms of frequency, the wafer is in the design stage, the time before the production of the off-line is verified, and the wafer is used in the design (4) and the circuit (4) (netUst) is only used in the design, and will not be used in the process. Similarly, the final image printed on the wafer will not be provided to the designer. , · Yu Yu, a kind of integrated design and process in the chip design process, at the same time can establish the design ^ process groove __ improve the time and the analysis of the hybrid analysis, [invention content] v this issue, related - difficult The method of "product" is a method for systematically making integrated circuit components or wafers; the integrated design process (integrated)

Des^Manufacturing, , ^中㈣與雜確練序,並導人麵電路設計。該偏異流程係一累進 4 Μ其包含偏異形狀時間預測程序及/或偏異時間形狀預須懷序,以處理 線路特性參數·異資訊。該偏異雜可利_祕特性參數的該差異咬 1297446 偏異資讯’獨立地重新特性化一積體電路設計。該偏異流程提供累進偏異 輸出’其能增強或重新特性化該元件及連結點中的對應參數,而無須產生 新的線路特性參數,亦無須重新處理該線路設計中所有的資訊。 【實施方式】 一具有系統與方法以針對積體電路製程中時間及形狀關係改善的整合 式設計製造程序揭露如下: σ °亥用以製造積體電路的系統與方式以下稱為整合式設計製造程序 (g ated Desigl>Manufactiiring Processes,IDMP),其整合積體電路製程中 關於時間與形狀偏移誤差的資訊,並利用—包含偏異形狀時間預測程序與 偏異時間外糊測程相偏魏程,以躺在積體電路設計中。 圖A係為整合式設計製程程序(㈣㈣⑽d响^施祕伽 加咖,1^)的方塊圖難,其中包含了-偏異流程謝,其包含一偏異 異形狀時間預測程序102及/或該偏異時間外形預 整人了 _4^%料獨立運作或合併運作。織合式設計製_序100Α 以及製程中的時間與形狀限制,細整合由形狀偏 ^ η級合式財製程程序卿該增強型電路 擬的模擬模型給積體電路設計使用。 了仏模 移誤差程序後,可產生—_胸㈣她姻在設計階段斜入時間偏 計frr床漏A翻…、丨應的時間偏移誤差資訊。該整合式設 精妹序1GGA侧輯咖偏顧m鼓尺寸祕料測,用 以產生-供給積體電路設計㈣的模娜型。尺十偏移d規則,用 序使職提供—個偏異流程m予積體電路設計程 計中用並產生偏異或差異資訊,以對應積Des^Manufacturing, , ^ (4) and the practice of the subdivision, and the human face circuit design. The biasing process is a progressive 4 包含 which includes a skewed shape time prediction program and/or a skewed time shape pre-requisite to process line characteristic parameters and different information. This difference in the heterozygous _ _ characteristic parameter bites 1297446 bias information 'independently re-characterizes an integrated circuit design. The biasing process provides a progressively biased output' that enhances or re-characterizes the corresponding parameters in the component and the joint without having to generate new line characteristic parameters and without having to reprocess all of the information in the circuit design. [Embodiment] An integrated design and manufacturing process having a system and a method for improving the time and shape relationship in an integrated circuit process is disclosed as follows: σ °H The system and method for manufacturing an integrated circuit is hereinafter referred to as integrated design and manufacturing. Program (g ated Desigl>Manufactiiring Processes, IDMP), which integrates information about time and shape offset errors in the integrated circuit process, and uses the method of containing the biased shape to predict the time and the deviation time Cheng, to lie in the integrated circuit design. Figure A is a block diagram of the integrated design process ((4)(4)(10)d^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^ The biased time profile is pre-formed by _4^% of materials to operate independently or in combination. The weaving design system _ sequence 100 Α and the time and shape constraints in the process, the fine integration of the shape of the η 合 财 财 该 该 该 该 该 该 该 该 该 该 该 该 该 该 该 该 该 该 该 该 该 该 该 该 该 该 该After the 移 model shift error procedure, it can produce -_ chest (four) her marriage in the design stage oblique time error frr bed leak A ..., 丨 的 时间 时间 时间 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 The integrated design of the 1GGA side of the sequel is based on the measurement of the size of the m drum, and is used to generate the model of the integrated circuit design (4). The rule 10 offset d rule, the order is used to provide a different process m to the integrated circuit design and used to generate bias or difference information to correspond to the product.

「π所而使用的减。4偏異流程1G 系統共同運作,續⑽異訊息,作麵麵《設計製造 中元件以及賴點結構所需的_參數絲積體電路設計製造 寻生。5亥偏異輸出在此可被定義為 7 1297446 增強化偏異參數資訊』,『增強化偏異參數』,或『偏異資訊』。該由偏異 流私101產生的增強化偏異參數資訊可被導入典型的積體電路設計製造流 程糸、、先例如自動化電子没計糸統(electr〇nic desjjgn aut〇mati〇n,eda, system) 、 该增強化偏異參數資訊具有數種可被應用在積體電路設計的型態。舉"Subtraction used by π. 4 different processes 1G system work together, continued (10) different information, for the face of the design and manufacture of components and the structure of the required _ parameter silk circuit design and manufacturing. 5 Hai The skewed output can be defined here as 7 1297446 Enhanced Deviation Parameter Information, "Enhanced Bias Parameter", or "Differential Information". The enhanced bias parameter information generated by the bias stream 101 can be It is introduced into the typical integrated circuit design and manufacturing process, first, for example, the automation electronic system (electr〇nic desjjgn aut〇mati〇n, eda, system), the enhanced bias parameter information has several kinds of applications The type of integrated circuit design.

例而s,其可提供對應各式參數的分離結果,其中包含各式參數的偏異資 訊。该增強化偏異參數資訊亦可包含修正或重新定義_體電路設計資料 庫貝Λ,其可包含將該增強化偏異參數資訊連結或附加到電路描述檔案 (netlist) ^ SPICE netlist ^ » m^k〇 Berkeley short-channel IGFET model ( BSIM )之中;其亦可包含以增強化偏異參數資訊直接取代電路描述 杬案中的貝訊。額外的連結點及/或元件模型在導入偏異資訊時,可以憑藉 經驗、實際尺寸、或者兩者兼備的模式,並不受限制。 、對比於制的频·設収程,該偏異雜具有產生並運用參數偏 差以定義频電路設計的不同。舉例而言,鱗數偏差可包含積體電路設 計中的-個或多個時間差異(可稱為時間偏移誤差、偏差時間、或卸與尺 寸差異(可稱為尺寸偏移誤差、偏差尺寸、或者。該差異亦可包含用 以定義積體電路設計的電路參數,例如漏電能㈣卿叫。該電路參數 包3但不限於例如電阻差異、電容顧、以及電隸異,以及上述的漏電 能等等。 韻異机私101包含用以處理輪入資訊的程序,例如偏異資訊,以及 ,依據-個或多個元件或連結點而產生偏異預定分配的程序 。由該偏異流程 、1產生的4偏異預定分配可包含對應任意數量參數的差異資訊,例如包含 但不祕電阻絲、餘差異、電❹異、或元件參數、形狀參數、 :劍寸間4數等等、織人資訊可由其他的偏異流程或者其他EDA及/或設 計製造系統而來,但不限於上迷舉例。 士〔偏^私101亦產生-偏異輸出以在差異違反或者影響電路設計 、出Λ差異產生的來源或者仇置。該偏異輸出因應來源而可分別產生 1297446 、 獨立的輸出,5fl’例如^代表—個電路中的元件的獨立電容值c的差異。 夕口另卜錢異流程101白勺偏異資訊可提供給積體電路設計流程中的許 夕程序使用。_這些額外的偏異資訊,可減低對於資料庫以及其他資訊 、賴而有效率地提供差異資訊給電路參數使用。而減低對資料庫的依 可以增加電路設計的效率,因為其減低了許多模擬操作的次數。更甚 者’韻異資訊允許只對差異來源模擬操作,而非在增加新的參數後,需 要對整個電路進行重新模擬操作。 在其後的㈣巾’將介紹衫詳細的特點以增進對本設計製造積體 =緣崎解。任何祕此項郷之人妹_本綱書後可以瞭解這 i==:=谜用’組合運用,或與其他系統合併運用等等。惟上述 的^盘二㈣^明本發明之原理及其功效’以避免對本製造積體電路 的系、、先與方式的實施利原理產生誤解。 圖B係為整合式设計製程程序的方塊圖励b, :,其人顧在製造積體電路中,根據—實施例,該整;式設計製程^ B w但不限於一個或多個電路設計程序1〇,電路佈局程序η (包含 時間分析程序14,形狀驗證程序命解析度強化技術程 ^形預靡郭^卿___。雜錢程包綠《雜時間預^ 輊序H)2以及该偏異時間外形預測程序1Q4,但其他 =行操作’或者選擇數個以上的偏異形狀時間預測程序i〇2 時 間外形預測程序104同時進行操作。 ^ 同時電路設計程序10,電路佈局程序12 (包 預測程序(InTent processes)l〇4可辎蛊执斗众广 部分組合運作,或者舆其他龍可選擇所;的 序20,解析度強化技術程序22,製程程庠?4,5 ’ ^ ^狀驗近私 需要選擇所需的部分組合運作,•血A 為製造私序,亦可依照 次者與其他積體電路製程整合應用。 9 1297446 ^而s ’電路所包含_轉分數量鼓,因此電子電路設計者通 常依賴電腦程式來輔助及自動化電路設計程序。本整合式設計製程程序 叶娜固或者夕個自動化電子設計系統(eda system)或其他輔助設 计與統。因此’在以下對於整合式設計製程程序臟的描述中,整 合式設計製程程序的各項子程序可單獨被細於與—個或者多個自動化電 子設計系統或其他輔助設計與製造系統之中。 該整合式設計製絲序刪接受-個或衫個高階硬體贿語言,例 如VHDL,Verilog等等,而後將其轉譯為低階的描述語言,例如電路描述播 案(nethst)。一個電路描述檔案會描述積體電路的設計、元件、連結點、以 及連接方式等等,其可被轉化為一封閉的電路圖像,其中各連結點都會有 對應的連接物。在-個更高階的抽象描述中,一個類別式電路描述播案 (generic netlist) ^ - ^ ^ (technology-independent rirr:es)崎。雜合歧賴程辦1_隸據—技㈣性資料庫 (technology-spec^.hbrary) , ^ ^ 技術特性的電路描述檔案。該技術特性資料庫亦稱為單元資料庫㈣ Ijry) ’或者=件貧料庫(device版㈣,其包含邏_莫型,用以預估 路財所㈣時間與電醇數。驗合式設計製程程序 100B系統利^ 體儲存電路描述檔案,然後處理並且驗證該電路描述檔案,以產 藉件佈局料格式,其可直接在製財被制,並製造實體的 積體電路兀件。 …電路輯者在電物t轉财,產__種描述語言 ,以描述 ^設計,例如使用Verilog或VHDL等硬體描述語言。該高階硬體描述會 被轉化為-電路描述程式,其描述了電路中組成電路的元件以及妙元件 彼此連接的導線(亦即電路的『連結』或者『網絡』)。該電路描述减並不 代表這些元件在真㈣晶片上的位置,林代表實體導線喊接途徑。 該整合式設計製程程序聰在佈局程序12中利用該電路式 以產生-實體佈局圖。該饰局程序12藉由例如配置程序以及連接程序來決 10 1297446 定實體元件的配置以及導線的連接途徑 該元件配置程序利用雷靜電路十佈局。 ! 述程式的f訊來歧每-個元件_“ 内的位置,位置訊息通常包含兩個轴向,例如χ轴及丫抽,㈣。』 ί位化的目標,例如導線寬度,導線連接,電路速 ::=Τ 的等等。而一般來說,元件需要平均分配 日日片中,並且不互相重疊。該佈局程序的輸出 位置(x,y)資訊的資料結構。 谷兀件For example, it can provide a separation result corresponding to various parameters, including bias information of various parameters. The enhanced bias parameter information may also include a modified or redefined _ body circuit design database, which may include linking or appending the enhanced partial parameter information to a circuit description file (netlist) ^ SPICE netlist ^ » m ^k〇Berkeley short-channel IGFET model (BSIM); it can also contain the information of the enhanced bias parameter to directly replace the circuit description in the case. Additional link points and/or component models can be imported without any restrictions based on experience, actual size, or both. In contrast to the frequency setting of the system, the bias has the difference in generating and applying parameter deviations to define the frequency circuit design. For example, the scale deviation may include one or more time differences in the integrated circuit design (may be referred to as time offset error, deviation time, or unloading and size difference (may be referred to as size offset error, deviation size) Or, the difference may also include circuit parameters for defining the integrated circuit design, such as leakage energy (4), which is not limited to, for example, resistance difference, capacitance, and electrical, and leakage as described above. The program can include a program for processing the wheeled information, such as biased information, and a program for generating a biased predetermined allocation based on one or more components or joint points. The 4-biased predetermined allocation generated by 1 may include difference information corresponding to any number of parameters, for example, including but not the resistance wire, the difference difference, the electrical difference, or the component parameter, the shape parameter, the number between the sword and the like, etc. Weaver information may be derived from other biased processes or other EDA and/or design manufacturing systems, but is not limited to the above examples. The singularity of the private 101 also produces a biased output to violate the difference or affect the circuit design. The source or the enemy of the difference is calculated. The bias output can generate 1297446, independent output depending on the source, and 5fl', for example, represents the difference of the independent capacitance value c of the components in the circuit. The divergence information of the Buqian process 101 can be provided to the Xu Xi program in the integrated circuit design process. _ These additional bias information can reduce the information and efficiently provide information on the database and other information. Use for circuit parameters. Reducing the dependency on the database can increase the efficiency of the circuit design because it reduces the number of simulation operations. Even more so, the rhythm information allows simulation operations only on the source of the difference, rather than adding new ones. After the parameters, the entire circuit needs to be re-simulated. In the following (four) towel's will introduce the detailed features of the shirt to enhance the design of the design of the body = marginal solution. Any secret this person _ this program You can understand that this i==:= mystery is used in combination, or combined with other systems, etc., but the above ^2 (four) ^ clearly understand the principle and function of the invention 'to avoid this The system of the integrated circuit is misunderstood by the implementation principle of the first method. Figure B is the block diagram excitation of the integrated design process program, and the others are in the manufacturing integrated circuit, according to the embodiment. , the whole design process ^ B w but not limited to one or more circuit design procedures 1 电路, circuit layout program η (including time analysis program 14, shape verification program life resolution enhancement technology process ^ shape pre-靡 Guo ^ Qing ___. Miscellaneous money package green "Miscellaneous time pre-order H) 2 and the deviation time shape prediction program 1Q4, but other = row operation 'or select more than several different shape time prediction program i〇2 time The shape prediction program 104 operates simultaneously. ^ Simultaneous circuit design program 10, circuit layout program 12 (InTent processes) l〇4 can be used in combination with the public, or other dragons can choose; Sequence 20, resolution enhancement technical program 22, process 庠? 4,5 ’ ^ ^ Investigating the near-private needs to select the required combination of parts, • Blood A is a private order, and can be integrated with other integrated circuit processes. 9 1297446 ^ While the s 'circuit contains _ turn the number of drums, so electronic circuit designers often rely on computer programs to assist and automate the circuit design process. This integrated design process program is a ena system or other auxiliary design and system. Therefore, in the following description of the dirty design of the integrated design process, the subroutines of the integrated design process can be individually subdivided into one or more automated electronic design systems or other ancillary design and manufacturing systems. The integrated design is based on a high-order hard-brain language, such as VHDL, Verilog, etc., and then translated into a low-level description language, such as a circuit description broadcast (nethst). A circuit description file describes the design, components, junctions, and connections of the integrated circuit, etc., which can be converted into a closed circuit image where each connection point has a corresponding connection. In a higher-order abstract description, a category circuit describes the generic netlist ^ - ^ ^ (technology-independent rirr: es). Hybrid 歧 办 办 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 The technical characteristics database is also known as the unit database (4) Ijry) 'or = the poor library (device version (4), which contains the logic type, used to estimate the time and electricity alcohol number of the road (four). The process program 100B system stores the circuit description file, and then processes and verifies the circuit description file to produce a borrowing layout format, which can be directly fabricated in the production of wealth, and manufactures an integrated circuit component of the entity. The compiler converts money in electricity, produces a description language, and describes the design, such as using a hardware description language such as Verilog or VHDL. The high-order hardware description is converted into a circuit description program that describes the circuit. The components that make up the circuit and the wires that connect the components to each other (that is, the "link" or "network" of the circuit). The circuit description does not represent the position of these components on the true (four) wafer, and the forest represents the physical wire shouting route. The integrated design process program uses the circuit formula in the layout program 12 to generate a physical layout map. The decoration program 12 is determined by, for example, a configuration program and a connection program. The configuration of the components and the connection route of the wires. The component configuration program utilizes the layout of the lightning circuit. The function of the program is different from the position of each component. The position information usually includes two axial directions, such as the axis and丫, (4). 位 的 』 』 』 』 』 』 』 』 』 』 』 』 』 』 』 』 』 』 』 ί ί ί ί ί ί ί ί ί ί ί ί ί ί ί ί ί ί ί ί ί ί ί ί ί ί The data structure of the output position (x, y) of the program.

該整合式設物_麵__轉程如及元躲置資料结 ,來自動進行導線連接程序(或者稱為路徑連接器)。該路徑連接雜據元件 連接的端驗置㈣’產生導線佈局。該路徑連接錄據減方式決 ,連結路徑,紐決定實料線連接路徑,並在晶片的適當位置佈置導線。 =導線位置資料結構’以及元件位„料結構將被同咖以決定最終形狀 貧料庫,以供製造實體積體電路使用。The integrated device _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ This path connects the end of the connection of the data component (4) to produce a wire layout. The path is connected to the record subtraction method, the link path, the button determines the solid line connection path, and the wires are arranged at appropriate positions on the wafer. = wire position data structure 'and component position' material structure will be used to determine the final shape of the poor material library for the manufacture of solid volume circuits.

该整合式設計製程程序麵透過任何程序他%的選擇組合,將積體 電路汉捕局資料與偏異形狀時間預測程序】〇2結合。除此之外,該偏異 形狀k間預測程序1〇2亦可,例如由一個或者多個母狀偏移誤差程序中, 接收尺寸偏移誤差資訊。在其他的實闕巾,也可以從-個或者多個任何 程序10-24的選擇組合中,取得尺寸偏移資訊。 尺寸偏移疾差資訊包含和元件有關的,至少一個以上的水平及/或垂直 方向偏移誤差資訊(Ad),例如長度或者寬度偏雜差,厚度偏移誤差_, 以及其他元件參數偏移誤差等等。電路元件包括一般積體電路設計會使用 的元件與連結點。該尺寸偏移誤差因此包含但不限於晶片中各層材料以及 各位置(x,y)的偏異形狀資訊(可表示為『偏異形狀Ad/At』或『Δ(1/Δί』)。 舉例而言,一實施例中的光刻蝕偏異形狀偏移誤差Ad/At,及/或其他近接本 質(proximity-based)的改變,皆會導致形狀的偏移誤差^施。該厚度偏移誤 差At代表可由晶片中各層材料的系統化特性導致的厚度偏移誤差。 偏異形狀時間預測程序102通常使用偏異形狀Ad/At來整合積體電路 1297446 製程中的時間與形狀偏移誤差程序,亦即在製程中會發生擾動的設計資訊 之程序’例如解析度強化技術(RET)、檢測等等程序,然後將結果導入積體 電路設計的佈局中。為達上述目的,該偏異形狀時間預測程序102整合偏 異形狀Δ(1/Δί,並將其導入電路模型中,以形成時間描述及/或增強化電路模 型。該偏異形狀時間預測程序102可以使用來自解析度強化技術預測工具 的輸出結果,以產生上述的偏異形狀Ad/At結果。The integrated design process program combines the integrated circuit data with the biased shape time prediction program 〇2 through any combination of programs. In addition to this, the skew shape inter-k prediction program 1〇2 may also receive size offset error information, for example, by one or more mother-shaped offset error programs. In other actual wipes, the size shift information can also be obtained from a selected combination of one or more of the programs 10-24. The size offset lag information includes at least one horizontal and/or vertical offset error information (Ad) related to the component, such as length or width mismatch, thickness offset error _, and other component parameter offsets. Errors and so on. Circuit components include components and joints that are used in general integrated circuit design. The dimensional offset error thus includes, but is not limited to, the material of each layer in the wafer and the disparate shape information of each position (x, y) (which can be expressed as "adjacent shape Ad/At" or "Δ(1/Δί"). In other words, the photoetching skew shape offset error Ad/At in an embodiment, and/or other proximity-based changes, may result in a shape offset error. The error At represents a thickness offset error that can be caused by the systematic nature of the layers of material in the wafer. The skew shape time prediction program 102 typically uses the skew shape Ad/At to integrate the time and shape offset error program in the integrated circuit 1297446 process. , that is, a program for disturbing design information in the process, such as resolution enhancement technology (RET), detection, etc., and then importing the result into the layout of the integrated circuit design. For the above purpose, the different shape The temporal prediction program 102 integrates the skewed shape Δ(1/Δί and introduces it into the circuit model to form a time description and/or an enhanced circuit model. The skewed shape temporal prediction program 102 can be used from a strong resolution Technical output forecasting tools, to generate said biasing oddly shaped Ad / At the result.

在整合式設計製程程序1〇〇B中,包含偏異形狀時間預測程序1〇2的元 件粒序,使用增強化電路模型以產生一可供積體電路設計模擬的模型,並 且依據該模型產生時間偏移誤差資訊(Δτ),更詳細的說明如下所述。該整合 疋设叶製程程序100Β將時間偏移誤差資訊耦合至時間分析程序14中,亦 可將時間偏移誤差資訊搞合至—個或者多個電路設計程序⑴、佈局程序 12、形狀驗證程序2〇、解析度強化技術程序22以及製程程序%之中,亦 可使用已知的各種EDA程序來代替。 、整合式設計製程程序也可將時間偏移誤差資訊耦合到偏異時間外形預 /則程序(InTent processes)1〇4之中。該偏異時間外形酬程序刚利用該時 間偏移誤差資訊以產生偏狀哺訊或_,以在之後的積體 電路製程’以及整合式設計製程程序麵,包含偏異形狀時_測程序 舰,之中使用,敘述如下。該偏異時間外形删程序刚輸出偏異形狀 △_,破將聽合難造雜細_巾,其魏如_技術所敛述, 並且可以耦合到其他設計製造流程中的驗證程序。 。圖二係為-整合式設計製程程序細的一方塊圖,其包含了一偏異流 '應用錢k積體電路巾,根據另—實施例,該整合式設計製程程序 3仁不限於一個或多個以上的電路設計程序10,電路佈局程序12(包 3置以及連(點),a夺間分析程序M,形狀驗證程序2〇,解 =序22 ’ _蹲24,偏細糊酬程序㈣ = =間外形_轉福⑽叫刚。上述各程序的功能可i昭圖t 中的祝明。同時’該偏異形狀時間預測程序脆接收來自—個或者多個設 12 1297446 口十抓私15及/或製造流程25的偏異形狀資訊△_,但不限於此,以產生驗 證及/或時間預測。另外,該偏異時間外形删程序刚接收來自一個或者 多個設計流程15及/或製造流程μ的偏異形狀資訊,但不限於此,以 產生外型間隙(△_予員估),再將其與偏異形狀縫〇見則耗合至一個或者 多個設計流程15及/或製造流程25及/或偏卿狀__程序102。 在偏異形狀時間預測知序1〇2中的偏異流程通常會接收來自於積體電 路設計以及偏異形狀的資訊,以作為其輸人,其可為—或者多種形式。而 後偏異=時間預測程序搬可以自其中粹取出與電路參數累增差異有關In the integrated design process program 1〇〇B, the component grain sequence including the skew shape time prediction program 1〇2 is used, and the enhanced circuit model is used to generate a model for the integrated circuit design simulation, and according to the model generation The time offset error information (Δτ) is described in more detail below. The integrated set-up process program 100 耦合 couples the time offset error information into the time analysis program 14, and can also integrate the time offset error information into one or more circuit design programs (1), layout program 12, shape verification program. The 〇, the resolution enhancement technical program 22, and the process program % may be replaced by various known EDA programs. The integrated design process can also couple time offset error information into the InTent processes 1〇4. The biased time profile program just uses the time offset error information to generate a biased feed or _, in the subsequent integrated circuit process 'and the integrated design process procedural surface, including the skewed shape _ test program ship Used in , as described below. The biased time shape deletion program has just output a different shape △_, which will be difficult to make a miscellaneous _ towel, which is condensed by Wei Ru _ technology, and can be coupled to other verification procedures in the design manufacturing process. . Figure 2 is a detailed block diagram of the integrated design process, which includes a biased flow 'application money k integrated circuit towel. According to another embodiment, the integrated design process program 3 is not limited to one or More than one circuit design program 10, circuit layout program 12 (package 3 and connection (point), a intervening analysis program M, shape verification program 2〇, solution = order 22 ' _ 蹲 24, partial paste program (4) = = between the shape _ transfer Fu (10) called just. The function of each of the above procedures can be shown in the Zhaotu t. At the same time 'the bias shape time prediction program is fragilely received from one or more sets of 12 1297446 mouth ten catch The private shape 15 and/or the shape information Δ_ of the manufacturing process 25, but is not limited thereto, to generate verification and/or time prediction. In addition, the deviation time profile deletion program has just received one or more design flows 15 and / or the shape information of the manufacturing process μ, but is not limited thereto, in order to generate the appearance gap (Δ_ estimator), and then splicing it with the different shape to consume one or more design flows 15 And/or manufacturing process 25 and/or partial __program 102. In a different shape The biasing process in the time prediction order 1〇2 usually receives information from the integrated circuit design and the different shapes as its input, which can be—or multiple forms. Then the deviation = time prediction program moves Can be taken from it and related to the increase in circuit parameters

的偏異貝Λ’ 4電路翏數為用以定義或特性化在積體電路設計中,一個戍 多個元件及/或連結點。該粹取程序包含與任何電路設計相關物件的資訊: 且不限定為元件或者連結點。 粹取出的偏異資訊可以包含偏異電容值,偏異電阻值,及/或偏旦電感 值’亦可岐其他任何_設計+會贿的錄 里 :狀時間預測程序1〇2亦可產生一偏異(或累增)輸出,其代表違反或=影 ·#積體電路設計的偏異資訊之來源及/或位置。舉例而言,—偏里電容值μ =偏異電阻值△可分別代表了—個電容c以及—僻電阻r的特定數值,The biased Λ'4 circuit turns are used to define or characterize a 元件 multiple components and/or junctions in an integrated circuit design. The procedural program contains information about objects related to any circuit design: and is not limited to components or joints. The extracted disparity information can include the value of the biased capacitance, the value of the distorted resistance, and/or the value of the transposed inductance. It can also be recorded in any other _ design + bribe: the time prediction program 1〇2 can also be generated. A biased (or cumulative) output that represents the source and/or location of the disparity information for a breach or a complex circuit design. For example, the partial capacitance value μ = the differential resistance value △ can represent a specific value of a capacitance c and a singular resistance r, respectively.

ί〇Γί人了電關於巧定賴闕設計_。偏異形_間預測程序 I 了積體電路設計中,關於時間以及形狀的限制,如上所述。 時間異形___序’根據—實施例’通常該偏異形狀 削ΐ會接收包含許多元件與連結點的積體電路設計。該偏異 二=1=取_資訊’將其導人設計中。該偏異形狀時間預測 此之外j 包含偏異雜f職/或日相偏倾差資_結果。除 偏里敝5形狀時間預測程序102尚可利用由該預測程序脱產生的 資;包強應用在實施例中的資料庫資訊,^ 例如;二忍數置、且應用在定義積體電路設計的參數的差異資訊, 仁不限於電阻、電容、電感、電路或元件參數、形狀參數、以及時間 13 1297446 鮮岸可利^式設計製程程序包含的偏異形狀時間制鱗搬及/或其 :: 偏異資訊來產出電子模型,以供積體電路設計使用。 資訊,來偏”何⑽由彻累進麵電路設計巾各參數的偏異 賴二-而乂於積體電路設計流程中單元資料庫以及連結點資料庫的依 ^來i改上可利用偏異流程中的偏異資 的开祙‘一 U "、庫或連、,點貝料庫。在資料庫中產出修^:後 改資料5。早70 w利用偏異資訊對原始树模型資料庫進行適當的累進修 述’該偏異形狀時間删程序搬接收到來自積體電路佈局以 及尺寸的偏縣異資料之實體猶,其至少包含 ^極長度偏異Δ(1,與厚度偏輕異At料。該實體贿可== =:_像_或者是文字職。該偏異形狀時咖測程序撤在功能 的實體Π讀的偏卿狀Ad與連結闕偏跡狀_成積體電路 =考慮佈局中的元件時,該偏異形狀時間預測程序搬可 —元件的參數偏移差財,例如偏異電容值AC,藉由各元件 士異形狀Μ的偏異資訊,粹取出參數偏移差異的偏異資訊。該偏異形狀 ΙΙ^ΙΤ 偏移块差㈣’及域整合式設計製程程序中,原始單元資料庫的資料。 增強原始資料庫資訊包含針對各參數偏移誤差,提供獨立的結果,及/ 或利用偏《訊修正或簡定義資料庫元件模商訊。元件模型的修正可 以包含將參數偏移差異資訊連結或附加到—個或多個電路描述程式,或模 型中’例如 SPICE netlist 或 Berkeley short-channel IGFET model (BSIM)等。 以牛模型的修正亦可以包含_具有參數偏移差異的f訊取代原有 描述程式中的資訊。 f佈局中的連結點部分,根據魏方塊122,其將偏異形狀對應 到一實體的韻電路财巾。另外根據魏方塊123,該偏異形狀時間酬 14 I297446 =02藉由從各連結點的偏異形狀△迦中取得偏異資訊△戰,以 2各佈局的連結點中-個或多個的偏異電容值Ac,偏異電阻值从,以 =感值AL資訊◊ _秦腸塊124,該偏 /入利用取得的偏異資訊AC/△隐L來增強原始連結點資料庫的資訊,以供 ,合式設計製程程序使用。增強資料庫資訊包含針對偏異資訊△麻, 提供獨立的結果,及/或偏異資訊ΔσΔΚ/Δ]ι修正缝敎義資料庫連 結點模型資訊。 、〇Γ 人 人 人 人 人 人 人 人 人 人 人 人 人. The skewed_inter prediction program I In the integrated circuit design, the time and shape restrictions are as described above. Time Alien ___Orders Depending on the embodiment, the skewed shape typically receives an integrated circuit design that includes many components and joints. The deviation of two = 1 = take _ information' will lead to the design. The predicted shape time is predicted to be outside the j. In addition to the partial 敝5 shape time prediction program 102 can still use the resources generated by the prediction program; Bao Qiang application of the database information in the embodiment, ^ for example; two forensic, and applied in the definition of integrated circuit design The difference in the parameters of the parameters, is not limited to resistance, capacitance, inductance, circuit or component parameters, shape parameters, and time 13 1297446 fresh shore can be designed to process the program to include the different shape time scaling and / or its: : Deviated information to produce an electronic model for use in integrated circuit design. Information, to bias "He (10) from the tiredness of the surface of the design of the design of the parameters of the different parameters of the two - and in the integrated circuit design process in the unit database and the connection point database according to ^ i change can be used to bias In the process, the development of the biased capital 'a U ", library or even, and the point of the library. In the database, the output is repaired: after the change of information 5. Early 70 w using the bias information on the original tree model The database performs appropriate progressive refinement 'the different shape time deletion program receives the entity from the integrated circuit layout and size of the partial data, and at least contains the length deviation Δ (1, and thickness deviation Lightly different At material. The entity can make a bribe == =:_ like _ or a word job. The biased shape of the coffee program is removed in the function of the entity reading the singular Ad and the link 阙 skewed _ accumulative Body circuit=When considering the components in the layout, the skew shape time prediction program can be moved—the parameter offset of the component is poor, for example, the offset capacitance value AC is extracted by the disparity information of each component. Deviation information of the parameter offset difference. The different shape ΙΙ^ΙΤ offset block difference (four)' and domain In the integrated design process, the data of the original unit database. The enhanced original database information includes independent results for each parameter offset error, and/or the use of partial or modified data library components. The modification of the model may include linking or appending parameter offset difference information to one or more circuit description programs, or models such as SPICE netlist or Berkeley short-channel IGFET model (BSIM), etc. The information contained in the _ with the difference in the parameter offset replaces the information in the original description program. The part of the joint point in the f layout, according to Wei block 122, corresponds the different shape to the rhyme circuit of a solid. Block 123, the different shape time compensation 14 I297446 = 02 by obtaining the bias information from the different shapes of the joint points △ jia, to one or more of the joint points of the two layouts The value Ac, the value of the distorted resistance is from the = sense value AL information _ _ Qin intestine block 124, the bias information obtained by using the bias information AC / △ hidden L to enhance the information of the original link database, Supply and fit the design process uses Enhanced database information includes the results for partial exclusive information △ linen, separate, and / or partial exclusive information ΔσΔΚ / Δ] ι correction seam Teaching with righteous database connected node model information.,

元件模型的修正可以包含·異資訊aC/arml連結或附加到一個或 ^固電路描述程式,或觀巾。元賴韻修正亦可吨含湘具有偏異 資訊的資訊取代原有的電路描述程式中的資訊。在功能方塊125 中’韻異形狀時間預測程序1〇2根據元件及連結點資料庫模型的增強, 利用增強模型來產生積體電路所需的電子模型,同時進行積體電路模型的 時間分析。該時間分析結果包含但不限於電路模型的時間偏移差異M。根 據功能方塊126,該偏異形狀時間預測程序1〇2產出時間結果,其包含時間 偏移差異Δτ。 圖四係該偏異形狀時間預測程序1021的方塊圖,j其應用在產生一偏異 δΚ號以對應連接架構,根據一實施例。該偏異形狀時間預測程序1〇21接收 ® 一個或多個來自電路設計中,資料庫更換格式/設計更換格式(library exchange format,LEF/ design exchange format,DEF)檔案 402,一技術檔案 404 ’ 以及一標準寄生延遲格式(stan(Jard parasitic delay format,SPEF)檔案 ,406。该偏異形狀時間預測程序i〇2l亦接收一 μ檐案4Q8中,連結點的偏 . 異形狀資訊。資料庫更換格式/設計更換格式(library exchange format,LEF/ design exchange format,DEF)檔案402,技術檔案404,標準寄生延遲格式 (standard parasitic delay format,SPEF)檔案 406,以及 Ad 檔案 408 所包含的 資料,可代表一個或多個預先定義的積體電路範圍,或者整個積體電路設 計,但不以此為限。 該偏異形狀時間預測程序1021利用積體電路設計資訊以及偏異形狀資 15 1297446 ===二ΐ=Γ數41G代表電路參數的累進差異,以定 ^ \ °又°十、、中電路參數包含但不限於偏異電阻值ΔΪΙ與偏異電 錢異參數為持續麵有碰電麟計程序中被應用。該偏 日獨預測程序随亦可以利用偏異函數概,以產生一更新的瓣 二’以增強SPEF槽案406的内含資訊。增強spef標案406包括將 一多=10的貧訊對應、連接、或增加到SPEF權案獅之中,如上所述。 侧偏異日t間外形預測程序104輸出偏異形狀Δί1/Δί規則,參照上述圖一的 Α圖五係為該偏異時間外形預測程序104之流程圖,根據一實施例。通 偏異時間外形預測程序刚接收來自一個或多個電路模型的時間分 1之時間偏移誤差資訊Δτ,如同圖—的說明。在功能方塊⑷中,該 =時間外形預測程序將電路模型中,單及連結點之間的時間偏移誤 資料τ座所t的時間間隙分割區隔。在功能方塊143中’其產生偏移誤差Δτ 谷包含最大值與最小值之時間偏移誤差Δτ,以及各單元及/或連 結點的時間間隙分割區隔。 ΧΙ€ 次祖方塊144中,偏異時間外形預測程序104_時_移誤差^ 訊、4 、偏異電阻值ΔΚ、偏異電感值AL等各電路模型的偏異資 偏銘^利訂列的等式丨·7來表示。上述方法包含例如_—單元的時間 的m ΔΤ配口單疋貪料庫中對該單元的描述,來決定或計算該單元中 的偏異資訊。 5 7在力此方塊144中,偏異時間外形酬程序1〇4利用時間偏 Γ訊,取縣—連結點之咖偏移誤差Δτ中的偏異資訊 H卜"產生例如偏異電容值Δ(:、偏異電阻值腹、偏異電感值乩 專各卽點的偏異資訊。上述方法包含例如利用一連結點的時間偏移誤差 連結點資料庫中對該連結_描述及更新,來決定或計算該連結 點中的偏異資訊W△觀。在功能方塊145中,該偏異時間外形預測程序 16 1297446 對應各7L細及偏異雜,其分麟應各相解元或較 異貧訊△〇/△職,以產生偏異形狀Ad。本例例舉偏異時間外形預:程 104可由連結點結構$取得偏賤訊舰祖,其二 中定義的任何偏異資訊。 路权叶 圖六係為-整合式設計製程程序_的方塊圖,其包含偏異形 預測程序’及偏異時間外形預測程序,以應用在積體電路製程中,根據圖 圖二、圖三、_及圖五的實施例。本财整合式設計製程程序_ 顯不了在偏異形狀時間預測程序舰及偏異時間外形預測程序⑽與職 系統所包含_序6()2_626之間的特定耦合_。該偏異形狀時間預測程 102以及偏異時間外形預測程序1〇4的功能如說明書所述,亦可泉昭圖二及 圖五的進-步制。廳包含的鱗·626其魏與—般肪^ 統的功能相同,但不限於此。 ” 整合式輯製練序的各項程序會㈣原始的㈣庫纽,來產生呈 有偏異資tfU刪化單元:雜庫,賴給祖_程序。如麵述,妙 強或修正的元件觀可修正原始元件_,使其包含糾划之元件^ 異形狀Ad。其過程包含各元件模型化,以期能由偏異形狀中粹取出各 兀件的電容偏移誤差Δ(:。上述元件參數修正或更新特性的過程,利用了件 多原則’其巾之—是更騎性的元件與畴元碰型完全她。同時,更 新特性的元件參數亦與訊號延遲模型完全相容。其中訊號延遲可表示為 Signal Delay = k(Vdd/WIon)(Cin + C〇ut + Cwire). 而且’ s亥更新特性的元件參數提供電流守恒,根據下列電流表示式 沒極-源極電流(“Ids,,)n(w/L^[(Vg_Vt)Vds-(m/2)Vds2] 該修正的元件模型(或稱為IDMP元件模型)假設一在元件資料庫中 被使用的電晶體事實上並不具有單—的閘極長度。而且,該IDMp元件模 型即疋假设電晶體並不具有單一的閘極長度、 圖七係為一 IDMP元件模型的電晶體7〇〇方塊圖,其元件模型即具有 非單一閘極長度,根據一實施例。該例中的電晶體7〇〇假設其閘極71〇在 17 1297446 閘極長度具有一個不為零的偏移誤差,此假設是來自經驗資料。因此,閘 極710的閘極長度由自連結點端點7〇2算起約1〇〇nm(閘極長度偏移誤差Ad 約為+10nm)’以及自複晶矽端點7〇4算起約80nm(閘極長度偏移誤差Δ〇1約 為-10nm)。閘極長度偏移誤差μ為+i〇nm與⑽職如本例所示,且在閘 極長度的各處均會表現出來。該IDMP元件模型的應用並不限於具有上述 特性的電晶體。 IDMP元件模型亦可以將閘極長度偏移誤差對元件參數的影響置入 模型中。一般而言,因為閘極長度的不均勻性,IDMP元件模型假設一元件 包含許多因閘極長度偏移誤差Ad而產生的寄生電容。舉例而言,圖八係為 一 IDMP元件模型的電晶體700之閘極/接面電容方塊圖,根據一實施例。 該電晶體700包含一基版802,一源極區域804,一沒極區域806,一空乏 區域808 ’以及一閘極810。該模型中的寄生電容,舉例而言,包含至少一 個以上的閘極-源極電容(Cgs),閘極-汲極電容(Cgd),源極-基版電容(Csb), 閘極-基版電容(Cgb),以及汲極基版電容(Cdb)。The modification of the component model can include a different information aC/arml link or attach to a solid circuit description program, or a towel. Yuan Lai's correction can also replace the information in the original circuit description program with information that has a biased information. In the function block 125, the 'magic shape time prediction program 1〇2 uses the enhancement model to generate the electronic model required for the integrated circuit according to the enhancement of the component and the joint point database model, and simultaneously performs time analysis of the integrated circuit model. The time analysis result includes, but is not limited to, a time offset difference M of the circuit model. According to function block 126, the different shape time prediction program 1 产出 2 produces a time result that includes a time offset difference Δτ. Figure 4 is a block diagram of the skewed shape temporal prediction program 1021, which is applied to generate a skewed δ apostrophe to correspond to the connection architecture, according to an embodiment. The different shape time prediction program 1〇21 receives one or more from the circuit design, library exchange format (LEF/design exchange format, DEF) file 402, a technical file 404 ' And a standard parasitic delay format (SPEF) file, 406. The different shape time prediction program i〇2l also receives a partial file of 4Q8, the partial shape information of the joint point. Replace the format/design exchange format (LEF/design exchange format, DEF) file 402, the technical file 404, the standard parasitic delay format (SPEF) file 406, and the information contained in the Ad file 408. It can represent one or more pre-defined integrated circuit ranges, or the entire integrated circuit design, but not limited to this. The different shape time prediction program 1021 utilizes integrated circuit design information as well as biased shapes 15 1297446 = == 二ΐ=Γ41G represents the progressive difference of the circuit parameters, to determine ^ \ ° and ° ten, the circuit parameters include but not limited The divergence resistance value ΔΪΙ and the divergence electric money different parameter are applied in the continuous surface collision control program. The partial Japanese prediction program can also use the partial function summary to generate an updated flap II to enhance The information contained in the SPEF trough 406. The enhanced spef standard 406 includes the correspondence, connection, or addition of one more than 10% of the poor to the SPEF privileged lion, as described above. 104 output differential shape Δί1/Δί rule, referring to Figure 5 of the above Figure 1 is a flow chart of the different time shape prediction program 104, according to an embodiment. The pass-away time shape prediction program has just received from one or more The time offset of the circuit model is divided into 1 time offset error information Δτ, as illustrated in the figure. In the function block (4), the = time shape prediction program will misinterpret the time offset between the single and the connected points in the circuit model. The time gap is divided by the block of t. In function block 143, 'the offset error Δτ valley contains the time offset error Δτ of the maximum and minimum values, and the time gap partition of each unit and/or the joint point. Separate次€次祖块块144, the deviation time shape prediction program 104_时_shift error ^, 4, the differential resistance value ΔΚ, the differential inductance value AL and other circuit models are biased The equation is represented by 丨·7. The above method includes, for example, the description of the unit in the m ΔΤ Τ 疋 疋 时间 时间 时间 单元 单元 单元 单元 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 5 7 In the force block 144, the deviation time shape compensation program 1〇4 uses the time-biased signal, and takes the bias information H of the county-connection point coffee offset error Δτ" to generate, for example, a bias capacitance value. Δ(:, the value of the differential resistance value, the differential inductance value, and the deviation information of the specific point. The above method includes, for example, using a time offset error of a joint point in the joint database to describe and update the link. To determine or calculate the divergence information W△ in the connection point. In function block 145, the divergence time shape prediction program 16 1297446 corresponds to each 7L fine and partial miscellaneous, and the sub-phase should be decomposed or compared. The heterogeneous message △ 〇 / △ position, in order to produce a different shape Ad. This example exemplifies the biased time shape pre-process: the process 104 can obtain the partial information of the singularity of the ship's ancestor, the second definition of any deviation information. The road right leaf diagram is a block diagram of the integrated design process program _, which includes a partial shape prediction program' and a skewed time shape prediction program for application in the integrated circuit process, according to Figure 2 and Figure 3. _ and the embodiment of Figure 5. This financial integrated design process _ It is not possible to predict the specific coupling between the program ship and the skewed time shape prediction program (10) and the system included _order 6() 2_626. The different shape time prediction process 102 and the deviation time shape prediction program The functions of 1〇4 are as described in the manual, and can also be carried out in the two-step system of Quanzhao Diagram 2 and Figure 5. The scales included in the Hall·626 are the same as the functions of the “General”, but not limited to this. ” Integration The various procedures for the sequence of training will be (4) the original (four) Cunui, to produce the tfU de-encryption unit: the miscellaneous library, the Laizu _ program. As the face, the Miaoqiang or the modified component view can be corrected. The original component _, which contains the sculpt component ^ different shape Ad. The process includes modeling each component so as to be able to extract the capacitance offset error Δ of each component from the different shape (: the above component parameter correction or The process of updating the characteristics utilizes the multi-principle principle 'the towel' is the more riding component and the domain element type is completely her. At the same time, the component parameters of the updated feature are also fully compatible with the signal delay model. The signal delay can be expressed. For Signal Delay = k(Vdd/WIon)(Cin + C〇ut + Cwire). And the component parameters of the 's-new update feature provide current conservation. According to the following current expression, the immersion-source current ("Ids,,) n(w/L^[(Vg_Vt)Vds-( m/2)Vds2] The modified component model (or IDMP component model) assumes that a transistor used in the component library does not actually have a single gate length. Moreover, the IDMp component model is疋 Assume that the transistor does not have a single gate length, and Figure 7 is a transistor 7〇〇 block diagram of an IDMP component model, the component model having a non-single gate length, according to an embodiment. The transistor 7〇〇 assumes that its gate 71〇 has a non-zero offset error at the gate length of 17 1297446. This assumption is derived from empirical data. Therefore, the gate length of the gate 710 is about 1 〇〇 nm (the gate length offset error Ad is about +10 nm) from the end point 7 〇 2 of the junction point and the end point of the polysilicon 〇 7 〇 4 It is about 80 nm (gate length offset error Δ〇1 is about -10 nm). The gate length offset error μ is +i 〇 nm and (10) as shown in this example, and is expressed everywhere in the gate length. The application of the IDMP component model is not limited to transistors having the above characteristics. The IDMP component model can also place the effect of gate length offset error on component parameters into the model. In general, because of the non-uniformity of the gate length, the IDMP component model assumes that a component contains many parasitic capacitances due to the gate length offset error Ad. For example, Figure 8 is a block diagram of a gate/junction capacitance of a transistor 700 of an IDMP component model, in accordance with an embodiment. The transistor 700 includes a substrate 802, a source region 804, a gate region 806, a depletion region 808', and a gate 810. The parasitic capacitance in the model, for example, includes at least one gate-source capacitance (Cgs), gate-drain capacitance (Cgd), source-base capacitance (Csb), gate-based Plate capacitor (Cgb), and the drain base capacitor (Cdb).

Using these parasitic capacitances, the IDMP model assumes an input capacitance (4Cin55) of the device to be approximately [Using these parasitic capacitances, the IDMP model assumes an input capacitance (4Cin55) of the device to be approximately [

Cin = Cgs + Cgd + Cgb.Cin = Cgs + Cgd + Cgb.

The IDMP model also assumes an output capacitance (uCout55) of the device to be approximatelyThe IDMP model also assumes an output capacitance (uCout55) of the device to be approximately

Cout = Cdb + Cgd. 利用這些寄生電容,IDMP模型假設一輸入電容Cin可以被表示為 Cin = Cgs + Cgd + Cgb. 該IDMP模型同時假設一輸出電容Cout可以被表示為 Cout = Cdb + Cgd 由此例中尚可發現,該電晶體700的IDMP模型利用由均勻性的閑極 長度模型與寄生電容模型而產生的閘極長度偏移誤差Ad資訊,可以增強單 元資料庫的元件參數。 18 1297446 圖九例示一具有深次微米(約l〇〇nm左右)閘極長度之電晶體經修改後 的元件模型參數900,根據一實施例。該電晶體的閘極長度偏移誤差△(1被 用以修正元件模型900的參數,包括有效通道長度,導通電壓,Cgd/Cgs 重疊電容值、輸入電容值、輸出電容值以及其他種種參數。該閘極長度偏 移誤差Ad可以被用以修正其他應用到該元件的元件模型的參數。 圖十A與圖十B係關於閘極長度偏移誤差Ad在元件操作的影響。圖 十A係一整合式設計製程程序之電晶體模型的訊號延遲1〇1〇對應閘極長度 偏移誤差1020之曲線圖,根據一實施例。圖十β係一整合式設計製程程序 之電晶體模型的飽和電流1012對應閘極長度偏移誤差1020之曲線圖,根 據一實施例。 除增強單元資料庫外,整合式設計製程程序的其他程序亦利用修正原 始EDA系統,使其包含設計中連結點的偏異形狀Δ〇1/Δί,而產生修正的連 結點資料庫,如上所述。該修正含將連結點模型化,以使其能自每一連結 點中,由對應的偏異形狀Ad/At粹取一個或多個電容偏移誤差Δ(:、電阻偏 移誤差AR、以及電感偏移誤差AL資料。 連結點參數(連結點資料庫)修正或更新特性由广IDMp連結點模型 開始。 ^ 、 圖十-係為-IDMP連結點模型謂的橫切_,根據—實施例。該 連結點模型1100包含-金屬導線觀,其具有一尺寸d與厚度t。該金屬 導線11〇2與至少-個在元件中位於同一層的要件11〇4田比連。該金屬導線 1102與該要件11G4彳目距-距離s,射該要件可為任何元件、連結點、及/ 或其他電路設計可狀結構。_金屬導線11G2 _要件1刚之間 生一個耦合電容Cc。 胃 除了與同 -層的要件1104毗連外,該金屬導線11〇2亦與晶片中另一 層1112錢。該連接層1112可以是電路設計中的任—層或者基版。接地 容cg即是該金屬導線11G2與該連接層1112相連放置產生的結果。 參照該IDMP連結點模型議,整合式設計製程程序包含且發展出— 19 1297446 套描述積Μ路設計t偏異雜AdMt與時間偏移誤差^嶋的功能集。 其兩者間的關係包含在電路設計中利用偏異形狀△迦以產生時間偏移誤 .差Δτ(如前所述之偏異形狀時間預測程序),以及利用時間偏移誤差Δτ以產 •.生偏異形狀(如前所述之偏異時間外形預測程序)等。連結點的偏異形 '狀Μ/Δί包含導線1102的尺寸d之偏移誤差Δ(1,以及導線11〇2的厚度t 之偏移s吳差At。整合式設計製程程序的程式集假設製成產生的偏異形狀 △相對於導線的實際尺寸d/t是微小的,此乃根據弱微理論(_ perturbation theory)而得。 • 上述功能集為類線性功能群(_丨4_ functions),其描述偏異形狀 △d/At與電阻偏移#差ar(或稱為累進寄生連結點電阻从)及電容偏移誤差 △C(或稱為累進寄生連結點電容⑹的關係。假設連結點的總電阻值包含耦 合電容Capacitance及接地電容Cg,則 C = Cc + Cg (等式 1) 整合式設計製程程序在描述偏異形狀電阻偏移誤差ar的關係 時,可表示為 -At/t - Ad/d (等式 2) f ㈤日寺’整合式设計製程程序在描述偏異形狀爐义及電容偏移誤差 _ 的關係時,可表示為 、 AC/C ^ (Cc/C)(At/t) + (Cc/C)(Ad/2s) + (Cg/C)(Ad/d) (等式 3) 、 _ 上述整合式設計製程程序利用修正這些關係,以在其中更包含一個或 、者多個調變因素或變數。這些調變因數,可表示為K1,〇,〇,以與冗5, 其可調變任何關微擾引起的錯触應,以及在_合電容㈣咖議上增 加的額外電容。上述偏異形狀與電阻偏移誤差AR(等式2)中的修正 關係,在考慮調變因素後可表示為 △R/R二-kl(At/t)-k2(Ad/d) (等式 4) 同時上述偏異形狀AdMt與電容偏移誤差(等式3)中的修正關係, 20 1297446 在考慮調變因素後可表示為 AC/C ^ k3(Cc/C)(At/t) + k4(Cc/C)(Ad/2s) + k5(Cg/C)(Ad/d)(等 式5). 以電容偏移誤差AC為例,在一電路模擬(例如由SPICE模擬產生)中比 較等式4及等式5的結果,可得到電容偏移誤差(歸一化後)及金屬導線 尺寸d的微擾M(表示為一尺寸d的百分比)之間的關係為接近線性的關係。 圖十二係為一歸一化電容偏移誤差AC 1210對應連結點微擾△(! 1220 在一 d尺寸的百分比之曲線圖12〇〇,根據一實施例。同樣地,在一電路模 擬中比較等式4及等式5的結果,可得到電容偏移誤差aC(歸一化後)及金 屬導線尺寸t的微擾At(表示為一尺寸t的百分比)之間的關係亦為接近線性 的關係。 圖十二係為一知一化電容偏移誤差AC 1310對應連結點微擾1320在 一 t尺寸的百分比之曲線圖丨3〇〇,根據一實施例。上述程式集亦描述了偏 異形狀Ad/At與時間偏移誤差Δτ的關係。利用與上述同樣的假設,且參照 等式1-5,整合式設計製程程序描述了時間偏移誤差Δτ與電阻偏移誤差 及電容偏移誤差AC的關係,其可表示為 丨 Δτ/τ ^ AR/R + AC/C (等式 6). 將等式4及等式5代入等式6中,可得 AR/R + AC/C = [k3(Cc/C) - kl]( Δτ/τ) + k4(Cc/C)(M/2s) + [k5(Cg/C) - k2](Ad/d)(等式 7) 在-電路模擬中比較等式6及等式7的結果,可得到時間偏移誤差^(歸 —化後)及金屬導線尺寸d的微擾Δ(1(表示為-尺寸d的百分比)之間的關係 亦為接近線性的關係。 ' 圖十四係為一歸一化時間延遲1410對應連結點微擾Δ(1142〇在一 d尺 寸的百分比之曲線圖1400,根據一實施例,上述的等式^例示了在一實 施例中,在偏異流程内使用程式集以描述偏異形狀、偏異時間、偏異電容、 偏異電阻、以及偏異電感的關係。然而,其他尚有許多不同的功沪,例士 21 1297446 高階方程式功能,以及許多不同功能的組合可以依照如同本說明書的揭露 方式被應用在其他的實施例中。 • 圖十五A,圖十五B,圖十五C係一經過偏異參數粹取後的連結點結構圖 • - 15〇〇,根據一實施例,該連結點結構1500八包含一金屬電源網柵(metalp〇wer • srld)1502,其透過兩個通孔(via)1506連接到一金屬電源供應導線15〇4。該 電源供應導線1504具有一尺寸d以及一厚度尺寸t。該電源供應導線15〇4 在相同層中具有第一鄰近結構1510以及第二鄰近結構152〇。該第一結構 1510具有一尺寸dl,以及一厚度尺寸t,並且與電源供應導線15〇4相距一 • 距離Sl。該第二結構1520具有一尺寸心,以及一厚度尺寸t,並且與電源 供應導線1504相距一距離S2。 圖十五A顯示在偏異參數粹取之前的連結點結構15〇〇A。在偏異參數 粹取程序前,該連結點結構1500A具有約十個子節點,或改變區域(此⑽狀 area)1530。 圖十五B顯示在利用偏異形狀資訊更新連結點形狀之後的連結點結構 1500B。在利用偏異形狀資訊更新連結點形狀之後,該連結點結構15麵具 有約四十個子節點,或改變區域153〇。 : 、 i 圖十五C顯示一端點視圖的連結點結構1 $⑻◦(連結點結構 • 15〇ΟΑ/15〇ΟΒ的端點視圖),其具有尺寸參數以及相對應偏異形狀,以供偏 異電容粹取利用。根據說明書中的偏異形狀時間預測程序,可依據下列等 式8及9粹取偏異電容 、 • ^ = (ec/況)^ + 0C/3sl)Asl + (5C/3dl)Adl + 0C/3s2)As2 + 、 (况舰2)Ad2 + (3C/3t)At (等式 8) 及 △C = C(d + Ad,si + Asl,dl + Adl,s2 + As25 d2 + Ad2) - C(d5sl5dl3s2?d2)(等式 9)· 等式8及9代表-個偏異電容粹取的實施·其他偏異魏,例如偏 異電阻及偏異電感,亦可赠粹取;且不_魏,例如高階方程式功能, 22 1297446 及不同的功能組合可以依照說明書中其他描述被進行。 上述連結點結構15〇〇的分柄,gg _ _用到#顯不在電路設計中,當偏異形狀資訊被 型的積體電路流程而言,在處理效率 計流程在面臨新增加的特數顯著的«,因為典型的設 部的資冗。 > 数及偏異訊息時,需要整體性地重新處理全 路設計流程不同,前述所提的偏異流程(包含偏異形狀時 H1外形_程序)利用—累進流程,其利用設計中盘 ==偏Γ訊來重新特性化—積體電路設計。該偏異流程提: 無須產生新的特性參數,亦無須重新處理而 婁:,哪也提供偏異資訊輸出’該偏異流程減低了‘計程序中:: =庫=:庫===電_~ =度,因其減低(或消除)許多在設計流程中通常需要被執行的檢^^ 更齡,該偏„歡_卜魏的:纽分 理,而不需要在代人—個或者多個參數之後,重新處理整個電路 因此其可增加積體電路設計程序的效率、逮度與精確性。 前述的連結點模型說明,允許針對各節點的偏異形狀△她資訊, 局中各連結點或連結點區域的一個或者多個偏異電容△ 及/或偏異電感处。該偏異資訊μ、AR影或AL亦 =增強娜频 enh_d eha_rizatiGn pa_㈣_自奸^ ㈣_deh_terizati()np__)。騎緋时數可吨含 ^ 點的電容、餘、及/或電叙新雜。該辦雜錄亦可以= △C^RML,讀應麟修正連馳的絲雜錢,料祕連^ 的電容、電阻、及/或電感之新數值。 狄逆、、,口點 根據圖二及圖王’該偏異形狀時間預測程序1〇2或其他整合式設計穿 23 1297446 知私序内的权序,會由各連結點對應的偏異形狀中粹取出△◦,△&, 及/或AL的偏異資訊。其會利用直接計算粹取程序(此⑽eaiculati如 extraction process)或外推式粹取程序㈣如㈣说⑽從加也仙抑⑶⑻來粹取 •偏異貧訊AC ’ AR,及7或AL,下文針對粹取程序進行說明,但不以此為限, 、其他實施例亦可利用其他粹取程序來粹取資訊。 直接汁算粹取程序減少或者消除積體電路製造程序中對於單元資料庫 貝訊的依職,其藉由根據偏異形狀Δ_直接計算偏異參數資訊 △C/ARML及/或偏異時間^。藉由直接計算粹取程序,整合式設計製程程 序在偏異形狀與至少-個偏異資訊Δ(:,从,及域&之間,形成_ 個«個關係或功能方程式。例如,在偏異形狀時間預測程序ι〇2中,根 據每-個賴闕偏獅狀规t,以及料μ7,來直接計算每—個連結 ^的偏異貝’ AR,及/或^。在另_個實施例中,其偏異形狀時間預 :程序亦可藉由-個或者多個查詢表,查詢每—連結點的偏異資訊^, 、及/或AL,其中該連結表可藉由根據每一個連結點的偏異形狀△囊,, 以及等式I-7,來直接計算每—個連結點的偏異資訊hr,及域此。 庫中键點祕,來粹取偏異資訊Ac,ar,及/或虬。 圖十六係為-外赋粹_賴_ 16GG,狀_ 正後參數,根據-實_。該録t / l錢點的修 利用值m ± 推式v取程序1600在功能方塊_中, 異形狀纖,以及確&實體電路°又5十佈局描述的對應偏 ^ 騎。^雄局的連結點,由關始粹取程序。 △_ίϋΓ摘麵轉在魏㈣16ig巾,依序麵每—連结點的 △_偏異錄。該依縣 連、、。點的 狀對應的連結點祕m Λ θ依序表不多_。其包含對設計形 y ” ’ 生向$以代表採樣點偏異形狀Adβ 偏異形狀時間預測程序轉譯 廣加的向里。該 輪廓』㈤喝。♦麟成—多綱點,討表示為一 圖十七係為一多邊形連結 點Π00的方卿,根據—實麵。該偏異形 24 1297446 狀時間預測程序藉由修正—區間的原始尺寸(DC))或部分原始❹邊形 結點175G,將該連結點多邊形化(即在端點A與端點b中間的結構)。該修 正利用尺寸偏移誤差Μ(向量,而。rs)來形成新的連結點 1 = 尺寸(Ad輪廓)。 /、,、頁新的 以連、(點17GG為例’其依序表示的多邊形起始於該連結點的第一區間 ’其中偏異形狀時間預測程序藉由增加一對應的尺寸偏移誤差+純, 來修正該第—區間_的絲尺寸d〇,以形成該第—區間1期的一新尺 寸〇卜多邊形程序接著在連結點的第二區間麗作用,其中偏異形狀時 間預測程序藉由增加-對應的尺寸偏移誤差.,來修正該第二區間⑽ 的原始尺寸DO ’以形成該第二區間⑽的—新尺寸D2。多邊形程序一 在連結點的第三區間17〇3作用,其中偏異形狀時間預測程序藉由增加 應的尺寸偏移誤差·3,來修正該第三區間測的原始尺寸d〇 ,以 該第三區間Π〇3的-新尺寸D3。多邊形程序最後在連結點的第四區間膽 作用,其中偏異形狀時間預測程序不修正該第四區間17〇4的原始尺寸 因其對應的尺寸偏移誤差為零。 在功能方塊議中,其已完成多邊形程序(回到琴^的程序),另在功 能方塊1612中,該偏異形狀時間預測程序重新得到對應於—個或者多個原 始連結點區間(非多邊形化)及其連結點資料庫的原始特性參數(電容、電阻 及/或電感)。該重新得到原始特性參數的程序包含,例如,利用一個或多個 檢視表以取_«訊,但其他相經由—賴庫或其他來源取回資訊的 方式亦可應用在其他實施例中。 在功能方塊1614中’該偏異形狀時間預測程序由一個或者多個原始連 結點區間與修正連結點區間的比較所得之資訊,重新取回原始特性參數, 而後顧其以產生狂·參數赌顧在麵雜储的連結點區間。 該比較程序包含’如針七所示,比較„原始連結點區間d〇與—對應Μ 輪廓區間的尺寸m。該偏異形狀時間預測程序利用比較的資訊(亦即尺寸的 差異(D0-D1))來外推對絲正錢結點祕正雜性錄(電容、電阻及/ 25 1297446 或電感)。該修正參數可以由原始電容、電阻及域電感外推或者内插得 但其他實施例可以利用額外的及/或替代的原始連結點資訊以取得或者外推 新參數。 • 纟功能方塊1616巾,職異形狀時間綱程序產生-修正連結點資料 •庫。其包含利用修正後的連結點參數修正連結點模型的資料,來;朴 連結點資料庫的資訊。修正連結點資料庫亦可包含將偏異資訊 增加到-個或者㈣電路描賴針。其亦可包含_包含新的偏里 △CMRML的資料,來取代—個或者多個原始電路描述檔案。更進一^欠 正連結點資料庫亦可包含彻相·正後連結點的全新數值之電容^電^ 及/或電感,來取代-個或者多個電路描述檔案的資料。 ,包含偏狀日_靡序峨偏異_卜卵測程序的 偏異'饥程程序’可以減低或者消除對電路製造流程的私資料庫的依賴 度’其错由利用偏異形狀資訊,來提供直接決定特性參數 特 性參數以達成其目的。在設計製造流程中的各個分段點,如果立十^寺 =算粹取方式來取代外推粹取方式,則職及/或其他設計製造系统即可 射异之偏異函Ϊ的粹取程序,及/或外推粹取程序,因其系統 /…Ρ刀可以利用直接計算偏異資訊方式重新取回資訊,以替代檢視方 式的重新取回資訊程序。 參照圖一、二、三、五、丄爲丄丄 jcr 上減探哭nu Γ 十/、’知作這些程序至少需要在一個以 上的處理訂欠到控制,但不限於此。一般習於此技藝者,可在 明的流程圖與詳細說明下,創造原士 x 眾力口石馬政碼、程式邏輯陣列或苴他利用 本發明的方法。根據這些流程圖的’、 記憶體,其亦為相關處理器的,可被儲存在非揮發 藉由實體連線或預先程式化到晶片中,例如EEPROM,半導體j 片或任何上述要件的組合,但不限於此。 他‘整t式:什製程程序,其包含可在-EDA電腦系統或者其 处系,、·先中執订的程序。這些程序可被具體實現為程式碼,而被儲存在 26 1297446 機器可讀取或電腦可讀取的錄魏域或者電腦緖元件巾 統的處理ϋ執行。 Μ㈣ 雖然有許多的電腦系統可以被用來使用整合式設計製程程序,圖十八 • · 整合式設計製程程序的電腦系統1咖示意圖,根據—實施 ^ 、Μ電^糸統1800 一般包含一中央處理單元(CPU),或中央處理器1802, 以,理錢與齡…做址/f龍流排麵_合龍CPU⑽2以交流 H -揮發記憶體1804(例如random access m_ ㈣⑴以儲存由副隨來的動態資訊及指令爾腦系t • ^倾者㈣光學儲存元件1臟,其_合_驗排⑽丨_存資料與 2 4儲存兀件或貧料儲存讀1808可包含一個或者多個可抹消磁性成 者光學儲存媒介,其為電腦可讀取的記憶體。揮發性記憶體_、非揮發 h己隱體⑽6、及/或儲存元件⑽8的各式組合,儲存了描述整合式設古十 製程程序中各程序的資料結構,但整合式設計製程程序並不限於被儲= 上述媒體之内。 該電腦系統18GG亦可包含至少—個以上的光學顯示元件18ω。其輛合 到匯流排18G1以顯示資訊給電腦系統麵的使用者^該電腦系統獅二 -個實關亦可包含-個或者多個絲輸人元件⑻2,其耦合到匯流排 春180卜以供傳遞選擇給CPU18〇2的資訊與指令。另外,該電腦系統麵 亦可包含-個光學游標控制或指向元件1814,其麵合到匯流排職以傳遞 使用者選擇輸入到CPU 1802的資訊與命令。該電腦系統麵亦可包含二 .個或者多個光學訊號轉換元件1816(例如傳遞器、接收器、數據機等),其 、 耦合到匯流排1801以與其他電腦系統提供溝通介面。 八 本說明書中敘述之製造積體電路的系統及方法,包含一方法,其利用 -個或者多個功能性偏異參數以及該連結點至少„_尺寸偏移誤差的電 路設計中,對應至少-個連結點而直接產生累進偏異參數的方式。其中該 偏異參數包含一個或者多個能夠特性化該連結點的電子參數中,各表數的 差異資訊。 " 27 ^97446 本說明書中敘述之製造積體電路的系統及方法,包含一方法,其利用 • 至^ —個連結點的尺寸偏移誤差以及一個或者多個特性化該連結點的電子 參數之預蚊義的資訊的電路糾中,對應至少—個連結點而直接產生累 ,·進偏異參數的方式。其中該偏異參數包含—個或者多個能夠特性化該連結 、點的電子參數中,各參數的差異資訊。 本說明書中敘述之製造積體電路的系統及方法,包含一方法,其利用 、固或者夕個功此性偏異參數以及該元件至少一個的尺寸偏移誤差的電路 =計中,對應至少一個元件而直接產生累進偏異參數的方式。其中該偏異 • 參數包含一個或者多個能夠特性化該元件的電子參數中,各參數的差異資 tft 〇 ^ 本說明書中敘述之製造積體電路的系統及方法,包含一方法,其利用 至夕-個7L件的尺寸偏移誤差以及—個或者多個特性化該元件的電子參數 =員先域的資訊的電路設計中,對應至少-個元件而直接產生累進偏異 二數的方式。其巾該偏異參數包含—個或衫個能夠特性化該元件的電子 4數中,各參數的差異資訊。 本說明書中敘述之製造積體電路的系統及方法,j包含一方法,其包含 接枚-包含許多元件以及連結關電職計;卿線路中的尺寸差異來預 • 剛線路設計中至少一個的累進偏異參數以及累進時間差異,其中該偏異參 數包含-個或者多個能夠特性化至少—個元件以及連結點轉數=差異資 訊;以及整合至少-個線路設計巾的尺寸差異、累進偏異參數、二及 * 累進時間差異,修正該線路設計。 ‘ 上述之方法更包含湘至少—個尺寸差異、累進偏異參數、以及累進 時間差異,來產生一個電路設計的模型。 *上述之方法更包含至少-個尺寸差異、累進偏異參數 '以及累進 %間差異,來增強至少一個元件以及連接點的模型之資訊。 上述之方法更包含增加至少-個尺寸差異、累進偏異參數、以及累進 時間差異到-個或者以上的線路描述中,其中該線路描述係具有至少二個 28 1297446 圖像資料表示,以及一文字槽案表示。 上述之方法更包含利用包含至少一個尺寸差異、累進偏異參數、以及 累進時間差異的資訊,來更弃斤一個或者以上的線路描述中的相關資訊部分。 -· 上述之方法更包含利用至少一個尺寸差異、累進偏異參數、以及累進 _時間差異,來重新特性化至少一個元件以及連結點。 上述方法中的預測方式更包含利用尺寸差異,由至少一個元件以及連 結點中粹取累進偏異參數。該粹取方式包含將該尺寸差異對應到線路設 計。該粹取方式更包含至少-個以上的在尺寸差異以及㉟異參凄丈之間形成 _ -個或者多個功能性關係;以及直翻用尺寸差異以及該功能性關係產生 該偏異參數。 ” 上述方法中的累進偏異參數包含可特性化至少一個元件、連結點、以 及一個或者多個連結點區間的電路參數之累進差異。 , 上述方法中的電路參數包含至少一個電阻、電感、電容、接面電容、 閘極-源極電容、閘極-汲極電容、源極-基版電容、閘極基版電容、汲極_基 版電容、以及有效閘極長度。 土 ,上述方法巾的綱尺寸差異方式更包含至少_擎上的利用累進時間 差異以及70件及連結點間的間隙延遲時間,來決定間隙延遲時間。該方式 ^ 更包含利職分闕間隙時間延遲,以產生鮮進偏異參數對應到至少一 個元件以及連結點。 上述方法中的電路設計修正更包含利用該預測的累進偏異參數來產生 • 該尺寸差異規則。 / 、 该累進時間差異包含電路的訊號傳遞延遲。 上述方法更包含由一電路的形狀驗證分析中,取得該尺寸差異。 整合至少—個尺寸差異、累顿異參數、以及帛鱗間差異的方法包 含將至少-個尺寸絲、驗偏異錄、以及帛鱗間差賊應到一實體 的電路描述。該實體描述係至少一個圖像資料,以及一文字檔案。 本說明書中敘述之製造積體電路的系統及方法,包含—方法,其包含 29 1297446 至少一個以上的接收包含複數元件以及連結點的電路設計;接收對應於該 元件與連結關尺寸差異;·該尺核異粹取元件以及連結點中的累進 偏異參數,其中雜異操數包含-個或者多個能特性化至少—個以上元件 • •以及連結點的差異魏:湘至少—個尺寸差異錢偏異參數,以產生該 .設計的累鱗間差異;以及_該時縣異,喊生對應該設計的偏異參 數0 本說明書中敘述之製造積體電路的系統及方法,包含一整合的設計製 ie方法’其包含至少一個以上的接收包含複數元件以及連結點的電路設 # 计,利用兀件以及連結點的尺寸偏移誤差,來達成元件模型以及連結點模 型的累進修正,利用修正的元件以及連結點模型來模型化該積體電路;利 用該模型產生電路的時間偏移誤差資訊;利用該時間偏移誤差資訊,產生 元件以及連結點的偏移誤差;以及利用該元件以及連結點的偏移誤差,以 產生元件以及連結點的尺寸偏移誤差規則。 本說明書中敘述之製造積體電路的系統及方法,包含一整合的設計製 造方法,其包含至少一個以上的接收包含複數元件以及連結點的電路設 計;利用增強的元件與連結點模型,同時依據該電陶設計,產生一電路模 型,其中該增強的元件及連結點模型,整合了至少一個以上的由元件以及 ® 連結點的尺寸偏移誤差得來的電容偏移誤差、電阻偏移誤差、以及電感偏 移誤差;利用該電路模型產生時間偏移誤差資訊;以及利用該時間偏移誤 差資訊,產生可控制該元件以及連結點之尺寸誤差的規則,其中該規則整 • 合了至少一個以上的由電路模型的時間偏移誤差資訊得來的電容偏移誤 . 差、電阻偏移誤差、以及電感偏移誤差。 本說明書中敘述之製造積體電路的系統及方法,包含一系統,其包含 至少一個以上的透過電子方式處理的手段;以及透過電子方式儲存資訊的 手段;接收一包含許多元件與連結點的電路設計的手段;利用對應電路設 計的尺寸差異,來預測至少一個線路設計的累進偏異參數及累進時間差異 的手段,其中該偏異參數包含一個或者以上的參數,其能特性化至少一個 30 1297446 以上的元件以及連、纟。點,彻累進時間差異來制電路設計尺寸差異 段;以及·整合至少-個電路設計的尺寸差異、累進偏異參數^ 進時間差異,以修正電路設計的手段。 及系 上述之系統更包含_至少—個尺寸差異、累進偏異參數、以及累進 時間差異,來產生一個電路設計的模型的手段。 上述之系統更包含至少—個尺寸差異、g進偏異參數、以及累進 時間差異,來增強至少-紙件以及連接點的模型之資訊的手段。、 上述之系統更包含增加至少-個尺寸差異、累進偏異參數、以及累進 時間差異纟Η目或扣上的線職財辭段,射該線職述係具有至 少一個圖像資料表示,以及一文字檔案表示。 上述之系統更包含利用至少-個尺寸差異、累進偏異參數、以及累進 時間差異,來重新特性化至少一個元件以及連結點的手段。 上述之用以預測系統的手段更包含一利用該尺寸差異粹取累進偏異參 數的手段。 上述方法中的累進偏異參數包含可特性化至少一個元件、連結點、以 及一個或者多個連結點區間的電路參數之累進差異。丨 上述方法中的電路參數包含至少一個電阻、電感、電容、閘極_源極電 谷閘極及極電谷、源極-基版電谷、閘極基版電容、以及汲極_基版電容。 上述方法中的預測尺寸差異系統更包含至少一個以上的利用累進時間 差異以及元件及連結點間的間隙延遲時間,來決定間隙延遲時間的手段。 該手段更包含利用該分隔的間隙時間延遲,以產生該累進偏異參數對應到 至少一個元件以及連結點的手段。 修正該系統的手段更包括利用預測的累進偏異參數來產生該尺寸差異 的規則的手段。 上述系統中的電路設計修正更包含利用該預測的累進偏異參數來產生 該尺寸差異規則的手段。 上述系統更包含由一電路的形狀驗證分析中,取得該尺寸差異的手段。 31 1297446 修正該祕辭段更包括將至少—個尺寸差異、驗偏異參數、以及 累進時間差異對應到一實體的電路描述 本說明書巾敘狀製造碰電路的⑽及方法,包含—元件,其包含 至少-個以上的接收包含複數元件以及連結闕電路設計的手段;利用電 路設計中對應的尺寸差異’來酬電路中至少—個社的累進偏異來數以 及累進時間差異的手段,其中該偏異操數包含—個或者多個能特性化至少 -個以上元件以及連結點的差異資訊;利„輯間差異來預測線路設計 的尺寸差異的手段;以及彻整合電路設种至少—個的尺寸差昱、累進 偏異參數、以及累進時間差異,以修正線路設計的手段。’、'' 本說明書中敘述之製造積體電路㈣'統及方法,包含—機料讀取媒 介’其包含可執行齡’可L線錄行,細容包含接收包含複數 兀件以及連結關鱗設計、_對絲路設計的尺寸轉,來酬電路 設計中至少—㈣進偏異參數以及累輯間差異,其中該偏異參數包含一 個或多個參數的差異資訊,其能特性化至少—個以上的元件及連結點、利 用該累進時難異來綱線路設計的尺寸差異、及域_整合線路設計中 至少-個尺寸差異、累進偏異參數、以及驗時間絲,來修正線路設計。 本說明書巾敘述之製造積體電路的系統及方法,包含__方法,其包含 至少-個以上的魏-包含複數元件以及連結闕電路佈局;接收對應元 件以及連馳的尺寸差異;尺寸絲,由至少—侃件以及連結點中 粹取累進偏異I數’其巾該偏異參數包含__個或者以上的參數之差異資 況’其此特性化至少-個以上的;^件以及連結點;以及姻至少一個以上 的尺寸差異以及偏異參數,來_至少—_上的元件以及連結點的 時間差異。 上述之方法更包含至少—個尺寸差異、累進偏異參數、以及累進 時間差異,來產生一個電路設計的模型。 粹取-實施例的累進偏異參數包含將尺寸差異對應到電路佈局中。 上述之方法更包含利用至少„個尺寸差異、累進偏異參數、以及累進 32 1297446 時間差異’來增強至少-個元件以及連接點的模型之資訊。 . 上述之方法更包含增加至少-個尺寸差異、累進偏異參數、以及累進 時間差異到-個或者以上的線路描述中,其中該線路描述係具有至少—個 .- 圖像資料表示,以及一文字檔案表示。 上述之方法更包含利用包含至少—個尺寸差異、累進偏異參數、以及 累進時間差異的資訊,來更新-個或者以上的電路描述標案中的相關資訊 部分。 上述之方法更包含至少-個尺寸差異、累進偏脖數、以及累進 φ 時間差異,來重新特性化至少一個元件以及連結點。 粹取-實關中紐偏異參_方歧包:;在該尺寸差糾及該偏異 参數中’形成-個或者多個功能性關連,及/或利用該功能性關連直接由該 尺寸差異產生偏異參數。 -實施例中連接點的的累進偏異參數包含線路參數㈣進差異,其特 性化至少-個以上的各連結點以及—個或者多個各連結點的區間。 一實施例的參數包郭至少一個電容、電阻、與電感。 —上述方法更包含利用累進時間差異,來決定間^遲時間,及/或分割 兀件及連結闕的_延遲時間。該方式更包含彻該分隔關隙時間延 • €,以產生該累進偏異參數對應到至少一個元件以及連結點。該方法更包 括利用該該分隔關隙時間延遲,以產生該累進偏異參數以對應至少一個 以上的連結點。該方法更包括利用產生的累進偏異參數來產生控制尺寸差 • 異的規則。 、 本說明書巾敘述之製造麵電路的系統及方法,包含—方法,其包含 接收-包含許多元件以及連結點的電路設計;整合至少—個以上的元件及 連結點的財差異職路設計巾;·尺指絲粹取至少—個以上元件 以及連結關累進偏異參數,其巾該偏異參數包含存在於—個或者多個能 夠特性化至少-個元件以及連結點的電子參數的差難訊;以及利用該累 進偏異參數資訊以達成時間分析,同時產生累進時間差異。 33 1297446 累進時間差異的時間結果。 個尺寸差異以及累進偏異參數,來產生一 上述方法更包含產生包含該 上述方法更包含利用至少— 可供電路使用的模型。 -實施例巾的累輯間差異包含電路巾的訊號傳遞延遲。 上述方法更包含*-f路的雜驗證分析資絲取龍尺寸差異。 述中整合-實施例中的尺寸差異包含將該尺寸差異對應到—電路的實體描 —-實施例的實龍述係至少—似上關像㈣麵政字槽案 $ 示。 ’、衣 上述方法更包含至少—個社的尺寸誤差、偏異參數、及時間差 異,來至少-個社元件収賴闕翻資訊。增航件模型資訊 包含附加-個或者多個尺寸差異、偏異參數、以及時異到—個或者 個電路描述獅。增強元件模型資訊包含利用含有至少—個以上的尺寸差 異、偏異參數、以及時間差異賴資訊,以替代原始電路描述職中的資 訊。增強連結點模型資訊包含利用至少一個以上的尺寸 、 以及時間差異,來重新特性化該連結點。 : i 粹取-f補巾的1進偏異參數更包含在尺寸絲収鋪參數之間 • 形成一個或者多個功能性關係,及/或決定參數間的差異,該差異能利用該 功能性關係,直接利用尺寸差異來重新特性化至少一個以上的元件以及連 結點。 • 粹取一實施例中的累進偏異參數更包含確認一個或者多個能夠特性化 至少一個以上元件及連結點的參數;及/或利用一個或者多個内插法以及確 5忍後的參數來形成該元件以及連結點的偏異參數,其中確認後的來數能特 性化至少一個以上的元件以及連結點。 一實施例中連接點的的累進偏異參數包含線路參數的累進差異,其特 性化至少一個以上的各連結點以及一個或者多個各連結點的區間。 一實施例中的電子參數包含至少一個以上的電容、電阻以及電感。 34 1297446 + 一件的電子4數包έ至4_個以上的閘極·源極電容,閘極4及極電 谷,源極-基版電容,閘極-基版電容,以及划亟基版電容。 • 本_書巾敘叙製造雜電_祕及綠,包含—整合的設計製 • ·= ·、方I其包含至少-個以上的接收包含複數元件以及連結點的電路設 ' 】用元件的尺寸偏m ’來達成元件模型的累進修正;利用連結點 勺尺寸偏移為差’來達成連結點模型的累進修正丨利用修正的元件以及連 結點模型來產生-積體電路的模型,·產生該模型的訊號傳遞延遲資訊;以 及利用該訊號傳遞延遲資訊來驗證該模型的形狀。 • σ上述方法更包含分割元件以及連結點之間的間隙延遲時間 ,其中該訊 號傳遞延遲資訊包含該件隙延遲時間;利用該分割之間隙延遲時間產生元 件偏移誤差;利用該分割之間隙延遲時間產生連結點偏移誤差;及/或利用 顧生的元件偏移誤差以及連結點偏移誤差,來產生可以控制該元件以及 連結點之尺寸偏移誤差的規則。 -實關巾的元件偏移誤差包含至少—個社的累進尺寸差異以及電 路參數中的累進差異,其中這些差異可以特性化各元件。 貫施例中的連結點偏移誤差包含累進尺寸誤差。 該賴點偏賴魏含電路參數㈣進隸,其能特性健少一個以 • 上的連結點以及—個或者多個連結點_間。該連結點偏移誤差亦可包含 至少-個上的電容偏移誤差、電阻偏移誤差、以及電感偏移誤差。 本說明書巾敘述之製造積體電路的系統及方法,包含—系統,其具有 ,至少-個社的透過電子方式處理的手段;以及透過電子方式儲存資訊的 -手段,接收-包含❹元件與連結點的電路設計佈局的手段;接收對應於 该7C件以及連結闕尺寸差制手段;彻該尺寸差異赠取至少一個以 上7〇件以及連結點之累進偏異參數的手段,其中該偏異參數包含一個或者 多個參數的差異資訊,其雜性魅少—個以上的元件錢連結點;以及 預測至少一個以上的元件以及連結點的累進時間差異,其利用至少一個以 上的尺寸差異以及偏異參數。 35 1297446 士上述之系統更包含利用至少一個尺寸差異、累進偏異參數、 %間差異,來產生一個電路設計的模型的手段。 ’、 上述之系統更包含將尺寸差異對應到電路佈局的手段。 士上述之系統更包含利用至少一個尺寸差異、累進偏異表數、以 &間差異,來增強至少—個元件以及連接點的模型之資訊的手段。’、 上述之系統更包含增加至少一個尺寸差昱累 時間差異到-個或者以上的線路描述段/進偏異參數、以及累進 上述之系統更包含包含至少—個尺寸差異、累 累進時間差異的資訊,來更新一個 異,數乂及 部分的手段。 *更新個或者以上的電路描述槽案中的相關資訊 時門=之!1 更包含利用至少—個尺寸差異、累進偏異參數、以及累進 時間差ί錢雜化至少—個元件以及連馳时段。 或八到述:利用累進岭間差異’來決定間隙延遲時間的手段,及/ 戈刀。1]7G件及連、、力點間的間隙延遲時間的手段。 _=系統更包含湘該分隔_隙時間輯,以產线累進偏異參數 對應到至少一個元件以及連結點的手段。 : 謂植更包括產生㈣顿異錄來產生控制異的規則 的手段。 本說明書中敘述之製造積體電路的系統及方法,包含H,立旦有 T-包含複數元件以及連結點的電路佈局的手段;接㈣應元件^連 。’:’占的尺寸差異的手&,棚尺寸絲’ Φ至少—個元件以及連結點中粹 取累進偏異參數的手段’其中該偏異參數包含—個或者以上的參數之差異 貧訊’其祕性化至少-_上的元件以及連結點;以及侧至少一個以 上的尺核異以及偏異參數’來_至少—個以上的元件以及連結點的累 進時間差異的手段。 該粹取-實施例的手段更包含至少—個以上的在尺寸差異以及偏異參 36 1297446 數之間形成一個或者多個功能性關係的手段;以及直接利用尺寸差異以及 該功能性關係產生該偏異參數的手段。 ‘ 上述之裝置更包含利用至少一個尺寸差異、累進偏異參數、以及累進 … 時間差異,來產生一個電路設計的模型的手段。 • 上述之裝置更包含將尺寸差異對應到電路佈局中的手段。 上述之裝置更包含利用至少一個尺寸差異、累進偏異參數、以及累進 時間差異’來增強至少一個元件以及連接點的模型之資訊的手段。 本說明書中敘述之製造積體電路的系統及方法,包含一機器可讀取媒 _ 介’其包含可執行指令,可被一處理系統執行,其内容包含接收複數個元 件以及連結點的線路設計、接收對應該元件以及連結點的尺寸差異、利用 尺寸差異’由至少一個元件以及連結點中粹取累進偏異參數,其中該偏異 參數包含一個或者以上的參數之差異資訊,其能特性化至少一個以上的元 件以及連結點、及/或預測至少一個以上的元件以及連結點的累進時間差 異,其利用至少一個以上的尺寸差異以及偏異參數。 麥照本說明書中敘述之製造積體電路的系統及方法,其可利用具有各 種力月匕的。午夕電路來貫現’包含可編程邏輯元件(pr〇g砰l〇gic devices (PLDS)) ’例如現場可編程邏輯閘陣列(field programmable gate arrays • (FPGAs)),可編程陣列邏輯(㈣抑麵^此array(pal))元件,電子化 叮、扁程邏輯及兄憶體元件及標準元件庫元件(standard cell-based devices),例 如特殊應用積體電路(ASIC)等。其他可以用來實現本製造積體電路的系統 - 及方法的方式包含:具有記憶體的微處理器,例如EEPROM,嵌入式微處 . 理器,韌體,軟體等等。 或者’本製造積體電路的系統及方法可以在一具有軟體庫之電路模 擬、離散邏輯、系統元件、神經邏輯、量子元件、以及上述各單元混和型 態的U處理器中被實施。另外基礎的元件製作技術也可被用來提供許多實 施的選擇,例如金屬氧化物半導體場效電晶體(MOSFET)技術,例如互補式 至屬氧化物半導體(CMOS),雙載子技術,例如射極|馬合邏輯,聚合物技術 37 1297446 (例如二氧化秒共輛聚合物及金屬共姆合物金屬結構等),以及混合式類比 數位技術等等。 - 其巾需注意的是,在不_系統以及方法巾,其内含的構成要素可以 -利用電_助設計工具,並且被表示為各觀腦可讀取媒介的資料或者指 、令的行為模型,暫存器轉移,邏輯元件,電晶體,佈局形狀,及/或其他特 ,電料以被實制格式與物體包含但不隱支援行為麵語言的格 ,例如C a吾吕,Venlog,及HLDL ;支援暫存器階層描述語言的格式, 例如RTL,以及支援形狀描述語言的格式,例如㈤犯,㈤观,咖ιν, Φ CIF,MEBES以及其他任何合式的袼式與語言。 魏各式化資料及/或指令的電腦可讀取媒介可包括但不限於各式非揮 媒介,例如光學、磁性或半導體儲存,以及可以透過無線、光學 或線傳《齡及其混合方絲傳送祕式蹄料及/或指令的載波。 舉例而a,卿紐來料這祕^化資/ 送(上傳、下載、電子郵件等等)及或其他透過一個或= 例如HTTP ’ FTP,SMTP等)的電腦網路。當一電腦系統透過電 二==介接㈣f訊時’上述各種記《統及方鋒的資料及/或指令可 =n纟斜的—處理實體⑽如—個或者多個處㈣)結 鲁轉㈣電難賴行,其包含料限 2 結程式及其_條式。 认紐“式' 佈局連 除麵,付败域,『包含』、『包 -為開放式語句,而細式語句;意即『包含二 .’ f』係涉及兩個或者以上的相關項目,其代表的意思為任何該 相關項目,與所有相關項目的組合項目。 〜制 盡於電路的系統及方法的各實施例並不表示限制或者窮 本製造積體電路的㈣及方、心關僅為例示性說明 電路的系统及大Γ 而非用於限制本製造積體 的糸統及枝。贿級此撤藝之人均可柄 38 1297446 路的系統及方法之技術原理及精神的情況下,對上述實施例進行修改及變 化。因此本製造積體電路的系統及方法之權利保護範圍應如後述之申請專 利範圍所列。 上述所揭露的各式物件與做動方式,可以被組合而成其他的實施例。 乂些關於製造積體電路的系統及方法的變化均可以依虹述轉細說明而 被完成。 下列的申請專利範圍不應被解釋為限制製造積體電路的系統及方法於 說明書揭露的實關中,而應被解釋為包含姻下列巾請專利範圍的所有 ^造積體電路的祕及方法。下列巾料利範騎決定的,是本發明所揭 露的製造積體電路的系統及方法的權利範圍,而非限制。 下列申請專利範圍揭露該製造積體電路的系統及方法的内容中,具有 觀點的統性。思即例如當該系統中的一個觀點被解釋需藉由機器可讀取 媒介實施時,其他觀點亦被解釋為需藉由雞器可讀取媒介實施。 【圖式簡單說明】 圖A係為整合式设計製程程序(Integrated Design_Manufactoing Process’IDMP)的方塊圖職,其中包含了一偏異轉,其包含一偏異形狀 日預撕4 1G2 ’及/或偏異時間外形預測程序刚,根據—實施例。 圖B係i合式没叶製程程序的方塊圖1〇〇B,其中包含了一偏異流 程,其應用在製造積體電路中,根據—實施例。 〃 圖-係整合式設計製程程序的另一方塊圖,其包含了一偏異流程, 其應用在製造積體電路巾,根據另—實施例。 圖三係一偏異形狀時間預測程序(InTime processes),根據一實施例。 圖四係該偏異形㈣間测程序的方塊圖,其顧在產生—偏異訊號 以對應連接架構,根據一實施例。 圖五係該偏異時間外形預測程序(InTentP_sses)之流程圖,根據一實 施例。 39 1297446 及圖五的實施例 Γ係—增強型整合式設計製程程序之元件模型的電晶 據一實施例。 圖八係整合式设計製程程序之元件模型的閘極/接面電容方塊 據一實施例。 " 圖九例示-經修改後的電晶體元件模型參數,根據一實施例。Cout = Cdb + Cgd.  Using these parasitic capacitances, the IDMP model assumes that an input capacitor Cin can be expressed as Cin = Cgs + Cgd + Cgb.  The IDMP model also assumes that an output capacitor Cout can be expressed as Cout = Cdb + Cgd. It can be found in this example that the IDMP model of the transistor 700 utilizes a gate generated by a uniform idle length model and a parasitic capacitance model. The extreme length offset error Ad information can enhance the component parameters of the unit database. 18 1297446 Figure 9 illustrates a modified component model parameter 900 of a transistor having a gate length of deep sub-micron (about 1 〇〇 nm), according to an embodiment. The gate length offset error Δ of the transistor (1 is used to correct the parameters of the component model 900, including the effective channel length, the turn-on voltage, the Cgd/Cgs overlap capacitance value, the input capacitance value, the output capacitance value, and other various parameters. The gate length offset error Ad can be used to modify the parameters of other component models applied to the component. Figure 10A and Figure 10B relate to the effect of gate length offset error Ad on component operation. The signal delay of the transistor model of an integrated design process program is 1〇1〇 corresponding to the gate length offset error 1020, according to an embodiment. FIG. 10 is a saturation of the transistor model of an integrated design process program. The current 1012 corresponds to a graph of the gate length offset error 1020, according to an embodiment. In addition to the enhanced unit database, other programs of the integrated design process program also utilize the modified original EDA system to include the bias of the joints in the design. The different shape Δ〇1/Δί, resulting in a modified joint point database, as described above. The correction includes modeling the joint point so that it can be from each joint point, by The biased shape Ad/At takes one or more capacitance offset errors Δ (:, resistance offset error AR, and inductance offset error AL data. The joint point parameter (join point database) is corrected or updated by The wide IDMp junction point model begins. ^, Figure 10 - is a cross-cut of the IDMP junction point model, according to the embodiment. The junction point model 1100 comprises a metal wire view having a dimension d and a thickness t. The metal wire 11〇2 is connected with at least one element 11〇4 in the same layer in the element. The metal wire 1102 is spaced from the element 11G4 by a distance ——, and the element can be any component or joint point. And / or other circuit design can be a structure. _ metal wire 11G2 _ element 1 just between a coupling capacitor Cc. In addition to the same layer of the same layer of the material 1104, the metal wire 11 〇 2 is also in the wafer A layer of 1112. The connection layer 1112 can be any layer or a base plate in the circuit design. The grounding capacity cg is the result of the metal wire 11G2 being connected to the connection layer 1112. Referring to the IDMP connection point model, integration Design process includes And developed - 19 1297446 sets of functional sets that describe the design of t-differentiation AdMt and time offset error. The relationship between the two includes the use of a different shape in the circuit design to generate a time offset. Wrong . Difference Δτ (precursive shape time prediction procedure as described above), and using the time offset error Δτ to produce. The shape of the deviation (the deviation time shape prediction program as described above) and the like. The skewed shape of the joint point Μ/Δί includes the offset error Δ of the dimension d of the wire 1102 (1, and the offset s of the wire 11〇2 s. The difference is At. The assembly hypothesis of the integrated design process program The resulting fractal shape Δ is small relative to the actual dimension d/t of the wire, which is based on the _ perturbation theory. • The above function set is a linear function group (_丨4_ functions), It describes the relationship between the skew shape Δd/At and the resistance offset # ar (or called the progressive parasitic junction resistance) and the capacitance offset error ΔC (or the progressive parasitic junction capacitance (6). The total resistance value includes the coupling capacitor Capacitance and the grounding capacitor Cg, then C = Cc + Cg (Equation 1). The integrated design process program can be expressed as -At/t when describing the relationship of the skew shape resistance offset error ar. - Ad/d (Equation 2) f (5) Riji's integrated design process program can be expressed as AC/C ^ (Cc/C) when describing the relationship between the differential shape and the capacitance offset error _ (At/t) + (Cc/C)(Ad/2s) + (Cg/C)(Ad/d) (Equation 3), _ The above integrated design process procedure Correct these relationships to include one or more of the modulation factors or variables in them. These modulation factors can be expressed as K1, 〇, 〇, and verb 5, which can be changed by any disturbance. The wrong contact, and the additional capacitance added to the _combined capacitor (4). The modified relationship between the above-mentioned different shape and the resistance offset error AR (Equation 2) can be expressed as ΔR/ after considering the modulation factor. R bis-kl(At/t)-k2(Ad/d) (Equation 4) Simultaneously with the modified relationship between the above-mentioned skewed shape AdMt and the capacitance offset error (Equation 3), 20 1297446 after considering the modulation factor It can be expressed as AC/C ^ k3(Cc/C)(At/t) + k4(Cc/C)(Ad/2s) + k5(Cg/C)(Ad/d) (Equation 5).  Taking the capacitance offset error AC as an example, comparing the results of Equation 4 and Equation 5 in a circuit simulation (for example, generated by SPICE simulation), the capacitance offset error (normalized) and the metal wire size d can be obtained. The relationship between the perturbation M (expressed as a percentage of a dimension d) is a nearly linear relationship. Figure 12 is a normalized capacitance offset error AC 1210 corresponding to the joint point perturbation Δ (! 1220 in a graph of the percentage of a d dimension, according to an embodiment. Similarly, in a circuit simulation Comparing the results of Equation 4 and Equation 5, the relationship between the capacitance offset error aC (normalized) and the perturbation At of the metal wire size t (expressed as a percentage of a dimension t) is also approximately linear. Figure 12 is a graph of the known capacitance offset error AC 1310 corresponding to the percentage of the joint point perturbation 1320 in a t-size, according to an embodiment. The above program also describes the bias. The relationship between the different shape Ad/At and the time offset error Δτ. Using the same assumptions as above, and referring to Equations 1-5, the integrated design process describes the time offset error Δτ and the resistance offset error and capacitance offset. The relationship of the error AC, which can be expressed as 丨Δτ/τ ^ AR/R + AC/C (Equation 6).  Substituting Equation 4 and Equation 5 into Equation 6, we obtain AR/R + AC/C = [k3(Cc/C) - kl]( Δτ/τ) + k4(Cc/C)(M/2s + [k5(Cg/C) - k2](Ad/d) (Equation 7) Comparing the results of Equation 6 and Equation 7 in the -circuit simulation, the time offset error ^ can be obtained. And the relationship between the perturbation Δ of the metal wire size d (1 (expressed as the percentage of the dimension d) is also a nearly linear relationship. ' Figure 14 is a normalized time delay 1410 corresponding to the joint point perturbation Δ(1142〇 is a graph 1400 of a percentage of a d size, according to an embodiment, the above equation exemplifies, in one embodiment, the use of a program within a biasing process to describe a skewed shape, a skewed time , the relationship between the bias capacitor, the bias resistor, and the bias inductor. However, there are many different functions of the Shanghai, the 21 212974 high-order equation function, and the combination of many different functions can be in accordance with the disclosure of this specification. It is used in other embodiments. • Figure 15A, Figure 15B, Figure 15C is a connection point structure diagram after the partial parameter extraction • 15〇〇, according to an implementation The junction structure 1500 includes a metal power grid (spld) 1502 connected to a metal power supply line 15〇4 via two vias 1506. The power supply line 1504 has a a dimension d and a thickness dimension t. The power supply lead 15〇4 has a first adjacent structure 1510 and a second adjacent structure 152〇 in the same layer. The first structure 1510 has a size d1 and a thickness dimension t, and It is spaced apart from the power supply lead 15〇4 by a distance S1. The second structure 1520 has a size core and a thickness dimension t and is spaced apart from the power supply lead 1504 by a distance S2. Figure 15A shows the parametric parameter The previous joint structure 15〇〇A is taken. Before the partial parameter extraction procedure, the joint structure 1500A has about ten child nodes, or the changed region (this (10) area) 1530. Figure 15B shows the use bias The different shape information updates the joint structure 1500B after the joint shape. After the joint shape is updated by the shape information of the different shape, the joint structure 15 has about forty child nodes, or the area 153 is changed. : , i Figure 15 C shows the joint structure of an end point view 1 $ (8) ◦ (the joint structure of the point • 15 〇ΟΑ / 15 端点 end view), which has size parameters and correspondingly different shapes for The biased capacitance is used. According to the biased shape time prediction procedure in the specification, the partial capacitance can be obtained according to the following equations 8 and 9, • ^ = (ec/condition)^ + 0C/3sl) Asl + (5C /3dl)Adl + 0C/3s2)As2 + , (State Ship 2) Ad2 + (3C/3t)At (Equation 8) and △C = C(d + Ad,si + Asl, dl + Adl, s2 + As25 d2 + Ad2) - C(d5sl5dl3s2?d2) (Equation 9) · Equations 8 and 9 represent the implementation of a biased capacitor. Other variants, such as bias and bias inductors, can also be used. Gifts are taken; and not wei, such as higher-order equation functions, 22 1297446 and different combinations of functions can be carried out according to other descriptions in the specification. The above-mentioned joint structure of the joint structure 15〇〇, gg___ is used in the circuit design, when the shape information of the shape is formed by the integrated circuit, the processing efficiency meter is facing a new special number. Significant «, because of the redundancy of the typical department. > The number and the deviation of the message need to be completely reprocessed. The above-mentioned divergent process (including the H1 shape_program in the case of a different shape) utilizes the progressive process, which utilizes the design disk = = partial symmetry to re-characterize - integrated circuit design. The deviation process mentions: there is no need to generate new characteristic parameters, and there is no need to re-process it: 哪: Where also provides the bias information output 'The deviation process is reduced' in the program:: = library =: library === electricity _~ = degree, because it reduces (or eliminates) many of the checks that are usually required to be performed in the design process, which is not necessary for generations or After multiple parameters, the entire circuit is reprocessed so it can increase the efficiency, accuracy and accuracy of the integrated circuit design program. The aforementioned joint model shows that the biased shape for each node is allowed, her information, the links in the office One or more of the polarization capacitance △ and / or the polarization inductance of the point or the joint point area. The deviation information μ, AR shadow or AL also = enhanced nano frequency enh_d eha_rizatiGn pa_ (four) _ self-being ^ (four) _deh_terizati () np__). The number of hours of riding can be ton of capacitance, remainder, and/or electricity. The miscellaneous record can also be = △C^RML, read Yinglin corrected Lianchi's silk miscellaneous money, material secrets ^ New values for capacitance, resistance, and/or inductance. Di, inverse, and dot according to Figure 2 and Figure The skewed shape time prediction program 1〇2 or other integrated design wears the order of the secrets in the private order, and the Δ◦, △&, and/or AL are extracted from the different shapes corresponding to the joint points. Biased information. It will use the direct calculation of the procedural process (this (10) eaiculati such as the extraction process) or the extrapolation of the procedural process (4) as (4) said (10) from the genius (3) (8) to extract the eclipse AC 'AR, And 7 or AL, the following describes the extraction process, but not limited to this, other embodiments can also use other mining procedures to extract information. Direct juice calculation program to reduce or eliminate the integrated circuit manufacturing process In the case of the unit database, it is calculated by directly calculating the deviation parameter information ΔC/ARML and/or the deviation time ^ according to the different shape Δ_. By directly calculating the extraction program, the integrated design process The program forms _ « relationships or functional equations between the different shapes and at least one of the biased information Δ (:, from, and the domain & for example, in the skewed shape time prediction program ι〇2, according to Every lyrics is lion-like, and μ7, Directly calculate the biased 'AR' of each link ^, and / or ^. In another embodiment, the skewed shape time pre-procedure: the program can also query each by - or multiple lookup tables - The deviation information of the joint point ^, , and / or AL, wherein the joint table can directly calculate each joint point by the differential shape Δ sac according to each joint point, and the equation I-7 Bias information hr, and domain this. The key points in the library are secret, and the information about the deviation is Ac, ar, and / or 虬. Figure 16 is the - external _ _ _ 16GG, _ _ positive parameters, According to - real _. The recorded t / l money point repair value m ± push v to take the program 1600 in the function block _, the different shape fiber, and the exact & physical circuit ° and 50 layout description corresponding to the partial ^ ride. ^ The connection point of the male game, from the beginning of the census. △ _ ϋΓ ϋΓ ϋΓ 转 魏 魏 魏 魏 魏 魏 魏 魏 魏 魏 魏 魏 魏 魏 魏 魏 魏 魏 魏 魏 魏 魏 魏 魏 魏 魏The county is connected to, and. The point of the point corresponds to the point of connection m Λ θ is not much _. It contains the inward of the design shape y ′′ 'sheng to $ to represent the sampling point deviation shape Adβ partial shape time prediction program translation. The contour 』 (5) drink. ♦ 麟成—Multiple points, discuss as one Figure 17 is a square connection of a polygon with a point Π00, according to the solid surface. The variant 24 1297446 time prediction program is modified by the original size (DC) of the interval or part of the original edge node 175G. The joint is polygonized (ie, the structure between endpoint A and endpoint b.) The correction uses the size offset error Μ (vector, and rs) to form a new joint 1 = size (Ad profile). /,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,, +pure, to correct the silk size d〇 of the first-interval_ to form a new size of the first-period phase, and then apply the second dimension in the second interval of the joint, wherein the skewed shape prediction program By increasing the - corresponding size offset error. To correct the original size DO' of the second interval (10) to form a new size D2 of the second interval (10). The polygon program acts on the third interval 17〇3 of the joint point, wherein the skew shape time prediction program corrects the original size d〇 of the third interval test by increasing the size offset error·3 Three intervals Π〇 3 - new size D3. The polygon program finally acts on the fourth interval of the joint point, wherein the skew shape time prediction program does not correct the original size of the fourth interval 17〇4 because its corresponding size offset error is zero. In the function block, it has completed the polygon program (returning to the program), and in function block 1612, the different shape time prediction program regains corresponding to one or more original joint points (non-polygon) And the original characteristic parameters (capacitance, resistance and / or inductance) of the junction database. The procedure for retrieving the original characteristic parameters includes, for example, using one or more view tables to retrieve the information, but other ways of retrieving information via the library or other sources may also be applied in other embodiments. In function block 1614, the different shape time prediction program retrieves the original characteristic parameters from the comparison of one or more original joint point intervals and the modified joint point interval, and then generates a mad parameter gambling In the joint point interval of the surface storage. The comparison program contains 'as shown by the needle seven, comparing the original joint point interval d〇 and the corresponding Μ contour interval size m. The different shape time prediction program uses the comparative information (ie, the difference in size (D0-D1) )) to extrapolate the correctness of the silk money (capacitance, resistance and / 25 1297446 or inductance). This correction parameter can be extrapolated or interpolated from the original capacitance, resistance and domain inductance but other embodiments Additional and/or alternative original link information may be utilized to obtain or extrapolate new parameters. • 纟 Function Block 1616, Job Shape Time Program Generation - Correct Link Point Data Library, which includes the use of the modified link Point parameter correction link point model data; Park connection point database information. Correct link point database can also include adding bias information to - or (4) circuit description pin. It can also contain _ contains new The data of △CMRML is used to replace one or more original circuit description files. The more than one positive connection point database can also contain the new value of the capacitance of the phase and the back connection point ^^ and/or electricity Sense, to replace the data of one or more circuit description files. Include the eccentricity _ 靡 峨 _ _ 卵 卵 卵 卵 卵 ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' ' The dependence of the library's error is made by using the information of the different shape to provide direct determination of the characteristic parameters of the characteristic parameters to achieve its purpose. In the design and manufacturing process, each segmentation point is replaced by the Liji Temple = calculation method. Extrapolation, the job and / or other design and manufacturing system can shoot the different function of the different function, and / or extrapolation procedures, because the system / ... file can use direct calculation bias Different information means to retrieve the information, instead of retrieving the information program in the way of viewing. Refer to Figure 1, 2, 3, 5, 丄 for 丄丄jcr to reduce the cry nu Γ 10 /, 'know these programs at least need In more than one process, the control is not limited to this. Generally, those skilled in the art can create the original syllabus x zhonglikou stone code, program logic array or 苴 in the flow chart and detailed description. He uses the invention According to these flowcharts, 'memory, which is also related to the processor, can be stored in non-volatile by physical connection or pre-programmed into the wafer, such as EEPROM, semiconductor j or any of the above requirements. Combination, but not limited to this. He 't-type: a process program, which contains programs that can be executed in the -EDA computer system or its system, etc. These programs can be implemented as code, but Stored in 26 1297446 machine readable or computer readable recording of the Wei domain or computer component processing system. Μ (4) Although there are many computer systems that can be used to use the integrated design process, Figure 18 • · The computer system 1 of the integrated design process program, according to the implementation - ^, Μ 糸 1800 1800 generally contains a central processing unit (CPU), or central processing unit 1802, to manage money and age... f龙流排面_合龙CPU(10)2 to AC H-volatile memory 1804 (for example, random access m_ (4) (1) to store the dynamic information from the secondary side and the instructional brain system t • ^ (4) optical storage element 1 dirty, _合_ _ Data storage row ⑽ Shu Wu and member 24 or the read-lean storage reservoir 1808 may include one or more erasable memory to demagnetization by an optical storage medium that is computer-readable. Various combinations of volatile memory, non-volatile hockey (10)6, and/or storage element (10)8 store the data structure describing each program in the integrated setup process, but the integrated design process is not Limited to being stored = within the above media. The computer system 18GG can also include at least one or more optical display elements 18ω. The user is connected to the busbar 18G1 to display information to the user of the computer system. The computer system Lion II can also contain one or more wire input components (8) 2, which are coupled to the busbar spring 180 For transmitting information and instructions selected to the CPU 18〇2. Alternatively, the computer system surface can include an optical cursor control or pointing component 1814 that is coupled to the busbar to communicate information and commands selected by the user to the CPU 1802. The computer system can also contain two. One or more optical signal conversion elements 1816 (e.g., transmitters, receivers, modems, etc.) are coupled to busbars 1801 to provide a communication interface with other computer systems. The system and method for manufacturing an integrated circuit as described in the specification includes a method of utilizing one or more functional deviation parameters and a circuit design of at least „_size offset error of the connection point, corresponding to at least- A method of directly generating a progressively different parameter, wherein the biased parameter includes one or more differences in the number of tables in the electronic parameter capable of characterizing the joint. " 27 ^97446 The system and method for fabricating an integrated circuit includes a method for utilizing a size offset error of a joint point and one or more circuit corrections for characterizing pre-mosquito information of the electronic parameters of the joint point The method for directly generating a tired and progressive parameter corresponding to at least one joint point, wherein the bias parameter includes one or more difference information of each parameter in the electronic parameter capable of characterizing the link and the point. The system and method for manufacturing an integrated circuit as described in the present specification includes a method of utilizing, fixing, or modulating a parameter and the component A circuit of size offset error = a method of directly generating a progressively different parameter corresponding to at least one component, wherein the bias parameter comprises one or more electronic parameters capable of characterizing the component, each parameter Differences tft 〇^ The system and method for manufacturing integrated circuits described in this specification includes a method that utilizes the dimensional offset error of a 7L piece and one or more electronic parameters that characterize the element. In the circuit design of the information of the first domain, the method of directly generating the progressive divisor is corresponding to at least one component. The bias parameter includes one or a number of electrons capable of characterizing the component, each of which Difference information of parameters. The system and method for manufacturing an integrated circuit described in the present specification, j includes a method including a plurality of components and a plurality of components, and a difference in size in the line to pre-line a progressive bias parameter and a progressive time difference of at least one of the designs, wherein the bias parameter includes one or more capable of characterizing at least one component and a link Number of revolutions = difference information; and integration of at least one line design towel size difference, progressive bias parameter, and 2 and * progressive time difference, modify the line design. 'The above method includes at least - size difference, progressive bias Different parameters, as well as the difference in progressive time, to produce a model of the circuit design. * The above method further includes at least a size difference, a progressive differentiation parameter 'and a difference between the progressive %, to enhance the model of at least one component and the connection point. The above method further includes adding at least one size difference, a progressive deviation parameter, and a progressive time difference to one or more line descriptions, wherein the line description has at least two 28 1297446 image data representations, and A text slot representation. The above method further includes utilizing information including at least one size difference, progressive differentiation parameter, and progressive time difference to discard the relevant information portion of one or more line descriptions. - The above method further includes re-characterizing at least one component and the junction using at least one dimensional difference, progressive differentiation parameter, and progressive_time difference. The prediction method in the above method further includes utilizing the size difference, and the progressive differentiation parameter is extracted from at least one component and the connection point. This culling method involves matching the size difference to the line design. The culling method further comprises at least one or more of _- or more functional relationships between the size difference and the 35-parameters; and the straight-forward size difference and the functional relationship generate the bias parameter. The progressive bias parameter in the above method includes a progressive difference in circuit parameters that can characterize at least one component, a joint point, and one or more joint point intervals. The circuit parameters in the above method include at least one resistor, inductor, and capacitor. , junction capacitance, gate-source capacitance, gate-drain capacitance, source-base capacitance, gate base capacitance, drain-base capacitance, and effective gate length. The difference in the dimension size includes at least the difference in the use of the progressive time difference and the gap delay time between 70 pieces and the joint point to determine the gap delay time. This method further includes the gap time delay of the profit distribution to generate fresh The forward bias parameter corresponds to at least one component and the joint point. The circuit design correction in the above method further comprises using the predicted progressive skew parameter to generate the size difference rule. /, the progressive time difference includes the signal transmission delay of the circuit. The above method further includes obtaining the difference in size by shape verification analysis of a circuit. Integrating at least one size difference , the method of squeezing different parameters, and the difference between the scales includes a circuit description of at least one size wire, a deviation difference, and a scale difference thief to an entity. The entity description is at least one image data. And a text file. The system and method for manufacturing an integrated circuit as described in the present specification includes a method comprising: 29 1297446 at least one circuit design for receiving a plurality of components and a connection point; receiving corresponding to the component and the connection size Differences; · The ruler nucleus takes the component and the progressive bias parameter in the joint point, wherein the heterozygosm contains - or more can characterize at least one or more components • • and the difference of the joint points Wei: Xiang at least - A size difference money deviation parameter to produce this. The difference between the scales of the design; and the different parameters of the design that should be designed in the present specification. The system and method for manufacturing integrated circuits described in this specification include an integrated design method. One or more circuit devices that receive a plurality of components and connection points are used to achieve a progressive correction of the component model and the joint point model by using the dimension offset error of the component and the joint point, and the model is modeled using the modified component and the joint point model. The integrated circuit is generated by using the model; the time offset error information of the circuit is generated by using the model; the offset error of the component and the joint is generated by using the time offset error information; and the offset error of the component and the joint is utilized to generate Dimension offset error rules for components and joints. The system and method for manufacturing an integrated circuit described in the present specification includes an integrated design manufacturing method including at least one circuit design for receiving a plurality of components and a joint point; using the enhanced component and the joint model, and simultaneously The pottery design produces a circuit model in which the enhanced component and joint model integrates at least one capacitive offset error, resistance offset error, and component offset error from the component and the joint point. And an inductance offset error; generating a time offset error information by using the circuit model; and using the time offset error information to generate a rule that can control a size error of the component and the joint point, wherein the rule integrates at least one or more The capacitance offset error from the time offset error information of the circuit model.  Difference, resistance offset error, and inductance offset error. The system and method for manufacturing an integrated circuit described in the present specification includes a system including at least one means for electronically processing; and means for storing information electronically; receiving a circuit including a plurality of components and connection points Means of designing a means for predicting progressively different parameters and progressive time differences of at least one circuit design using dimensional differences in corresponding circuit designs, wherein the biasing parameters include one or more parameters that can characterize at least one of 1 1 297 446 The above components are connected and connected. Point, the difference between the progressive time and the circuit design size difference segment; and · Integrate the size difference of at least one circuit design, the progressive difference parameter, and the time difference to correct the circuit design. The above system further includes _ at least a size difference, a progressive bias parameter, and a progressive time difference to produce a model of the circuit design. The above system further includes at least a difference in size, a g-adjustment parameter, and a difference in progressive time to enhance at least the information of the paper and the model of the joint. The above system further comprises at least one size difference, a progressive deviation parameter, and a progressive time difference item or a deducted line financial statement segment, the line job description has at least one image data representation, and A text file indicates. The system described above further includes means for re-characterizing at least one component and joining points using at least one dimensional difference, progressive biasing parameter, and progressive time difference. The above-described means for predicting the system further includes a means of extracting the progressively different parameters using the size difference. The progressive bias parameter in the above method includes a progressive difference in circuit parameters that can characterize at least one component, a joint point, and one or more joint point intervals.电路 The circuit parameters in the above method include at least one resistor, inductor, capacitor, gate _ source pole valley gate and pole valley, source-base plate valley, gate base capacitor, and drain _ base plate capacitance. The predictive size difference system in the above method further includes at least one means for determining the gap delay time by using the difference between the progressive time and the gap delay time between the component and the joint. The means further includes utilizing the gap time delay of the separation to produce means for the progressive bias parameter to correspond to at least one of the elements and the joint. The means of modifying the system further includes means for utilizing the predicted progressive bias parameters to generate rules for the size difference. The circuit design corrections in the above system further include means for utilizing the predicted progressive skew parameter to generate the size difference rule. The above system further includes means for obtaining the difference in size from the shape verification analysis of a circuit. 31 1297446 The revised secret segment further includes a circuit description corresponding to at least one dimensional difference, a differential parameter, and a progressive time difference to an entity (10) and a method, including an element, Include at least one or more means for receiving a plurality of components and a connection circuit design; utilizing a corresponding size difference in the circuit design to compensate for at least one of the progressive differentiation values of the circuit and the means for the difference in the progressive time, wherein The biased operand contains one or more differences information that can characterize at least one or more components and joint points; a means to predict the difference in size of the circuit design; and at least one of the integrated circuits Dimensional differences, progressive deviation parameters, and progressive time differences to correct the circuit design. ', '' The manufacturing integrated circuit (4) described in this specification 'system and method, including - machine reading medium' contains The executable age can be recorded in an L-line, and the content includes the reception of a plurality of components and the connection of the scale design, and the size of the silk road design. At least - (iv) a pre-equivalent parameter and a difference between the repetitive circuits in the design of the remuneration circuit, wherein the disparity parameter includes difference information of one or more parameters, which can characterize at least one or more components and joint points, and utilize the progressive It is difficult to distinguish the size difference of the line design, and at least one size difference, progressive deviation parameter, and time-testing wire in the domain_integrated circuit design to correct the circuit design. The system for manufacturing the integrated circuit described in the specification sheet and The method comprises a __method comprising at least one or more Wei-containing complex elements and a connection 阙 circuit layout; receiving corresponding components and a size difference of the continuation; a size wire, which is progressively derived from at least the 侃 and the connection points The deviation I number 'the towel's bias parameter contains __ or more of the parameters of the difference 'the characterization of at least more than one; ^ and the joint point; and at least one or more dimensional differences and partial Different parameters, the difference between the components on the _ at least _ and the joint point. The above method further includes at least one size difference, progressive differentiation parameter, and tired The time difference is used to generate a model of the circuit design. The progressive-derivative parameters of the embodiment include the size difference corresponding to the circuit layout. The above method further includes using at least „dimension difference, progressive differentiation parameter, and progressive 32 1297446 Time difference 'to enhance the information of at least the components and the model of the connection point. .  The above method further comprises adding at least one size difference, a progressive deviation parameter, and a progressive time difference to one or more line descriptions, wherein the line description has at least one. - Image data representation, as well as a text file representation. The above method further includes updating the relevant information portion of the one or more circuit description standards by using information including at least one dimensional difference, progressive differentiation parameter, and progressive time difference. The above method further includes at least one size difference, a progressive neck number, and a progressive φ time difference to re-characterize at least one component and the joint point.取取-实关中纽偏异参_方方包:; in the size difference to correct the bias parameter 'form-one or more functional associations, and / or use the functional relationship directly from the size difference Generate bias parameters. - The progressive differentiation parameter of the connection point in the embodiment includes a line parameter (four) difference, which is characterized by at least one or more of the connection points and the interval of one or more of the connection points. The parameter of an embodiment includes at least one capacitor, resistor, and inductor. - The above method further includes using the difference in progressive time to determine the delay time and/or the delay time of the split and the link. The method further includes the separation of the gap time delay, so as to generate the progressive deviation parameter corresponding to the at least one component and the joint point. The method further includes utilizing the separate gap time delay to generate the progressive skew parameter to correspond to at least one of the joint points. The method further includes utilizing the generated progressive bias parameter to generate a rule that controls the size difference. The system and method for manufacturing a surface circuit described in the specification, including a method for receiving - a circuit design including a plurality of components and a connection point; and a financial difference design road towel integrating at least one or more components and a connection point; The ruler finger takes at least one or more components and the linked progressive differentiation parameter, and the deviation parameter includes the difference between the one or more electronic parameters capable of characterizing at least one component and the connection point; And using the progressive bias parameter information to achieve time analysis, while generating a progressive time difference. 33 1297446 Time result of the difference in progressive time. The difference in size and the progressively different parameters to produce a method as described above further includes generating a model comprising the above method and more than utilizing at least the circuit for use. - The difference between the bursts of the embodiment towel includes the signal transfer delay of the circuit towel. The above method further includes the *-f road heterogeneous analysis analysis of the size difference of the silk. The integration in the embodiment - the size difference in the embodiment includes the difference in the size corresponding to the physical description of the circuit - the embodiment of the actual description is at least - like the upper image (four) face word slot case. ‘, clothing The above method also includes at least one company's dimensional error, bias parameters, and time difference, to at least one of the social components to retract the information. The piece of flight model information contains additional one or more dimensional differences, deviation parameters, and time difference to one or one circuit description lion. The enhanced component model information includes the use of at least one or more dimensional differences, bias parameters, and time difference information to replace the information in the original circuit description job. Enhancing the joint model information involves re-characterizing the joint using at least one dimension and time difference. : i The singularity of the f-flap is included in the sizing parameters of the sizing. • Forms one or more functional relationships, and/or determines the difference between the parameters, which can take advantage of the functionality. Relationships, directly utilizing dimensional differences to re-characterize at least one component and joint. • Taking the progressive bias parameter in an embodiment further includes confirming one or more parameters capable of characterizing at least one of the elements and the joint; and/or utilizing one or more interpolations and determining the parameters after 5 The component and the skew parameter of the joint are formed, wherein the number of strands after the confirmation can characterize at least one of the components and the joint. The progressive differentiation parameter of the connection point in an embodiment includes a progressive difference in line parameters that characterizes at least one or more of the joint points and one or more intervals of each joint point. The electronic parameters in an embodiment comprise at least one of a capacitor, a resistor, and an inductor. 34 1297446 + One piece of electronic 4 packs to more than 4_s of gate/source capacitance, gate 4 and pole valley, source-base capacitor, gate-base capacitor, and pad Edition capacitor. • This _ book towel describes the manufacture of miscellaneous electricity _ secret and green, including - integrated design system · · = ·, party I contains at least one or more of the circuit components that contain multiple components and connection points The size is shifted by m ' to achieve the progressive correction of the component model; the size offset of the joint point is used as the difference to achieve the progressive correction of the joint model, and the modified component and the joint model are used to generate the model of the integrated circuit. The model transmits delay information; and uses the signal to convey delay information to verify the shape of the model. • σ The above method further includes dividing the component and the gap delay time between the connection points, wherein the signal transmission delay information includes the component slot delay time; using the segmented gap delay time to generate the component offset error; using the segmentation gap delay The time generates a joint offset error; and/or uses a component offset error and a joint offset error to generate a rule that can control the size offset error of the component and the joint. - The component offset error of the actual wipe contains at least a progressive size difference and a progressive difference in the circuit parameters, wherein these differences can characterize each component. The joint offset error in the example includes a progressive size error. The point depends on the parameters of the Wei-containing circuit (4), and its energy characteristics are less than one point on the connection point and one or more connection points. The junction offset error may also include at least one of a capacitance offset error, a resistance offset error, and an inductance offset error. The system and method for manufacturing an integrated circuit as described in the specification, including a system having at least one means for processing electronically; and means for storing information by electronic means, receiving-including components and links Point means of circuit design layout; receiving means corresponding to the 7C piece and the connection size difference; the means for obtaining the at least one of the 7 pieces and the progressive deviation parameter of the connection point by the difference in the size, wherein the deviation parameter A difference information containing one or more parameters, which is less confusing - more than one component money connection point; and a prediction of at least one component and a progressive time difference of the joint point, which utilizes at least one or more dimensional differences and deviations parameter. 35 1297446 The system described above further includes means for generating a model of a circuit design using at least one dimensional difference, progressive bias parameter, and % difference. The system described above further includes means for matching the size difference to the circuit layout. The system described above further includes means for enhancing the information of at least one component and the model of the connection point by using at least one size difference, a progressively different number of tables, and a difference between & ', the above system further includes adding at least one dimension difference, the accumulated time difference to one or more line description segments/introversion parameters, and the progressive system further includes at least one dimensional difference, the progressive time difference Information, to update a different, number and part of the means. * Update one or more circuits to describe the relevant information in the slot case. Gate = it! 1 It also includes the use of at least one size difference, progressive differentiation parameter, and progressive time difference, and at least one component and the continuous time period. Or eight to say: use the difference between the progressive ridges to determine the gap delay time, and / Gou. 1] means for 7G parts and gap delay between connection and force points. The _= system further includes the separation of the gaps, which is the means by which the progressive line deviation parameter corresponds to at least one component and the joint point. : It is also a means of generating (four) different records to produce rules that control differences. The system and method for manufacturing an integrated circuit described in the present specification includes H, a device having a T-including a circuit arrangement of a plurality of elements and a connection point, and a connection (4). ':' occupies the difference in size of the hand & shed size wire ' Φ at least - a component and the means of extracting progressively different parameters in the joint point 'where the bias parameter contains - or more of the difference between the parameters 'The means of at least the above-mentioned components and joint points; and at least one of the nucleus and the deviation parameter's at least one element and at least one element and the difference in the progressive time of the joint point. The means of the exemplification-embodiment further comprises at least one or more means for forming one or more functional relationships between the size difference and the number of the different parameters 36 1297446; and directly utilizing the size difference and the functional relationship to generate the The means of biasing parameters. ‘The above devices further include means for generating a model of a circuit design using at least one dimensional difference, progressive bias parameter, and progressive time difference. • The above devices also include means for matching the size difference to the circuit layout. The apparatus described above further includes means for enhancing the information of at least one component and the model of the connection point using at least one dimensional difference, progressively different parameter, and progressive time difference'. The system and method for manufacturing an integrated circuit described in this specification includes a machine readable medium containing executable instructions that can be executed by a processing system, the content of which includes receiving a plurality of components and a circuit design of the connection points. Receiving a difference in size of the corresponding component and the joint point, using the difference in size 'from the at least one component and the joint point to extract a progressive deviation parameter, wherein the deviation parameter includes information on the difference of one or more parameters, which can be characterized At least one or more elements and junction points, and/or predictions of at least one of the elements and the difference in the time of the junction, utilizing at least one of the dimensional differences and the skew parameters. The system and method for manufacturing an integrated circuit described in this specification can be utilized with various types of force. The midnight circuit is in the process of 'containing programmable logic components (PLDS)) such as field programmable gate arrays (FPGAs), programmable array logic ((4) Inhibition of this array (array (pal)) components, electronic 叮, flat-range logic and brother memory components and standard cell-based devices, such as special application integrated circuits (ASIC). Other methods and methods that can be used to implement the integrated circuit include: a microprocessor with memory, such as an EEPROM, embedded micro.  Processor, firmware, software, etc. Alternatively, the system and method for fabricating an integrated circuit can be implemented in a U-processor having a software library circuit simulation, discrete logic, system components, neural logic, quantum components, and a mixed mode of the above-described cells. In addition, basic component fabrication techniques can be used to provide a number of implementation options, such as metal oxide semiconductor field effect transistor (MOSFET) technology, such as complementary to oxide semiconductor (CMOS), dual carrier technology, such as shots. Polar | Mahe logic, polymer technology 37 1297446 (such as dioxide dioxide common polymer and metal amalgam metal structure, etc.), as well as mixed analog digital technology and so on. - The towel should be noted that, in the system and the method towel, the components contained in it can be used as electrical information-assisted design tools, and are expressed as information of various tangible media or instructions. Models, register transfers, logic components, transistors, layout shapes, and/or other special materials, which are included in the actual format and objects but do not implicitly support the behavioral language, such as C awu, Venlog, And HLDL; supports the format of the scratchpad hierarchy description language, such as RTL, and formats that support the shape description language, such as (5) guilt, (5) view, coffee ιν, Φ CIF, MEBES, and any other styles and languages. The computer readable medium of various data and/or instructions may include, but is not limited to, various non-volatile media, such as optical, magnetic or semiconductor storage, and may be wireless, optical or optically transmitted "age and its mixed square wire". A carrier that transmits secret footwear and/or instructions. For example, a, the new arrival of this secret ^ chemical / send (upload, download, email, etc.) and or other computer network through a or = such as HTTP 'FTP, SMTP, etc.). When a computer system transmits electricity through the second == (4) f message, the above-mentioned various data and/or instructions can be = n skewed - the processing entity (10) such as - or more (four) Turn (4) power is difficult, it includes the limit 2 knot program and its _ strip. The "style" layout of the nucleus is in addition to the face, the domain is defeated, the "include", the package - is an open statement, and the fine statement; meaning "including two." 'f' refers to two or more related items, which means any related project, combined with all related projects. The embodiments of the system and method of the system are not intended to limit or otherwise limit the system (4) and the square and the core of the integrated circuit are merely illustrative of the system and the circuit, rather than limiting the manufacturing product. The genus and branches of the body. The above embodiments are modified and changed in the case of the technical principle and spirit of the system and method of the road. Therefore, the scope of protection of the system and method for manufacturing an integrated circuit should be as set forth in the application patents described later. The various items disclosed above and the manner of actuation can be combined into other embodiments. Some of the changes in the systems and methods for fabricating integrated circuits can be accomplished in accordance with the description of the details. The following patent application scope should not be construed as limiting the systems and methods for making integrated circuits in the disclosures disclosed in the specification, but rather should be construed as including the stipulations of all of the fabricated circuit circuits. The following items are determined by the scope of the invention, and are not intended to limit the scope of the system and method of manufacturing the integrated circuit disclosed herein. The scope of the system and method for manufacturing an integrated circuit is disclosed in the following claims. Thinking, for example, when a point in the system is interpreted to be implemented by a machine readable medium, other points of view are also interpreted as being implemented by a chicken readable medium. [Simple diagram of the diagram] Figure A is the block diagram of the Integrated Design_Manufactoing Process 'IDMP, which contains a biased turn, which contains a pre-shaped tear of 4 1G2 ' and / Or the biased time shape prediction program just, according to the embodiment. Figure B is a block diagram of an i-blade leafless process sequence, including a biasing process, which is used in the fabrication of integrated circuits, in accordance with an embodiment. 〃 Figure - Another block diagram of an integrated design process that includes a biasing process that is used to fabricate integrated circuit pads, according to another embodiment. Figure 3 is a departure time prediction process (InTime processes), according to an embodiment. Figure 4 is a block diagram of the skewed (four) inter-test procedure, which takes care of the bias signal to correspond to the connection architecture, according to an embodiment. Figure 5 is a flow chart of the skewed time profile prediction program (InTentP_sses), according to an embodiment. 39 1297446 and the embodiment of Fig. 5 is an electro-crystal according to the component model of the enhanced integrated design process. Figure 8 is a gate/junction capacitor block of a component model of an integrated design process program, according to an embodiment. " Figure 9 illustrates - modified transistor element model parameters, according to an embodiment.

圖j *整°式3又5十製程程序的方塊圖,其包含偏里形狀睥μ 一 序’以應用在積體電路製程中,根播®- ^ 根據圖二,圖 體方塊圖,根 圖,根 圖:A係-整合式設計製程程序之電晶赌型的訊號延遲對應閉極 度偏移誤差之曲線圖,根據一實施例。 圖十B係-整合式設計製程程序之電晶體模型的飽和電流對應閑極 度偏移誤差之曲線圖,根據一實施例。 又 圖十-係-整合式設計製程程序之連結賴型的橫切面圖, 施例。 圖十二係-整合式設計製程程序之連結點模型的歸—化電容偏移誤差 對應連結微擾Ad在一 d尺寸的百分比之曲線圖,根褲一實施例。Figure j * Block diagram of the full-scale 3 and 50 process, which contains the partial shape 睥μ-order 'for application in the integrated circuit process, the root broadcast ®- ^ according to Figure 2, the block diagram, the root Fig., Root diagram: A graph of the signal delay of the electro-gambling type of the A-system-integrated design process program corresponding to the closed-off offset error, according to an embodiment. Figure 10B is a graph of saturation current versus free polarity offset error for a transistor model of an integrated design process, according to an embodiment. Figure 10 - Cross-sectional view of the connected-integrated design process, the example. Fig. 12 is a diagram of the normalized capacitance offset error of the joint point model of the integrated design process program. Corresponding to the graph of the percentage of the connection perturbation Ad in one d dimension, an embodiment of the root pants.

圖十三係一整合式設計製程程序之連結點模型的歸一化電容偏移誤差 對應連結微擾Δί在一 t尺寸的百分比之曲線圖,根據一實施例。 圖十四係一整合式設計製程程序之連結點模型的歸一化時間延遲對應 連結微擾Ad在一 d尺寸的百分比之曲線圖,根據一實施例。 “ 圖十五A,圖十五B,圖十五C係一經過偏異參數粹取後的連結點結構 圖,根據一實施例。 圖十六係一外推式粹取程序流程圖,用以粹取修正後連結點的修正後 參數,根據/實施例。 圖十七係一多邊形連結點的方塊圖,根據一實施例。 圖十八係一管控該整合式設計製程程序的電腦系統示意圖,根據一實 施例。 1297446 在本圖式中,相同的圖號代表相同或者實質相同的物件或 明確辨認每—鋪殊的無見或者動作,當該物件第―次出現時,其圖^ 方會附上一個標記。(例如物件1〇2代表其在圖一第一次被討論及說明) 【主要元件符號說明】 ’、 1210歸一化電容偏移誤差 1220連結點微擾Δ(1 1310歸一化電容偏移誤差 1320連結點微擾AtFigure 13 is a graph of normalized capacitance offset error for a joint point model of an integrated design process program, corresponding to a perturbation Δί, a percentage of a t-size, according to an embodiment. Figure 14 is a graph of the normalized time delay corresponding to the joint model of an integrated design process program. The graph of the percentage of the connected perturbation Ad in one d dimension, according to an embodiment. Figure 15A, Figure 15B, Figure 15C is a connection point structure diagram after the partial parameter extraction, according to an embodiment. Figure 16 is an extrapolated program flow chart, used The modified parameter of the modified joint point is obtained according to the embodiment. Figure 17 is a block diagram of a polygon joint point according to an embodiment. Figure 18 is a schematic diagram of a computer system for controlling the integrated design process program. According to an embodiment. 1297446 In the figure, the same figure number represents the same or substantially the same object or clearly identifies the unseen or action of each—when the object appears first time, its figure A mark will be attached. (For example, object 1〇2 means it is discussed and explained for the first time in Figure 1.) [Main component symbol description] ', 1210 normalized capacitance offset error 1220 joint point perturbation Δ (1 1310 Normalized capacitance offset error 1320 joint point perturbation At

1410歸一化時間延遲 1420連結點微擾Ad 10 電路設計程序 100B整合式設計製程程序的方塊圖 100A整合式設計製程程序 101 偏異流程 1010訊號延遲 1012飽和電流 102偏異形狀時間預測程序 1021偏異形狀時間預測敍皮 1020閘極長度偏移誤差 104偏異時間外形預測程序 1100連結點模型 1102金屬導線 1104要件 1112連接層 12 電路佈局程序 1200曲線圖 122 功能方塊 123 功能方塊 124 功能方塊 41 1297446 125 功能方塊 1300曲線圖 14 時間分析程序 1400曲線圖 142 功能方塊 143 功能方塊 144 功能方塊 145 功能方塊 15 設計流程 1500C連結點結構 1500B連結點結構 1500A連結點結構 1502金屬電源網柵 1504金屬電源供應導線 1506通孔 1510第一鄰近結構 1520第二鄰近結構 ; 1530改變區域 1600外推式粹取程序流程圖 1610功能方塊 1614功能方塊 1616功能方塊 1700多邊形連結點的方塊圖 1701第一區間 1702第二區間 1703第三區間 1704第四區間 1750部分原始非多邊形的連結點 1800管控該整合式設計製程程序的電腦系統 42 12974461410 normalized time delay 1420 joint point perturbation Ad 10 circuit design program 100B integrated design process program block diagram 100A integrated design process program 101 different process 1010 signal delay 1012 saturation current 102 skew shape time prediction program 1021 partial Different shape time prediction Xupi 1020 gate length offset error 104 skew time shape prediction program 1100 joint point model 1102 metal wire 1104 element 1112 connection layer 12 circuit layout program 1200 graph 122 function block 123 function block 124 function block 41 1297446 125 Function Block 1300 Curve Figure 14 Time Analysis Program 1400 Curve Figure 142 Function Block 143 Function Block 144 Function Block 145 Function Block 15 Design Flow 1500C Joint Point Structure 1500B Joint Point Structure 1500A Joint Point Structure 1502 Metal Power Grid 1504 Metal Power Supply Wire 1506 through hole 1510 first adjacent structure 1520 second adjacent structure; 1530 change area 1600 extrapolation program flow chart 1610 function block 1614 function block 1616 function block 1700 polygon connection point block diagram 1701 first interval 1702 second interval 1703 third 1704 1750 between the fourth portion of the original non-polygonal section of the connection point 1800 of the integrated control program design process computer systems 421,297,446

1801 匯流排 1802 中央處理器 1804 揮發記憶體 1806 非揮發性記憶體 1808 光學儲存元件 1814 指向元件 1816 光學訊號轉換元件 20 形狀驗證 200 整合式設計製程程序 22 解析度強化技術程序 24 製程程序 25 製造流程 402 資料庫更換格式/設計更換格式檔案 404 技術檔案 406 標準寄生延遲格式檔案 408 △ d檔案 410 偏異參數 412 更新的SPEF檔案 600 整合式設計製程程序 602 電路設計 604 佈局 606 實體電路描述 608 原始單元資料庫 610 原始連結點資料庫 612 產生電子電路模型 614 延遲計算 616 動態時間分析 ^ 618 GDSII 620 RET 43 1297446 622 修正 GDSII 624 製作光罩 626 晶圓顯影 700 電晶體 702 連結點端點 704 複晶矽端點 710 閘極 802 基版 804 源極區域 806 >及極區域 808 空乏區域 810 閘極 900 元件模型參數1801 Bus 1802 Central Processing Unit 1804 Volatile Memory 1806 Non-volatile Memory 1808 Optical Storage Element 1814 Pointing Element 1816 Optical Signal Conversion Element 20 Shape Validation 200 Integrated Design Process 22 Resolution Enhancement Technical Program 24 Process Procedure 25 Manufacturing Process 402 Database Replacement Format/Design Replacement Format File 404 Technical File 406 Standard Parasitic Delay Format File 408 △ d File 410 Deviation Parameter 412 Updated SPEF File 600 Integrated Design Process Program 602 Circuit Design 604 Layout 606 Physical Circuit Description 608 Original Unit Database 610 Original Link Database 612 Generate Electronic Circuit Model 614 Delay Calculation 616 Dynamic Time Analysis ^ 618 GDSII 620 RET 43 1297446 622 Correction GDSII 624 Fabrication 626 Wafer Development 700 Transistor 702 Junction End 704 Endpoint 710 Gate 802 Base 804 Source Region 806 > and Polar Region 808 Depletion Region 810 Gate 900 Component Model Parameters

Claims (1)

拾、申請專利範圍: 第94101967號申請案申請專利範圍修正本 96.10.26. 1. 一種利用對應於電路設計之特徵化參數偏異資訊將電 路設計特徵化之方法,其包含下列步驟: 接收一電路設計,其包括多個胞元與互聯結構; 針對該電路設計判定偏異幾何資訊; 利用該判定的偏異幾何貢訊為該電路設計計鼻累 進時間差異;以及 估計違抗一時間預算之該累進時間差異來判定該 電路設計中之間隙時間;以及 根據該判定之間隙時間為該電路設計產生尺寸差 異規則。 2. 如申請專利範圍第1項之方法,其進一步包含分隔該電 路設計之該等多個胞元與連結點間的該計算累進時間 差異。 3. 如申請專利範面第2項之方法,其進一步包含在該電路 設計之該等多個胞元與連結點間配置該時間預算。 4. 如申請專利範圍第3項之方法,其中配置該時間預算包 括配置有關1C特徵化參數之差異資訊。 5. 如申請專利範圍第2項之方法,其進一步包含分隔該等 多個胞元與連結點間之該累進時間差異所指示之該間 隙時間。 6. 如申請專利範圍第5項之方法,其進一步包含產生一時 間變異資料庫,其包括與所分隔之該等多個胞元與連結 1295446Scope of application, patent application: Patent Application No. 94101967, Patent Application Revision 96.10.26. 1. A method for characterizing circuit design using characterization parameter deviation information corresponding to circuit design, comprising the following steps: a circuit design comprising a plurality of cells and an interconnect structure; determining a biased geometrical information for the circuit design; using the biased geometrical tribute of the decision to design a difference in nose progression time for the circuit; and estimating the defensive budget for a time The difference in progressive time is used to determine the gap time in the circuit design; and the gap time rule is generated for the circuit design based on the gap time of the decision. 2. The method of claim 1, further comprising dividing the calculated progressive time between the plurality of cells separating the circuit design from the junction. 3. The method of claim 2, further comprising configuring the time budget between the plurality of cells of the circuit design and the junction. 4. The method of claim 3, wherein configuring the time budget includes configuring information about differences in 1C characterization parameters. 5. The method of claim 2, further comprising separating the gap time indicated by the difference in the time of progression between the plurality of cells and the junction. 6. The method of claim 5, further comprising generating a time-varying database comprising the plurality of cells separated from the link 1295446 10 1510 15 點之間隙時間相關聯的該分隔之最大與最小時間變異。 7. 如申請專利範圍第6項之方法,其進一步包含從對應於 一胞元之時間變異為該胞元推衍出偏異資訊。 8. 如申請專利範圍第1項之方法,其中估計該累進時間差 異之步驟包含產生累進時間差異之一最大/最小時間變 異資料庫、以及從對應於多個連結點之每一者之時間變 異為一胞元產生偏異特徵化資訊。 9. 如申請專利範圍第1項之方法,其進一步包含產生該電 路設計中造成違抗該時間預算之一差異源的一回報。 10. 如申請專利範圍第1項之方法,其中針對該電路設計產 生尺寸差異規則包含根據該偏異幾何資訊和該時間預 算來執行形狀偏異預算。 11. 如申請專利範圍第1項之方法,其進一步包含產生對應 於該電路設計之該等胞元或連結點之一偏異預算分配。 12·如申請專利範圍第1項之方法,其中偏異幾何資訊包括 與該電路設計之元件相關聯的長度、寬度、及厚度變異 資訊之其中至少一者。 13. —種包含載有電腦程式碼的電腦可用式媒體之電腦程 式產品,用以令一電腦裝置利用對應於一電路設計之特 徵化參數的偏異資訊將該電路設計特徵化,該特徵化動 作包含: 接收一電路設計,其包括多個胞元與連結點; 判定該電路設計之偏異幾何資訊; 利用該判定之偏異幾何資訊計算該電路設計之累 46 20 12 9^744 , Π i:wThe maximum and minimum time variation of the separation associated with the gap time of the point. 7. The method of claim 6, further comprising deriving the bias information from the time variation corresponding to a cell to the cell. 8. The method of claim 1, wherein the step of estimating the difference in progressive time comprises generating a maximum/minimum time variation database of one of the progressive time differences, and a time variation from each of the plurality of connected points Produce biased characterization information for a cell. 9. The method of claim 1, wherein the method further comprises generating a return in the circuit design that is a source of disparity in the budget for the time. 10. The method of claim 1, wherein the generating a dimension difference rule for the circuit design comprises performing a shape bias budget based on the skew geometry information and the time budget. 11. The method of claim 1, further comprising generating a biased budget allocation for one of the cells or junctions corresponding to the circuit design. 12. The method of claim 1, wherein the biased geometric information comprises at least one of length, width, and thickness variation information associated with the component of the circuit design. 13. A computer program product comprising a computer usable medium carrying computer code for causing a computer device to characterize the circuit design using a bias information corresponding to a characteristic parameter of a circuit design, the characterization The action comprises: receiving a circuit design, comprising a plurality of cells and a joint point; determining a biased geometric information of the circuit design; calculating the circuit design by using the determined geometric information of the decision 46 20 12 9^744, Π i:w 10 1510 15 進時間差異;以及 估計違抗該時間預算之該累進時間差異來判定該 電路設計中之間隙時間;以及 根據該判定之間隙時間產生該電路設計之尺寸差 異規則。 14. 如申請專利範圍第13項之電腦程式產品,其進一步包含 分隔該電路設計之該等多個胞元與連結點間該計算的 累進時間差異。 15. 如申請專利範圍第14項之電腦程式產品,其進一步包含 在該電路設計之該等多個胞元與連結點之間配置該時 間預算。 16. 如申請專利範圍第15項之電腦程式產品,其中配置該時 間預算包括配置關於1C特徵化參數之差異資訊。 Π.如申請專利範圍第14項之電腦程式產品,其進一步包含 在該等多個胞元與連結點間分隔由該累進時間差異指 出之該間隙時間。 18. 如申請專利範圍第17項之電腦程式產品,其進一步包含 產生一時間變異資料庫,其包括與該等胞元與連結點之 該劃分之間隙時間相關聯的最大與最小時間變異。 19. 如申請專利範圍第18項之電腦程式產品,其進一步包含 從對應於一胞元之時間變異推衍出該胞元之偏異資訊。 2(λ如申請專利範圍第13項之電腦程式產品,其中估計該累 進時間差異包含產生累進時間差異之一最大/最小時間 變異資料庫,及從對應於多個連結點之每一者的時間變 47 20 1297[446I年月r,¥替換頁 異產生一胞元之偏異特徵化資訊。 21.如申請專利範圍第13項之電腦程式產品,其進一步包含 產生在該電路設計中造成違抗該時間預算之一差異源 ' 之回報。The difference in the time of the entry; and the difference in the progressive time against the estimated time budget to determine the gap time in the circuit design; and the size difference rule for the circuit design based on the determined gap time. 14. The computer program product of claim 13 further comprising a difference in the progressive time between the plurality of cells separating the circuit design and the joint. 15. The computer program product of claim 14, further comprising configuring the time budget between the plurality of cells of the circuit design and the junction. 16. If the computer program product of claim 15 is applied, the time budget is configured to include information on the difference between the 1C characterization parameters. The computer program product of claim 14, further comprising dividing the gap time between the plurality of cells and the joint point by the difference in the progressive time. 18. The computer program product of claim 17, further comprising generating a time variation database comprising maximum and minimum time variations associated with the gap time of the division of the cells and the junction. 19. The computer program product of claim 18, further comprising the derivative information derived from the time variation corresponding to a cell. 2 (λ) The computer program product of claim 13 of the patent scope, wherein the estimated time difference includes a maximum/minimum time variation database that produces a difference in progressive time, and a time from each of the plurality of connected points Change 47 20 1297 [446I year month r, ¥ replace page difference to generate a singular characterization information. 21. The computer program product of claim 13 of the patent scope, which further includes the generation of defiance in the circuit design One of the time budgets differs from the source's return. 10 1510 15 22. 如申請專利範圍第13項之電腦程式產品,其中產生該電 路設計之尺寸差異規則包含根據該偏異幾何資訊和該 時間預算執行形狀間隙預算。 23. 如申請專利範圍第13項之電腦程式產品,其進一步包含 產生對應於該電路設計之該等胞元或連結點之一偏異 預算分派。 24_如申請專利範圍第13項之電腦程式產品,其中偏異幾何 資訊包括與該電路設計之元件相關聯的長度、寬度、及 厚度變異資訊之其中至少一者。 25. —種利用對應於電路設計之特徵化參數之偏異資訊將 電路設計特徵化之電腦系統,其包含: 一處理器;以及 通訊耦接至該處理器之一記憶體,其中該處理器育 該記憶體被組配來執行下列操作: 接收一電路設計,其包括多個胞元與互連結構; 判定該電路設計之偏異幾何資訊; 利用該判定的偏異幾何資訊計算該電路設計之累 進時間差異;以及 估計違抗一時間預算之該累進時間差異來判定該 電路設計中之間隙時間;以及 48 2022. The computer program product of claim 13 wherein the method for generating a dimension difference for the circuit design comprises performing a shape gap budget based on the bias geometry information and the time budget. 23. The computer program product of claim 13, further comprising generating a biased budget allocation corresponding to one of the cells or junctions of the circuit design. 24) The computer program product of claim 13, wherein the biased geometric information includes at least one of length, width, and thickness variation information associated with the component of the circuit design. 25. A computer system for characterizing a circuit design using a bias information corresponding to a characteristic parameter of a circuit design, comprising: a processor; and communication coupled to a memory of the processor, wherein the processor The memory is configured to perform the following operations: receiving a circuit design comprising a plurality of cells and an interconnect structure; determining a biased geometrical information of the circuit design; calculating the circuit design using the determined geometric information of the decision The difference in progressive time; and the difference in the progressive time estimated to defy the one-time budget to determine the gap time in the circuit design; and 48 20 1 if- Η 根據該判定之間隙時間產生該電路設計之尺寸差 異規則。 26. 如申請專利範圍第25項之電腦系統,其進一步包含在該 電路設計之該等多個胞元與連結點之間分隔該計算的 累進時間差異。 27. 如申請專利範圍第26項之電腦系統,其進一步包含在該 電路設計之該等多個胞元與連結點之間配置該時間預 算。1 if- Η The size difference rule for this circuit design is generated based on the gap time of this decision. 26. The computer system of claim 25, further comprising separating the calculated progressive time difference between the plurality of cells of the circuit design and the junction. 27. The computer system of claim 26, further comprising configuring the time budget between the plurality of cells of the circuit design and the junction. 10 1510 15 28. 如申請專利範圍第27項之電腦系統,其中配置該時間預 算包括配置關於1C特徵化參數之差異資訊。 29. 如申請專利範圍第26項之電腦系統,其進一步包含在該 等多個胞元與連結點之間分隔該累進時間差異所指之 該間隙時間。 30. 如申請專利範圍第29項之電腦系統,其進一步包含產生 一時間變異資料庫,其包括與該等多個胞元與連結點之 該分隔之間隙時間for相關聯的最大與最小時間變異。 31. 如申請專利範圍第30項之電腦系統,其進一步包含從對 應於一胞元之時間變異推衍該胞元之偏異資訊。 32. 如申請專利範圍第25項之電腦系統,其中估計該累進時 間差異包含產生累進時間差異之一最大/最小時間變異 資料庫,以及從對應於多個互連結構每一者之時間變異 產生一胞元之偏異特徵化資訊。 33. 如申請專利範圍第25項之電腦系統,其進一步包含產生 該電路設計中造成違抗該時間預算之一差異源的一回 49 20 L ,,〜,>tJiW, !-,' —Ί|,Μ,Ι(„* ' - · ♦ I 報。 34.如申請專利範圍第25項之電腦系統,其中產生該電路設 計之尺寸差異規則包含根據該偏異幾何資訊和該時間 預算執行形狀間隙預算。 5 35.如申請專利範圍第25項之電腦系統,其進一步包含產生 對應於該電路設計之該等胞元或連結點之一偏異預算 分派。 36. 如申請專利範圍第25項之電腦系統,其中偏異幾何資訊 包括與該電路設計之元件相關聯的長度、寬度、及厚度 10 變異資訊之其中至少一者。 37. —種利用對應於電路設計之特徵化參數的偏異資訊將 電路設計特徵化之系統,其包含: 用於接收一電路設計之裝置,其包括多個胞元與互 連結構; 15 用於判定該電路設計之偏異幾何資訊之裝置; 利用該判定之偏異幾何資訊來計算該電路設計之 累進時間差異之裝置;以及 用於估計違抗一時間預算之該累進時間差異來判 定該電路設計中之間隙時間的裝置;以及 20 用於根據該判定之間隙時間產生該電路設計之尺 寸差異規則的裝置。 5028. The computer system of claim 27, wherein configuring the time budget includes configuring information about differences in 1C characterization parameters. 29. The computer system of claim 26, further comprising separating the gap time between the plurality of cells and the junction point by the difference in the progressive time. 30. The computer system of claim 29, further comprising generating a time-variant database comprising maximum and minimum time variabilities associated with the gap time for the plurality of cells and the separation point of the joints . 31. The computer system of claim 30, further comprising a biased information derived from a time variation corresponding to a cell. 32. The computer system of claim 25, wherein the estimated time difference comprises a maximum/minimum time variation database that produces a difference in progressive time and a time variation from each of the plurality of interconnect structures A characteristic characterization of a cell. 33. The computer system of claim 25, further comprising a 49 20 L, ~, > tJiW, !-, '-Ί generating a source of variation in the circuit design that is defying the time budget |,Μ,Ι(„* - · ♦ I报. 34. The computer system of claim 25, wherein the size difference rule for generating the circuit design includes executing the shape according to the bias geometry information and the time budget The gap budget. 5 35. The computer system of claim 25, further comprising generating a biased budget allocation corresponding to one of the cells or the connection points of the circuit design. 36. A computer system wherein the biased geometric information includes at least one of length, width, and thickness 10 variability information associated with the component of the circuit design. 37. Using a singularity parameter corresponding to a circuit design A system for characterizing a circuit design, comprising: means for receiving a circuit design comprising a plurality of cells and an interconnect structure; 15 for determining a skew geometry of the circuit design Means for calculating a progressive time difference of the circuit design using the biased geometric information of the determination; and means for determining a gap time in the circuit design for estimating the difference in the time difference against the budget of one time; 20 means for generating a dimensional difference rule for the circuit design based on the determined gap time.
TW094101967A 2004-04-02 2005-01-24 Delta information design closure in integrated circuit fabrication TWI297446B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US55926704P 2004-04-02 2004-04-02

Publications (2)

Publication Number Publication Date
TW200534132A TW200534132A (en) 2005-10-16
TWI297446B true TWI297446B (en) 2008-06-01

Family

ID=45069097

Family Applications (1)

Application Number Title Priority Date Filing Date
TW094101967A TWI297446B (en) 2004-04-02 2005-01-24 Delta information design closure in integrated circuit fabrication

Country Status (1)

Country Link
TW (1) TWI297446B (en)

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8653857B2 (en) 2006-03-09 2014-02-18 Tela Innovations, Inc. Circuitry and layouts for XOR and XNOR logic
US9563733B2 (en) 2009-05-06 2017-02-07 Tela Innovations, Inc. Cell circuit and layout with linear finfet structures
US8658542B2 (en) 2006-03-09 2014-02-25 Tela Innovations, Inc. Coarse grid design methods and structures
US7763534B2 (en) 2007-10-26 2010-07-27 Tela Innovations, Inc. Methods, structures and designs for self-aligning local interconnects used in integrated circuits
US7956421B2 (en) 2008-03-13 2011-06-07 Tela Innovations, Inc. Cross-coupled transistor layouts in restricted gate level layout architecture
US7446352B2 (en) 2006-03-09 2008-11-04 Tela Innovations, Inc. Dynamic array architecture
US8541879B2 (en) 2007-12-13 2013-09-24 Tela Innovations, Inc. Super-self-aligned contacts and method for making the same
TWI569160B (en) * 2007-03-05 2017-02-01 泰拉創新股份有限公司 Method for defining layout, generating cell library and designing integrated circuit, and set of masks for multiple patterning
US8667443B2 (en) 2007-03-05 2014-03-04 Tela Innovations, Inc. Integrated circuit cell library for multiple patterning
US8103990B2 (en) * 2008-02-28 2012-01-24 Arm Limited Characterising circuit cell performance variability in response to perturbations in manufacturing process parameters

Also Published As

Publication number Publication date
TW200534132A (en) 2005-10-16

Similar Documents

Publication Publication Date Title
TWI297446B (en) Delta information design closure in integrated circuit fabrication
US7360191B2 (en) Delta information design closure integrated circuit fabrication
US7216320B2 (en) Delta-geometry timing prediction in integrated circuit fabrication
Scheible et al. Automation of analog IC layout: Challenges and solutions
CN107533576A (en) The reuse of effect is relied on for the layout of the extraction of the circuit design using master die
US8239805B2 (en) Method for designing integrated circuits employing a partitioned hierarchical design flow and an apparatus employing the method
CN101542488A (en) Properties in electronic design automation
US9785740B2 (en) Computer implemented system and method for modifying a layout of standard cells defining a circuit component
US10346579B2 (en) Interactive routing of connections in circuit using auto welding and auto cloning
TWI253703B (en) Delta-geometry timing prediction in integrated circuit fabrication
Filipovic et al. DTCO flow for air spacer generation and its impact on power and performance at N7
CN107016146A (en) System for being laid out related variation analysis
CN108933175A (en) Semiconductor device
Hamouda et al. Efficient model-based dummy-fill OPC correction flow for deep sub-micron technology nodes
De Chen et al. Advanced 3D Design Technology Co-Optimization for Manufacturability
Grudanov et al. Smart-CX–Method of extraction of parasitic capacitances in ICs
Chan et al. Measurement and optimization of electrical process window
Brandenburg et al. DFM: where's the proof of value?
Basha et al. P/G Pin Position-Aware Voltage Island Floorplanning For IR Drop Security and avoidance in Flip Chip Designs of FIR Filter
Lee et al. Place and Route Optimization for High Coverage Multi-corner Multi-mode Timing Fix
White et al. Electrically aware design methodologies for advanced process nodes
Balasinski et al. DfM at 28 nm and Beyond
Chan et al. Performance-driven circuit and layout co-optimization for deep-submicron analog circuits
Boros CLKOPT: Zero-Skew Targeted Clock Network Optimization for Synchronous Systems in Standard Cell VLSI
Salem Electrical Design for Manufacturability Solutions: Fast Systematic Variation Analysis and Design Enhancement Techniques

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees