JP2018067726A - 制限付きゲートレベルレイアウトアーキテクチャにおける交差結合トランジスタレイアウト - Google Patents

制限付きゲートレベルレイアウトアーキテクチャにおける交差結合トランジスタレイアウト Download PDF

Info

Publication number
JP2018067726A
JP2018067726A JP2017233065A JP2017233065A JP2018067726A JP 2018067726 A JP2018067726 A JP 2018067726A JP 2017233065 A JP2017233065 A JP 2017233065A JP 2017233065 A JP2017233065 A JP 2017233065A JP 2018067726 A JP2018067726 A JP 2018067726A
Authority
JP
Japan
Prior art keywords
gate electrode
gate
channel transistor
transistor
pull
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2017233065A
Other languages
English (en)
Other versions
JP6462838B2 (ja
Inventor
スコット ティー ベッカー
T Becker Scott
スコット ティー ベッカー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tela Innovations Inc
Original Assignee
Tela Innovations Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=41052712&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=JP2018067726(A) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by Tela Innovations Inc filed Critical Tela Innovations Inc
Publication of JP2018067726A publication Critical patent/JP2018067726A/ja
Application granted granted Critical
Publication of JP6462838B2 publication Critical patent/JP6462838B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/10Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration
    • H01L27/118Masterslice integrated circuits
    • H01L27/11803Masterslice integrated circuits using field effect technology
    • H01L27/11807CMOS gate arrays
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/392Floor-planning or layout, e.g. partitioning or placement
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/398Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49838Geometry or layout
    • H01L23/49844Geometry or layout for devices being provided for in H01L29/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5386Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/0203Particular design considerations for integrated circuits
    • H01L27/0207Geometrical layout of the components, e.g. computer aided design; custom LSI, semi-custom LSI, standard cell technique
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B10/00Static random access memory [SRAM] devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B10/00Static random access memory [SRAM] devices
    • H10B10/12Static random access memory [SRAM] devices comprising a MOSFET load element
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B99/00Subject matter not provided for in other groups of this subclass
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2119/00Details relating to the type or aim of the analysis or the optimisation
    • G06F2119/18Manufacturability analysis or optimisation for manufacturability
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/10Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration
    • H01L27/118Masterslice integrated circuits
    • H01L27/11803Masterslice integrated circuits using field effect technology
    • H01L27/11807CMOS gate arrays
    • H01L2027/11809Microarchitecture
    • H01L2027/11851Technology used, i.e. design rules
    • H01L2027/11853Sub-micron technology
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/10Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration
    • H01L27/118Masterslice integrated circuits
    • H01L27/11803Masterslice integrated circuits using field effect technology
    • H01L27/11807CMOS gate arrays
    • H01L2027/11868Macro-architecture
    • H01L2027/11874Layout specification, i.e. inner core region
    • H01L2027/11875Wiring region, routing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/10Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration
    • H01L27/118Masterslice integrated circuits
    • H01L27/11803Masterslice integrated circuits using field effect technology
    • H01L27/11807CMOS gate arrays
    • H01L2027/11883Levels of metallisation
    • H01L2027/11887Three levels of metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Theoretical Computer Science (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • Evolutionary Computation (AREA)
  • Architecture (AREA)
  • Manufacturing & Machinery (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Semiconductor Memories (AREA)
  • Semiconductor Integrated Circuits (AREA)

Abstract

【課題】制限付きゲートレベルレイアウトアーキテクチャにおける交差結合トランジスタのレイアウト技術を提供する。【解決手段】第1のPチャンネルトランジスタ、第1のNチャンネルトランジスタ、第2のPチャンネルトランジスタ、及び第2のNチャンネルトランジスタの各々は、共通ノードに電気的に接続されたそれぞれの拡散端子を有する。第1、第2、第3、及び第4のゲート電極の各々は、平行に配向されたいくつかのゲート電極トラックのうちのいずれかに沿って、そのゲート電極トラックに隣接するゲート電極トラックに関連付けられたいずれのゲートレベル特徴部レイアウトチャンネル内に形成されたゲートレベル特徴部とも物理的に接触することなく延びるように形成される。【選択図】図4

Description

本発明は、制限付きゲートレベルレイアウトアーキテクチャにおける交差結合トランジスタのレイアウトに関する。
より高い性能及びより小さいダイへの高い要求を背景に、半導体産業は、回路チップ面積を2年毎にほぼ50%だけ縮小させている。チップ面積の縮小は、新しい技術へと移行するのに経済的な恩典をもたらす。50%のチップ面積の縮小は、特徴部のサイズ(feature sizes)を25%と30%の間で縮小することによって達成される。特徴部サイズ(feature sizes)の縮小は、製造機器及び材料における改善によって可能になる。例えば、リソグラフィ工程における改善は、より小さい特徴部サイズを得ることを可能にし、その一方で化学機械研磨(CMP)における改善は、より多数の相互接続層を一部可能にした。
リソグラフィの発展において、最小特徴部サイズが、特徴部形状(feature shapes)を露光するのに用いられる光源の波長に近づく時に、隣接する特徴部の間で意図しない相互作用が発生した。今日、最小特徴部サイズは、45nm(ナノメートル)に近づいており、その一方でフォトリソグラフィ工程に用いられる光源の波長は193nmのままに留まっている。最小特徴部サイズとフォトリソグラフィ工程に用いられる光の波長の間の差は、リソグラフィギャップとして定められる。リソグラフィギャップが増長すると、リソグラフィ工程の解像機能は低下する。
マスク上の各形状が光と相互作用すると、干渉パターンが発生する。隣接する形状からの干渉パターンは、建設的干渉又は破壊的干渉を発生させる可能性がある。建設的干渉の場合には、望ましくない形状が不用意に達成される可能性がある。破壊的干渉の場合には、望ましい形状が不用意に除去される可能性がある。いずれの場合にも、特定の形状が、目標とするものとは異なる方式で印刷され、場合によってはデバイス障害を引き起こす。
光学近接効果補正(OPC)のような補正法は、隣接する形状からの影響を予測し、印刷される形状が望み通りに製作されるようにマスクを修正することを試る。光相互作用予測の質は、工程の幾何学形状が縮小すると、更に、光相互作用が複雑になると低下する。
以上の考察から、技術が半導体素子のより小さい特徴部サイズへと邁進し続ける中で、リソグラフィギャップを管理するための解決法が必要である。
一実施形態では、半導体チップ内の交差結合(cross-coupled)トランジスタ構成を開示する。交差結合トランジスタ構成は、チップのゲートレベル内に形成された第1のゲート電極を有する第1のPチャンネルトランジスタを含む。同様に、交差結合トランジスタ構成は、チップのゲートレベル内に形成された第2のゲート電極を有する第1のNチャンネルトランジスタを含む。第1のNチャンネルトランジスタの第2のゲート電極は、第1のPチャンネルトランジスタの第1のゲート電極に電気的に接続される。更に、交差結合トランジスタ構成は、チップのゲートレベル内に形成された第3のゲート電極を有する第2のPチャンネルトランジスタを含む。同様に、交差結合トランジスタ構成は、チップのゲートレベル内に形成された第4のゲート電極を有する第2のNチャンネルトランジスタを含む。第2のNチャンネルトランジスタの第4のゲート電極は、第2のPチャンネルトランジスタの第3のゲート電極に電気的に接続される。第1のPチャンネルトランジスタ、第1のNチャンネルトランジスタ、第2のPチャンネルトランジスタ、及び第2のNチャンネルトランジスタの各々は、共通ノードに電気的に接続されたそれぞれの拡散端子を有する。また、第1、第2、第3、及び第4のゲート電極の各々は、ゲートレベル特徴部(gate level feature)レイアウトチャンネル内に形成されたそれぞれのゲートレベル特徴部の一部分に対応する。各ゲートレベル特徴部は、そのゲートレベル特徴部レイアウトチャンネル内で、隣接するゲートレベル特徴部レイアウトチャンネル内に形成された別のゲートレベル特徴部と物理的に接触することなく形成される。
一実施形態では、交差結合トランジスタレイアウトを開示する。交差結合トランジスタレイアウトは、チップのゲートレベル内に形成された第1のゲート電極を有する第1のPチャンネルトランジスタを含む。同様に、交差結合トランジスタレイアウトは、チップのゲートレベル内に形成された第2のゲート電極を有する第1のNチャンネルトランジスタを含む。第1のNチャンネルトランジスタの第2のゲート電極は、第1のPチャンネルトランジスタの第1のゲート電極に電気的に接続される。更に、交差結合トランジスタレイアウトは、チップのゲートレベル内に形成された第3のゲート電極を有する第2のPチャンネルトランジスタを含む。同様に、交差結合トランジスタレイアウトは、チップのゲートレベル内に形成された第4のゲート電極を有する第2のNチャンネルトランジスタを含む。第2のNチャンネルトランジスタの第4のゲート電極は、第2のPチャンネルトランジスタの第3のゲート電極に電気的に接続される。第1のPチャンネルトランジスタ、第1のNチャンネルトランジスタ、第2のPチャンネルトランジスタ、及び第2のNチャンネルトランジスタの各々は、共通ノードに電気的に接続されたそれぞれの拡散端子を有する。また、第1、第2、第3、及び第4のゲート電極の各々は、ゲートレベル特徴部レイアウトチャンネル内に形成されたそれぞれのゲートレベル特徴部の一部分に対応する。各ゲートレベル特徴部は、そのゲートレベル特徴部レイアウトチャンネル内で、隣接するゲートレベル特徴部レイアウトチャンネル内に形成された別のゲートレベル特徴部と物理的に接触することなく形成される。
別の実施形態では、半導体チップを開示する。チップは、対応するゲート電極によって形成される第1のPチャンネルトランジスタを含む。同様に、チップは、対応するゲート電極によって形成される第1のNチャンネルトランジスタを含む。同様に、チップは、対応するゲート電極によって形成される第2のPチャンネルトランジスタを含む。同様に、チップは、対応するゲート電極によって形成される第2のNチャンネルトランジスタを含む。第1のPチャンネルトランジスタ、第1のNチャンネルトランジスタ、第2のPチャンネルトランジスタ、及び第2のNチャンネルトランジスタの各々は、チップのゲートレベル内に形成され、共通拡散ノードに電気的に接続される。第1のPチャンネルトランジスタのゲート電極は、第1のNチャンネルトランジスタのゲート電極に電気的に接続される。第2のPチャンネルトランジスタのゲート電極は、第2のNチャンネルトランジスタのゲート電極に電気的に接続される。第1、第2、第3、及び第4のゲート電極の各々は、ゲートレベル特徴部レイアウトチャンネル内に形成されたそれぞれのゲートレベル特徴部の一部分に対応する。また、各ゲートレベル特徴部は、そのゲートレベル特徴部レイアウトチャンネル内で、隣接するゲートレベル特徴部レイアウトチャンネル内に形成された別のゲートレベル特徴部に物理的に接触することなく形成される。
本発明の他の態様及び利点は、本発明を例示的に示す添付図面と併せて以下の詳細説明を参照することによってより明らかになるであろう。
従来技術のSRAMビットセル回路の図である。 インバータのそれぞれの内部トランジスタ構成を指定するためにこれらのインバータを拡大した従来技術の図1AのSRAMビットセルの図である。 本発明の一実施形態による交差結合トランジスタ構成の図である。 制限付きゲートレベルレイアウトアーキテクチャ内に形成された本発明の一実施形態によるゲート電極トラックの図である。 いくつかの例示的なゲートレベル特徴部が内部に形成された本発明の一実施形態による図3Aの例示的な制限付きゲートレベルレイアウトアーキテクチャの図である。 本発明の一実施形態による交差結合トランジスタ構成の拡散レベル及びゲートレベルのレイアウトの図である。 交差結合トランジスタ構成が交差ゲート電極接続を用いて3つのゲート電極トラック上に形成された図4の交差結合トランジスタ構成の変形の図である。 交差結合トランジスタ構成が交差ゲート電極接続を用いて4つのゲート電極トラック上に形成された図4の交差結合トランジスタ構成の変形の図である。 交差結合トランジスタ構成が交差ゲート電極接続を用いずに2つのゲート電極トラック上に形成された図4の交差結合トランジスタ構成の変形の図である。 交差結合トランジスタ構成が交差ゲート電極接続を用いずに3つのゲート電極トラック上に形成された図4の交差結合トランジスタ構成の変形の図である。 交差結合トランジスタ構成が交差ゲート電極接続を用いずに4つのゲート電極トラック上に形成された図4の交差結合トランジスタ構成の変形の図である。 交差ゲート電極接続を用いて3つのゲート電極トラック上に形成された交差結合トランジスタ構成を含む本発明の一実施形態による多重レベルレイアウトの図である。 交差ゲート電極接続を用いて4つのゲート電極トラック上に形成された交差結合トランジスタ構成を含む本発明の一実施形態による多重レベルレイアウトの図である。 交差ゲート電極接続を用いずに2つのゲート電極トラック上に形成された交差結合トランジスタ構成を含む本発明の一実施形態による多重レベルレイアウトの図である。 交差ゲート電極接続を用いずに3つのゲート電極トラック上に形成された交差結合トランジスタ構成を含む本発明の一実施形態による多重レベルレイアウトの図である。 4つ全ての交差結合トランジスタが共通ノードに直接に接続した本発明の一実施形態による汎用マルチプレクサ回路の図である。 プルアップ論理回路及びプルダウン論理回路の詳細図を併せた本発明の一実施形態による図14Aの例示的実施の図である。 制限付きゲートレベルレイアウトアーキテクチャの交差結合トランジスタレイアウトを用いて実施された本発明の一実施形態による図14Bのマルチプレクサ回路の多重レベルレイアウトの図である。 2つの交差結合トランジスタが共通ノードに直接に接続したままであり、かつ2つの交差結合トランジスタが共通ノードに対してそれぞれプルアップ論理回路及びプルダウン論理回路の外側に位置決めされた本発明の一実施形態による図14Aのマルチプレクサ回路の図である。 プルアップ論理回路及びプルダウン論理回路の詳細図を併せた本発明の一実施形態による図15Aのマルチプレクサ回路の例示的実施の図である。 制限付きゲートレベルレイアウトアーキテクチャの交差結合トランジスタレイアウトを用いて実施された本発明の一実施形態による図15Bのマルチプレクサ回路の多重レベルレイアウトの図である。 交差結合トランジスタが接続されて共通ノードへの2つの伝送ゲートが形成された本発明の一実施形態による汎用マルチプレクサ回路の図である。 駆動論理回路の詳細図を併せた本発明の一実施形態による図16Aのマルチプレクサ回路の例示的実施の図である。 制限付きゲートレベルレイアウトアーキテクチャの交差結合トランジスタレイアウトを用いて実施された本発明の一実施形態による図16Bのマルチプレクサ回路の多重レベルレイアウトの図である。 4つの交差結合トランジスタのうちの2つのトランジスタが接続されて共通ノードへの伝送ゲートが形成された本発明の一実施形態による汎用マルチプレクサ回路の図である。 駆動論理回路の詳細図と併せた本発明の一実施形態による図17Aのマルチプレクサ回路の例示的実施の図である。 制限付きゲートレベルレイアウトアーキテクチャの交差結合トランジスタレイアウトを用いて実施された本発明の一実施形態による図17Bのマルチプレクサ回路の多重レベルレイアウトの図である。 交差結合トランジスタ構成を用いて実施された本発明の一実施形態による汎用ラッチ回路の図である。 プルアップドライバ論理回路、プルダウンドライバ論理回路、プルアップフィードバック論理回路、及びプルダウンフィードバック論理回路の詳細図と併せた本発明の一実施形態による図18Aのラッチ回路の例示的実施の図である。 制限付きゲートレベルレイアウトアーキテクチャの交差結合トランジスタレイアウトを用いて実施された本発明の一実施形態による図18Bのラッチ回路の多重レベルレイアウトの図である。 2つの交差結合トランジスタが出力ノードに直接に接続したままであり、かつ2つの交差結合トランジスタが共通ノードに対してそれぞれプルアップドライバ論理回路及びプルダウンドライバ論理回路の外側に位置決めされた本発明の一実施形態による図18Aのラッチ回路の図である。 プルアップドライバ論理回路、プルダウンドライバ論理回路、プルアップフィードバック論理回路、及びプルダウンフィードバック論理回路の詳細図と併せた本発明の一実施形態による図19Aのラッチ回路の例示的実施の図である。 制限付きゲートレベルレイアウトアーキテクチャの交差結合トランジスタレイアウトを用いて実施された本発明の一実施形態による図19Bのラッチ回路の多重レベルレイアウトの図である。 2つの交差結合トランジスタが出力ノードに直接に接続したままであり、かつ2つの交差結合トランジスタが共通ノードに対してそれぞれプルアップフィードバック論理回路及びプルダウンフィードバック論理回路の外側に位置決めされた本発明の一実施形態による図18Aのラッチ回路の図である。 プルアップドライバ論理回路、プルダウンドライバ論理回路、プルアップフィードバック論理回路、及びプルダウンフィードバック論理回路の詳細図と併せた本発明の一実施形態による図20Aのラッチ回路の例示的実施の図である。 制限付きゲートレベルレイアウトアーキテクチャの交差結合トランジスタレイアウトを用いて実施された本発明の一実施形態による図20Bのラッチ回路の多重レベルレイアウトの図である。 交差結合トランジスタが接続されて共通ノードへの2つの伝送ゲートが形成された本発明の一実施形態による汎用ラッチ回路の図である。 駆動論理回路及びフィードバック論理回路の詳細図と併せた本発明の一実施形態による図21Aのラッチ回路の例示的実施の図である。 制限付きゲートレベルレイアウトアーキテクチャの交差結合トランジスタレイアウトを用いて実施された本発明の一実施形態による図21Bのラッチ回路の多重レベルレイアウトの図である。 4つの交差結合トランジスタのうちの2つのトランジスタが接続されて共通ノードへの伝送ゲートが形成された本発明の一実施形態による汎用ラッチ回路の図である。 駆動論理回路、プルアップフィードバック論理回路、及びプルダウンフィードバック論理回路の詳細図と併せた本発明の一実施形態による図22Aのラッチ回路の例示的実施の図である。 制限付きゲートレベルレイアウトアーキテクチャの交差結合トランジスタレイアウトを用いて実施された本発明の一実施形態による図22Bのラッチ回路の多重レベルレイアウトの図である。
以下の説明では、本発明の完全な理解をもたらすために、数々の特定の詳細内容を示している。しかし、当業者には、これらの特定の詳細内容の一部又は全てを用いなくても本発明を実施することができることは明らかであろう。この他としては、本発明を不要に不明瞭にしないために、公知の工程作動に対しては詳細には説明しない。
(SRAMビットセル構成)
図1Aは、従来技術のSRAM(静的ランダムアクセスメモリ)ビットセル回路を示している。SRAMビットセルは、2つの交差結合インバータ106及び102を含む。特に、インバータ106の出力106Bは、インバータ102の入力102Aに接続され、インバータ102の出力102Bは、インバータ106の入力106Aに接続される。更に、SRAMビットセルは、NMOSパストランジスタ100及び104を含む。NMOSパストランジスタ100は、ビット線103と、インバータ106の出力106B及びインバータ102の入力102Aの両方に対応するノード109の間に接続される。NMOSパストランジスタ104は、ビット線105と、インバータ102の出力102B及びインバータ106の入力106Aの両方に対応するノード111との間に接続される。
また、NMOSパストランジスタ100及び104のそれぞれのゲートの各々は、NMOSパストランジスタ100及び104を通じたSRAMビットセルへのアクセスを制御するワード線107に接続される。SRAMビットセルは、双方向書込みを必要とし、これは、ビット線103がハイに駆動された時にビット線105はローに駆動され、その逆も同様であることを意味する。当業者には、SRAMビットセルに記憶される論理状態は、ノード109と111とによって相補方式で維持されることを理解すべきである。
図1Bは、インバータ106及び102のそれぞれの内部トランジスタ構成を指定するためにこれらのインバータを拡大した従来技術の図1AのSRAMビットセルを示している。インバータ106は、PMOSトランジスタ115及びNMOSトランジスタ113を含む。PMOS及びNMOSトランジスタ115、113のそれぞれのゲートは、インバータ106の入力106Aを形成するように互いに接続される。同様に、PMOS及びNMOSトランジスタ115、113の各々は、そのそれぞれの端子のうちに、インバータ106の出力106Bを形成するように互いに接続した1つのものを有する。PMOSトランジスタ115の残りの端子は、電源117に接続される。NMOSトランジスタ113の残りの端子は、接地電位119に接続される。従って、PMOSトランジスタ115とNMOSトランジスタ113とは、相補方式で作動される。インバータ106の入力106Aにハイ論理状態が存在する時には、NMOSトランジスタ113は起動され、PMOSトランジスタ115は停止され、それによってインバータ106の出力106Bにおいてロー論理状態が発生する。インバータ106の入力106Aにおいてロー論理状態が存在する時には、NMOSトランジスタ113は停止され、PMOSトランジスタ115は起動され、それによってインバータ106の出力106Bにおいてハイ論理状態が発生する。
インバータ102は、インバータ106と同等の方式で形成される。インバータ102は、PMOSトランジスタ121及びNMOSトランジスタ123を含む。PMOSトランジスタ121及びNMOSトランジスタ123のそれぞれのゲートは、インバータ102の入力102Aを形成するように互いに接続される。同様に、PMOSトランジスタ121及びNMOSトランジスタ123の各々は、そのそれぞれの端子のうちに、インバータ102の出力102Bを形成するように互いに接続した1つのものを有する。PMOSトランジスタ115の残りの端子は、電源117に接続される。NMOSトランジスタ123の残りの端子は、接地電位119に接続される。従って、PMOSトランジスタ121とNMOSトランジスタ123とは、相補方式で作動される。インバータ102の入力102Aにハイ論理状態が存在する時には、NMOSトランジスタ123は起動され、PMOSトランジスタ121は停止され、それによってインバータ102の出力102Bにおいてロー論理状態が発生する。インバータ102の入力102Aにおいてロー論理状態が存在する時には、NMOSトランジスタ123は停止され、PMOSトランジスタ121は起動され、それによってインバータ102の出力102Bにおいてハイ論理状態が発生する。
(交差結合トランジスタ構成)
図2は、本発明の一実施形態による交差結合トランジスタ構成を示している。交差結合トランジスタ構成は、PMOSトランジスタ401、NMOSトランジスタ405、PMOSトランジスタ403、及びNMOSトランジスタ407という4つのトランジスタを含む。PMOSトランジスタ401は、プルアップ論理回路209Aに接続した1つの端子、及び共通ノード495に接続した別の端子を有する。NMOSトランジスタ405は、プルダウン論理回路211Aに接続した1つの端子、及び共通ノード495に接続した別の端子を有する。PMOSトランジスタ403は、プルアップ論理回路209Bに接続した1つの端子、及び共通ノード495に接続した別の端子を有する。NMOSトランジスタ407は、プルダウン論理回路211Bに接続した1つの端子、共通ノード495に接続した別の端子を有する。PMOSトランジスタ401及びNMOSトランジスタ407のそれぞれのゲートは、両方共にゲートノード491に接続される。NMOSトランジスタ405及びPMOSトランジスタ403のそれぞれのゲートは、両方共にゲートノード493に接続される。ゲートノード491及び493をそれぞれ制御ノード491及び493とも呼ぶ。更に、共通ノード495、ゲートノード491、及びゲートノード493の各々をそれぞれ電気接続495、491、493と呼ぶことができる。
以上に基づくと、交差結合トランジスタ構成は、1)第1のPMOSトランジスタ、2)第1のNMOSトランジスタ、3)第2のPMOSトランジスタ、及び4)第2のNMOSトランジスタという4つのトランジスタを含む。更に、交差結合トランジスタ構成は、1)4つのトランジスタの各々が、その端子のうちに同じ共通ノードに接続した1つのものを有し、2)一方のPMOSトランジスタのゲート及び一方のNMOSトランジスタのゲートが、両方共に第1のゲートノードに接続され、かつ3)他方のPMOSトランジスタのゲート及び他方のNMOSトランジスタのゲートが、両方共に第2のゲートノードに接続されるという3つの必要な電気接続を含む。
図2の交差結合トランジスタは、交差結合トランジスタの基本構成を表していることを理解すべきである。他の実施形態では、図2の交差結合トランジスタ構成内のあらゆるノードに、付加的な回路構成要素を接続することができる。更に、他の実施形態では、図2の交差結合トランジスタ構成から逸脱することなく、交差結合トランジスタ(401、405、403、407)のうちのいずれか1つ又はそれよりも多くと共通ノード495との間に付加的な回路構成要素を挿入することができる。
(SRAMビットセルと交差結合トランジスタ構成の間の相違点)
図1A〜図1BのSRAMビットセルは、交差結合トランジスタ構成を含まないことを理解すべきである。特に、SRAMビットセル内の交差結合「インバータ」106及び102は、交差結合トランジスタ構成を示しておらず、又は示唆もしていないことを理解すべきである。上述のように、交差結合トランジスタ構成は、4つのトランジスタの各々が、その端子のうちに同じ共通ノードに電気的に接続された1つのものを有することを必要とする。この要件はSRAMビットセルでは発生しない。
図1BのSRAMビットセルを参照すると、PMOSトランジスタ115及びNMOSトランジスタ113の端子はノード109おいてに互いに接続されているが、PMOSトランジスタ121及びNMOSトランジスタ123の端子はノード111において互いに接続されている。より具体的には、インバータの出力106Bにおいて互いに接続したPMOSトランジスタ115の端子とNMOSトランジスタ113の端子とは、PMOSトランジスタ121及びNMOSトランジスタ123の各々のゲートに接続され、従って、PMOSトランジスタ121の端子とNMOSトランジスタ123の端子の両方に接続されない。従って、SRAMビットセルは、各々が、その端子のうちに、同じ共通ノードにおいて互いに接続した1つのものを有する4つのトランジスタ(2つのPMOS及び2つのNMOS)を含まない。その結果、SRAMビットセルは、図2に関連して説明したもののような交差結合トランジスタ構成を示さないか、又は含まない。
(制限付きゲートレベルレイアウトアーキテクチャ)
本発明は、半導体チップの一部分内に制限付きゲートレベルレイアウトアーキテクチャを実施する。ゲートレベルに対しては、いくつかの平行な仮想線がレイアウトにわたって延びるように形成される。これらの平行な仮想線は、レイアウト内の様々なトランジスタのゲート電極のインデックス付けに用いられるので、これらの仮想線をゲート電極トラックと呼ぶ。一実施形態では、ゲート電極トラックを形成する平行な仮想線は、特定のゲート電極ピッチに等しいこれらの仮想線の間の垂直の間隔によって定められる。従って、ゲート電極トラック上でのゲート電極セグメントの配置は、特定のゲート電極ピッチに対応する。別の実施形態では、ゲート電極トラックは、特定のゲート電極ピッチよりも大きく、又はそれに等しい可変ピッチで離間される。
図3Aは、制限付きゲートレベルレイアウトアーキテクチャ内に形成された本発明の一実施形態によるゲート電極トラック301A〜301Eの例を示している。ゲート電極トラック301A〜301Eは、チップのゲートレベルレイアウトにわたって延びる平行な仮想線によって形成され、これらの仮想線の間の垂直の間隔は、特定のゲート電極ピッチ307に等しい。図3Aには、例示目的で相補拡散領域303及び305を示している。
拡散領域303及び305は、ゲートレベルの下の拡散レベル内に形成されることを理解すべきである。また、拡散領域303及び305は、例示的に提供したものであり、制限付きゲートレベルレイアウトアーキテクチャに関して拡散レベル内での拡散領域のサイズ、形状、及び/又は配置に対するいずれの制限も表すわけでは決してないことを理解すべきである。
制限付きゲートレベルレイアウトアーキテクチャ内では、ゲートレベル特徴部レイアウトチャンネルは、所定のゲート電極トラックの周囲で所定のゲート電極トラックに隣接するゲート電極トラックの間に延びるように形成される。例えば、ゲート電極トラック301Aから301Eの周囲には、ゲートレベル特徴部レイアウトチャンネル301A−1から301E−1がそれぞれ形成される。各ゲート電極トラックは、対応するゲートレベル特徴部レイアウトチャンネルを有することを理解すべきである。また、規定のレイアウト空間の縁部、例えば、セル境界に隣接して位置決めされたゲート電極トラックでは、ゲートレベル特徴部レイアウトチャンネル301A−1及び301E−1によって例示しているように、対応するゲートレベル特徴部レイアウトチャンネルが、規定のレイアウト空間の外側に仮想ゲート電極トラックが存在するかのように延びている。各ゲートレベル特徴部レイアウトチャンネルは、その対応するゲート電極トラックの全長に沿って延びるように形成されることを更に理解すべきである。従って、各ゲートレベル特徴部レイアウトチャンネルは、チップのうちでゲートレベルレイアウトが関連付けられる部分の範囲内でゲートレベルレイアウトを横断して延びるように形成される。
制限付きゲートレベルレイアウトアーキテクチャ内では、所定のゲート電極トラックに関連付けられたゲートレベル特徴部が、この所定のゲート電極トラックに関連付けられたゲートレベル特徴部レイアウトチャンネル内に形成される。継ぎ目なく連続するゲートレベル特徴部は、トランジスタのゲート電極を定める部分と、トランジスタのゲート電極を定めない部分の両方を含むことができる。従って、継ぎ目なく連続するゲートレベル特徴部は、下にあるチップレベルの拡散領域と誘電体領域の両方にわたって延びることができる。一実施形態では、トランジスタのゲート電極を形成するゲートレベル特徴部の各部分は、実質的に所定のゲート電極トラック上に中心が形成されるように位置決めされる。更に、この実施形態では、ゲートレベル特徴部のうちでトランジスタのゲート電極を形成しない部分は、所定のゲート電極トラックに関連付けられたゲートレベル特徴部レイアウトチャンネルに位置決めすることができる。従って、所定のゲートレベル特徴部は、この所定のゲートレベル特徴部のゲート電極部分の中心が、所定のゲートレベル特徴部レイアウトチャンネルに対応するゲート電極トラック上に形成される限り、更に、所定のゲートレベル特徴部が、隣接するゲートレベルレイアウトチャンネル内の他のゲートレベル特徴部に対する設計規則間隔要件に準拠する限り、基本的に所定のゲートレベル特徴部レイアウトチャンネル内の何処にでも形成することができる。更に、隣接するゲート電極トラックに関連付けられたゲートレベル特徴部レイアウトチャンネル内に形成されたゲートレベル特徴部の間では、物理的接触が禁止される。
図3Bは、いくつかの例示的なゲートレベル特徴部309〜323が内部に形成された本発明の一実施形態による図3Aの例示的な制限付きゲートレベルレイアウトアーキテクチャを示している。ゲートレベル特徴部309は、ゲート電極トラック301Aに関連付けられたゲートレベル特徴部レイアウトチャンネル301A−1内に形成される。ゲートレベル特徴部309のゲート電極部分の中心は、実質的にゲート電極トラック301A上に形成される。また、ゲートレベル特徴部309の非ゲート電極部分は、隣接するゲートレベル特徴部レイアウトチャンネル301B−1内に形成されたゲートレベル特徴部311及び313との設計規則間隔要件を維持する。同様に、ゲートレベル特徴部311〜323は、そのそれぞれのゲートレベル特徴部レイアウトチャンネル内に形成され、その中心は、実質的にそれぞれのゲートレベル特徴部レイアウトチャンネルに対応するゲート電極トラック上に形成されたゲート電極部分を有する。また、ゲートレベル特徴部311〜323の各々は、隣接するゲートレベル特徴部レイアウトチャンネル内に形成されたゲートレベル特徴部との設計規則間隔要件を維持し、隣接するゲートレベル特徴部レイアウトチャンネル内に形成されたあらゆる別のゲートレベル特徴部との物理的接触を回避することを理解すべきである。
ゲート電極は、それぞれのゲートレベル特徴部のうちで拡散領域にわたって延びる部分に対応し、それぞれのゲートレベル特徴部は、その全体がゲートレベル特徴部レイアウトチャンネル内に形成される。各ゲートレベル特徴部は、そのゲートレベル特徴部レイアウトチャンネル内で、隣接するゲートレベル特徴部レイアウトチャンネル内に形成された別のゲートレベル特徴部と物理的に接触することなく形成される。図3Bのゲートレベル特徴部レイアウトチャンネル例301A−1から301E−1によって例示しているように、各ゲートレベル特徴部レイアウトチャンネルは、所定のゲート電極トラックに関連付けられ、この所定のゲート電極トラックに沿って、かつ所定のゲート電極トラックから隣接するゲート電極トラック又はレイアウト境界の外側の仮想ゲート電極トラックのうちのいずれか最近接のものまで各反対方向に垂直外向きに延びるレイアウト領域に対応する。
いくつかのゲートレベル特徴部は、その長手方向に沿っていくつもの位置に形成された1つ又はそれよりも多くの接触ヘッド部分を有することができる。所定のゲートレベル特徴部の接触ヘッド部分は、ゲートレベル特徴部のうちでゲートコンタクト構造を受け取るのに十分なサイズの高さと幅とを有するセグメントとして形成され、ここで「幅」は、基板にわたって所定のゲートレベル特徴部のゲート電極トラックに対して垂直な方向に定められ、「高さ」は、基板にわたって所定のゲートレベル特徴部のゲート電極トラックに対して平行な方向に定められる。ゲートレベル特徴部の接触ヘッドは、上から見た時に、正方形又は矩形を含む基本的にあらゆるレイアウト形状によって形成することができることを理解すべきである。また、レイアウト要件及び回路設計によっては、ゲートレベル特徴部の所定の接触ヘッド部分は、その上に形成されるゲートコンタクトを有してもそうでなくてもよい。
本明細書に開示する様々な実施形態のゲートレベルは、上述のように制限付きゲートレベルとして形成される。ゲートレベル特徴部の一部は、トランジスタデバイスのゲート電極を形成する。ゲートレベル特徴部のうちの他のものは、ゲートレベル内の2つの点の間に延びる導電セグメントを形成することができる。同様に、ゲートレベル特徴部のうちの他のものは、集積回路作動に関して非機能的なものとすることができる。ゲートレベル特徴部の各々は、機能に関わらず、ゲートレベルにわたってこれらのゲートレベル特徴部のそれぞれのゲートレベル特徴部レイアウトチャンネル内で隣接するゲートレベル特徴部レイアウトチャンネルにおいて形成された他のゲートレベル特徴部と物理的に接触することなく延びるように形成されることを理解すべきである。
一実施形態では、ゲートレベル特徴部は、製造工程及び設計工程において正確に予測され、かつ最適化することができる有限個の制御されたレイアウト形状間リソグラフィ相互作用を生じるように形成される。この実施形態では、ゲートレベル特徴部は、正確に予測され、かつ高い確率で軽減することができない有害なリソグラフィ相互作用をレイアウト内に導入することになるレイアウト形状間空間関係を回避するように形成される。しかし、ゲートレベル特徴部のこれらのゲートレベル特徴部のゲートレベルレイアウトチャンネル内での方向変化は、対応するリソグラフィ相互作用が予測可能及び管理可能である場合は満足できることを理解すべきである。
ゲートレベル特徴部の各々は、機能に関わらず、所定のゲート電極トラックに沿ったいかなるゲートレベル特徴部もゲートレベル内で異なるゲート電極トラックに沿って形成された別のゲートレベル特徴部に非ゲートレベル特徴部を利用することなく直接に接続するように構成されないように形成されることを理解すべきである。更に、異なるゲート電極トラックに関連付けられた異なるゲートレベルレイアウトチャンネルに配置されたゲートレベル特徴部の間の各接続は、より高い相互接続レベル内に形成することができる1つ又はそれよりも多くの非ゲートレベル特徴部を通じて、すなわち、ゲートレベルの上にある1つ又はそれよりも多くの相互接続レベルを通じて、又はゲートレベルか又はその下にある局所相互接続特徴部を用いて作成される。
(交差結合トランジスタレイアウト)
上述のように、交差結合トランジスタ構成は、4つのトランジスタ(2つのPMOSトランジスタ及び2つのNMOSトランジスタ)を含む。本発明の様々な実施形態では、制限付きゲートレベルレイアウトアーキテクチャに従って形成されるゲート電極は、それぞれ、交差結合トランジスタ構成レイアウトの4つのトランジスタを形成するのに用いられる。図4は、本発明の一実施形態による交差結合トランジスタ構成の拡散レベル及びゲートレベルのレイアウトを示している。図4の交差結合トランジスタレイアウトは、ゲート電極トラック450に沿ってp型拡散領域480の上で延びるゲート電極401Aによって形成された第1のPMOSトランジスタ401を含む。第1のNMOSトランジスタ407は、ゲート電極トラック456に沿ってn型拡散領域486の上で延びるゲート電極407Aによって形成される。第2のPMOSトランジスタ403は、ゲート電極トラック456に沿ってp型拡散領域482の上で延びるゲート電極403Aによって形成される。第2のNMOSトランジスタ405は、ゲート電極トラック450に沿ってn型拡散領域484の上で延びるゲート電極405Aによって形成される。
第1のPMOSトランジスタ401及び第1のNMOSトランジスタ407のゲート電極401A及び407Aは、それぞれ、実質的に均等なゲート電極電圧を受けるように第1のゲートノード491に電気的に接続される。同様に、第2のPMOSトランジスタ403及び第2のNMOSトランジスタ405のゲート電極403A及び405Aは、それぞれ、実質的に均等なゲート電極電圧を受けるように第2のゲートノード493に電気的に接続される。また、4つのトランジスタ401、403、405、407の各々は、共通出力ノード495に電気的に接続されたそれぞれの拡散端子を有する。
交差結合トランジスタレイアウトは、制限付きゲートレベルレイアウトアーキテクチャ内でいくつかの異なる手法で実施することができる。図4の例示的な実施形態では、第1のPMOSトランジスタ401のゲート電極401Aと第2のNMOSトランジスタ405のゲート電極405Aとは、同じゲート電極トラック450に沿って位置決めされる。
同様に、第2のPMOSトランジスタ403のゲート電極403Aと第2のNMOSトランジスタ407のゲート電極407Aとは、同じゲート電極トラック456に沿って位置決めされる。従って、図4の特定的な実施形態は、交差ゲート電極接続を用いて2つのゲート電極トラック上に形成された交差結合トランジスタ構成として特徴付けることができる。
図5は、交差結合トランジスタ構成が、交差ゲート電極接続を用いて3つのゲート電極トラック上に形成された図4の交差結合トランジスタ構成の変形を示している。具体的には、第1のPMOSトランジスタ401のゲート電極401Aは、ゲート電極トラック450上に形成される。第2のPMOSトランジスタ403のゲート電極403Aは、ゲート電極トラック456上に形成される。第1のNMOSトランジスタ407のゲート電極407Aは、ゲート電極トラック456上に形成される。更に、第2のNMOSトランジスタ405のゲート電極405Aは、ゲート電極トラック448上に形成される。従って、図5の特定的な実施形態は、交差ゲート電極接続を用いて3つのゲート電極トラック上に形成された交差結合トランジスタ構成として特徴付けることができる。
図6は、交差結合トランジスタ構成が、交差ゲート電極接続を用いて4つのゲート電極トラック上に形成された図4の交差結合トランジスタ構成の変形を示している。具体的には、第1のPMOSトランジスタ401のゲート電極401Aは、ゲート電極トラック450上に形成される。第2のPMOSトランジスタ403のゲート電極403Aは、ゲート電極トラック456上に形成される。第1のNMOSトランジスタ407のゲート電極407Aは、ゲート電極トラック458上に形成される。更に、第2のNMOSトランジスタ405のゲート電極405Aは、ゲート電極トラック454上に形成される。従って、図6の特定的な実施形態は、交差ゲート電極接続を用いて4つのゲート電極トラック上に形成された交差結合トランジスタ構成として特徴付けることができる。
図7は、交差結合トランジスタ構成が、交差ゲート電極接続を用いずに2つのゲート電極トラック上に形成された図4の交差結合トランジスタ構成の変形を示している。具体的には、第1のPMOSトランジスタ401のゲート電極401Aは、ゲート電極トラック450上に形成される。第1のNMOSトランジスタ407のゲート電極407Aもまた、ゲート電極トラック450上に形成される。第2のPMOSトランジスタ403のゲート電極403Aは、ゲート電極トラック456上に形成される。更に、第2のNMOSトランジスタ405のゲート電極405Aもまた、ゲート電極トラック456上に形成される。従って、図7の特定的な実施形態は、交差ゲート電極接続を用いずに2つのゲート電極トラック上に形成された交差結合トランジスタ構成として特徴付けることができる。
図8は、交差結合トランジスタ構成が、交差ゲート電極接続を用いずに3つのゲート電極トラック上に形成された図4の交差結合トランジスタ構成の変形を示している。具体的には、第1のPMOSトランジスタ401のゲート電極401Aは、ゲート電極トラック450上に形成される。第1のNMOSトランジスタ407のゲート電極407Aもまた、ゲート電極トラック450上に形成される。第2のPMOSトランジスタ403のゲート電極403Aは、ゲート電極トラック454上に形成される。更に、第2のNMOSトランジスタ405のゲート電極405Aは、ゲート電極トラック456上に形成される。
従って、図8の特定的な実施形態は、交差ゲート電極接続を用いずに3つのゲート電極トラック上で形成された交差結合トランジスタ構成として特徴付けることができる。
図9は、交差結合トランジスタ構成が、交差ゲート電極接続を用いずに4つのゲート電極トラック上に形成された図4の交差結合トランジスタ構成の変形を示している。具体的には、第1のPMOSトランジスタ401のゲート電極401Aは、ゲート電極トラック450上に形成される。第2のPMOSトランジスタ403のゲート電極403Aは、ゲート電極トラック454上に形成される。第1のNMOSトランジスタ407のゲート電極407Aは、ゲート電極トラック452上に形成される。更に、第2のNMOSトランジスタ405のゲート電極405Aは、ゲート電極トラック456上に形成される。従って、図9の特定的な実施形態は、交差ゲート電極接続を用いずに4つのゲート電極トラック上で形成された交差結合トランジスタ構成として特徴付けることができる。
図4〜図9の交差結合トランジスタ401、403、405、407は、これらのトランジスタ独自のそれぞれの拡散領域480、482、484、486それぞれを有するように示しているが、他の実施形態は、PMOSトランジスタ401及び403に向けて継ぎ目なく連続するp型拡散領域を利用し、及び/又はNMOSトランジスタ405及び407に向けて継ぎ目なく連続するn型拡散領域を利用することができることを理解すべきである。更に、図4〜図9のレイアウト例は、p型拡散領域480と482を垂直に整列した位置に示しているが、他の実施形態では、p型拡散領域480と482は、垂直に整列しない場合があることを理解すべきである。同様に、図4〜図9のレイアウト例は、n型拡散領域484と486を垂直に整列した位置に示しているが、他の実施形態では、n型拡散領域484と486は、垂直に整列しない場合があることを理解すべきである。
図4〜図9では、ゲート電極接続を線491及び493によって電気的に表しており、共通ノード電気接続を線495によって表している。レイアウト空間内では、ゲート電極電気接続491、493及び共通ノード電気接続495の各々は、複数のチップレベルを通じて延びるいくつかのレイアウト形状によって構造的に定めることができることを理解すべきである。図10〜図13は、異なる実施形態において共通ノード電気接続491、493及び共通ノード電気接続495を如何に形成することができるかという例を示している。図10〜図13のレイアウト例は、一例として提供したものであり、共通ノード電気接続491、493及び共通ノード電気接続495に向けて利用することができる可能な多重レベル接続の限定的な組を表しているわけでは決してないことを理解すべきである。
図10は、交差ゲート電極接続を用いて3つのゲート電極トラック上に形成された交差結合トランジスタ構成を含む本発明の一実施形態による多重レベルレイアウトを示している。図10のレイアウトは、図5の交差結合トランジスタ実施形態の例示的実施を表している。第1のPMOSトランジスタ401のゲート電極401Aと第1のNMOSトランジスタ407のゲート電極407Aの間の電気接続491は、ゲートコンタクト1001、(2次元)メタル1構造1003、及びゲートコンタクト1005を含む多重レベル接続によって形成される。第2のPMOSトランジスタ403のゲート電極403Aと第2のNMOSトランジスタ405のゲート電極405Aの間の電気接続493は、ゲートコンタクト1007、(2次元)メタル1構造1009、及びゲートコンタクト1011を含む多重レベル接続によって形成される。出力ノードの電気接続495は、拡散コンタクト1013、(2次元)メタル1構造1015、拡散コンタクト1017、及び拡散コンタクト1019を含む多重レベル接続によって形成される。
図11は、交差ゲート電極接続を用いて4つのゲート電極トラック上に形成された交差結合トランジスタ構成を含む本発明の一実施形態による多重レベルレイアウトを示している。図11のレイアウトは、図6の交差結合トランジスタ実施形態の例示的実施を表している。第1のPMOSトランジスタ401のゲート電極401Aと第1のNMOSトランジスタ407のゲート電極407Aの間の電気接続491は、ゲートコンタクト1101、(2次元)メタル1構造1103、及びゲートコンタクト1105を含む多重レベル接続によって形成される。第2のPMOSトランジスタ403のゲート電極403Aと第2のNMOSトランジスタ405のゲート電極405Aの間の電気接続493は、ゲートコンタクト1107、(1次元)メタル1構造1109、ビア1111、(1次元)メタル2構造1113、ビア1115、(1次元)メタル1構造1117、及びゲートコンタクト1119を含む多重レベル接続によって形成される。出力ノードの電気接続495は、拡散コンタクト1121、(2次元)メタル1構造1123、拡散コンタクト1125、及び拡散コンタクト1127を含む多重レベル接続によって形成される。
図12は、交差ゲート電極接続を用いずに2つのゲート電極トラック上に形成された交差結合トランジスタ構成を含む本発明の一実施形態による多重レベルレイアウトを示している。図12のレイアウトは、図7の交差結合トランジスタ実施形態の例示的実施を表している。第1のPMOSトランジスタ401及び第1のNMOSトランジスタ407のゲート電極401A及び407Aは、それぞれ、ゲート電極トラック450上に配置された連続的なゲートレベル構造によって形成される。従って、ゲート電極401Aと407Aの間の電気接続491は、直接ゲートレベル内に単一のゲート電極トラック450に沿って作成される。同様に、第2のPMOSトランジスタ403及び第2のNMOSトランジスタ405のゲート電極403A及び405Aは、それぞれ、ゲート電極トラック456上に配置された継ぎ目なく連続するゲートレベル構造によって形成される。従って、ゲート電極403Aと405Aの間の電気接続493は、直接ゲートレベル内に単一のゲート電極トラック456に沿って作成される。出力ノードの電気接続495は、拡散コンタクト1205、(1次元)メタル1構造1207、及び拡散コンタクト1209を含む多重レベル接続によって形成される。
更に、図12に関連して、第1のPMOSトランジスタ401及び第1のNMOSトランジスタ407のゲート電極401A及び407Aが、それぞれ、継ぎ目なく連続するゲートレベル構造によって形成され、かつ第2のPMOSトランジスタ403及び第2のNMOSトランジスタ405のゲート電極403A及び405Aが、それぞれ、継ぎ目なく連続するゲートレベル構造によって形成される場合には、対応する交差結合トランジスタレイアウトは、4つの交差結合トランジスタ401、407、403、405に関連付けられた拡散領域の間の電気接続であり、互いの間の電気連通なしにレイアウト空間内で交差する電気接続を含むことができることに注意すべきである。例えば、電気接続1224によって示しているように、PMOSトランジスタ403の拡散領域1220は、NMOSトランジスタ407の拡散領域1222に電気的に接続され、電気接続1234によって示しているように、PMOSトランジスタ401の拡散領域1230は、NMOSトランジスタ405の拡散領域1232に電気的に接続され、電気接続1224と1234は、これらの間の電気連通なしにレイアウト空間内で交差する。
図13は、交差ゲート電極接続を用いずに3つのゲート電極トラック上に形成された交差結合トランジスタ構成を含む本発明の一実施形態による多重レベルレイアウトを示している。図13のレイアウトは、図8の交差結合トランジスタ実施形態の例示的実施を表している。第1のPMOSトランジスタ401及び第1のNMOSトランジスタ407のゲート電極401A及び407Aは、それぞれ、ゲート電極トラック450上に配置された継ぎ目なく連続するゲートレベル構造によって形成される。従って、ゲート電極401Aと407Aの間の電気接続491は、直接ゲートレベル内に単一のゲート電極トラック450に沿って作成される。第2のPMOSトランジスタ403のゲート電極403Aと第2のNMOSトランジスタ405のゲート電極405Aの間の電気接続493は、ゲートコンタクト1303、(1次元)メタル1構造1305、及びゲートコンタクト1307を含む多重レベル接続によって形成される。出力ノードの電気接続495は、拡散コンタクト1311、(1次元)メタル1構造1313、及び拡散コンタクト1315を含む多重レベル接続によって形成される。
一実施形態では、交差結合トランジスタの拡散領域の共通ノード495への電気接続は、ゲートレベル自体又はその下に形成された1つ又はそれよりも多くの局所的相互接続導体を用いて作成することができる。この実施形態は、交差結合トランジスタの拡散領域の共通ノード495への電気接続を作成するために、コンタクト及び/又はビアを用いて局所的相互接続導体をより高いレベル(ゲートレベルの上の)内の導体と組み合わせることができる。更に、様々な実施形態では、交差結合トランジスタの拡散領域を共通ノード495に電気的に接続するのに用いられる導電経路は、チップにおける経路指定方法を可能にするのに必要とされる基本的にあらゆるチップ区域の上を横断するように形成することができる。
また、n型拡散領域とp型拡散領域は、物理的に分離し、交差結合トランジスタの2つのPMOSトランジスタのためのp型拡散領域も、物理的に分離することができ、更に、交差結合トランジスタの2つのNMOSトランジスタのためのn型拡散領域も、物理的に分離することができるので、様々な実施形態では、4つの交差結合トランジスタの各々は、レイアウト内で互いに対する任意の位置に配置することができることを理解すべきである。従って、電気性能、又は他のレイアウトに影響を与える条件によって必要とならない限り、4つの交差結合トランジスタは、レイアウト内で互いに対する規定の近接範囲に位置させることを必要としない。しかし、交差結合トランジスタの互いに対する規定の近接範囲内での位置は除外されず、ある一定の回路レイアウトでは望ましいとすることができる。
本明細書に開示する例示的な実施形態では、拡散領域のサイズは制限を受けないことを理解すべきである。言い換えれば、あらゆる所定の拡散領域のサイズは、電気要件及び/又はレイアウト要件を満たす上で必要な任意の方式で判断することができる。更に、あらゆる所定の拡散領域は、電気要件及び/又はレイアウト要件を満たす上で必要な任意の方式で成形することができる。また、制限付きゲートレベルレイアウトアーキテクチャに従って形成される交差結合トランジスタ構成の4つのトランジスタは、同じサイズである必要はないことを理解すべきである。異なる実施形態では、交差結合トランジスタ構成の4つのトランジスタは、適用可能な電気要件及び/又はレイアウト要件によっては、サイズ(トランジスタ幅又はトランジスタゲート長)が異なるか、又は同じサイズを有するかのいずれかとすることができる。
更に、交差結合トランジスタ構成の4つのトランジスタは、一部の実施形態では近くに配置することができるが、各々の直近に配置する必要はないことを理解すべきである。より具体的には、交差結合トランジスタ構成のトランジスタ間の接続は、少なくとも1つのより高い相互接続レベルを通じて経路指定を行うことによって作成することができるので、交差結合トランジスタ構成の4つのトランジスタの互いに対する配置には自由度がある。しかし、ある一定の実施形態では、交差結合トランジスタ構成の4つのトランジスタの近接性は、電気要件及び/又はレイアウト要件に依存する可能性があることを理解すべきである。
図2〜図13に関連して説明した制限付きゲートレベルレイアウトアーキテクチャを用いて実施される交差結合トランジスタ構成及び対応するレイアウト、及び/又はそれらの変形は、多くの異なる電気回路を形成するのに用いることができることを理解すべきである。例えば、最新の半導体チップの一部分は、いくつかのマルチプレクサ回路及び/又はラッチ回路を含む可能性が高い。そのようなマルチプレクサ回路及び/又はラッチ回路は、本明細書に開示する制限付きゲートレベルレイアウトアーキテクチャに基づく交差結合トランジスタ構成及び対応するレイアウトを用いて形成することができる。制限付きゲートレベルレイアウトアーキテクチャ及び対応する交差結合トランジスタ構成を用いて実施されるマルチプレクサ例示的な実施形態を図14A〜図17Cに関連して説明する。制限付きゲートレベルレイアウトアーキテクチャ及び対応する交差結合トランジスタ構成を用いて実施されるラッチ例示的な実施形態を図18A〜図22Cに関連して説明する。図14A〜図22Cに関連して説明するマルチプレクサ実施形態及びラッチ実施形態は、可能なマルチプレクサ実施形態及びラッチ実施形態の網羅的な組を表すわけではないことを理解すべきである。
(例示的マルチプレクサ実施形態)
図14Aは、4つ全ての交差結合トランジスタ401、405、403、407が共通ノード495に直接に接続した本発明の一実施形態による汎用マルチプレクサ回路を示している。上述のように、第1のPMOSトランジスタ401のゲートと第1のNMOSトランジスタ407のゲートとは、電気接続491によって示しているように電気的に接続される。同様に、第2のPMOSトランジスタ403のゲートと第2のNMOSトランジスタ405のゲートとは、電気接続493によって示しているように電気的に接続される。第1のPMOSトランジスタ401には、共通ノード495と反対の端子においてプルアップ論理回路1401が電気的に接続される。第2のNMOSトランジスタ405には、共通ノード495と反対の端子においてプルダウン論理回路1403が電気的に接続される。同様に、第2のPMOSトランジスタ403には、共通ノード495と反対の端子においてプルアップ論理回路1405が電気的に接続される。第1のNMOSトランジスタ407には、共通ノード495と反対の端子においてプルダウン論理回路1407が電気的に接続される。
図14Bは、本発明の一実施形態による図14Aのマルチプレクサ回路の例示的実施をプルアップ論理回路1401及び1405、並びにプルダウン論理回路1403及び1407の詳細図と併せて示している。プルアップ論理回路1401は、電源(VDD)と、第1のPMOSトランジスタ401の共通ノード495と反対の端子1411との間に接続されたPMOSトランジスタ1401Aによって形成される。プルダウン論理回路1403は、接地電位(GND)と、第2のNMOSトランジスタ405の共通ノード495と反対の端子1413との間に接続されたNMOSトランジスタ1403Aによって形成される。PMOSトランジスタ1401A及びNMOSトランジスタ1403Aのそれぞれのゲートは、ノード1415において互いに接続される。プルアップ論理回路1405は、電源(VDD)と、第2のPMOSトランジスタ403の共通ノード495と反対の端子1417との間に接続されたPMOSトランジスタ1405Aによって形成される。
プルダウン論理回路1407は、接地電位(GND)と、第1のNMOSトランジスタ407の共通ノード495と反対の端子1419との間に接続されたNMOSトランジスタ1407Aによって形成される。PMOSトランジスタ1405A及びNMOSトランジスタ1407Aのそれぞれのゲートは、ノード1421において互いに接続される。図14Bに示しているプルアップ論理回路1401、1405及びプルダウン論理回路1403、1407の実施は例示的なものであることを理解すべきである。他の実施形態では、プルアップ論理回路1401、1405及びプルダウン論理回路1403、1407を実施するのに、図14Bに示しているものとは異なる論理回路を用いることができる。
図14Cは、制限付きゲートレベルレイアウトアーキテクチャの交差結合トランジスタレイアウトを用いて実施された本発明の一実施形態による図14Bのマルチプレクサ回路の多重レベルレイアウトを示している。第1のPMOSトランジスタ401のゲート電極401Aと、第1のNMOSトランジスタ407のゲート電極407Aとの間の電気接続491は、ゲートコンタクト1445、(2次元)メタル1構造1447、及びゲートコンタクト1449を含む多重レベル接続によって形成される。第2のPMOSトランジスタ403のゲート電極403Aと、第2のNMOSトランジスタ405のゲート電極405Aとの間の電気接続493は、ゲートコンタクト1431、(1次元)メタル1構造1433、ビア1435、(1次元)メタル2構造1436、ビア1437、(1次元)メタル1構造1439、及びゲートコンタクト1441を含む多重レベル接続によって形成される。共通ノード電気接続495は、拡散コンタクト1451、(1次元)メタル1構造1453、ビア1455、(1次元)メタル2構造1457、ビア1459、(1次元)メタル1構造1461、及び拡散コンタクト1463を含む多重レベル接続によって形成される。PMOSトランジスタ1401A及びNMOSトランジスタ1403Aのそれぞれのゲートは、ゲートコンタクト1443によってノード1415に接続される。同様に、PMOSトランジスタ1405A及びNMOSトランジスタ1407Aのそれぞれのゲートは、ゲートコンタクト1465によってノード1421に接続される。
図15Aは、2つの交差結合トランジスタ401及び405が、共通ノード495に直接に接続したままであり、かつ2つの交差結合トランジスタ403及び407が、共通ノード495に対してそれぞれプルアップ論理回路1405及びプルダウン論理回路1407の外側に位置決めされた本発明の一実施形態による図14Aのマルチプレクサ回路を示している。プルアップ論理回路1405は、第2のPMOSトランジスタ403と共通ノード495の間に電気的に接続される。プルダウン論理回路1407は、第1のNMOSトランジスタ407と共通ノード495の間に電気的に接続される。PMOS/NMOSトランジスタ403/407を共通ノード495に対してこれらのトランジスタのプルアップ/プルダウン論理回路1405/1407の外側に位置決めし直していることを除いては、図15Aの回路は、図14Aの回路と同じである。
図15Bは、本発明の一実施形態による図15Aのマルチプレクサ回路の例示的実施をプルアップ論理回路1401及び1405、並びにプルダウン論理回路1403及び1407の詳細図と併せて示している。図14Bに関連して上述したように、プルアップ論理回路1401は、VDDと、第1のPMOSトランジスタ401の共通ノード495と反対の端子1411との間に接続されたPMOSトランジスタ1401Aによって形成される。同様に、プルダウン論理回路1403は、GNDと、第2のNMOSトランジスタ405の共通ノード495と反対の端子1413との間に接続されたNMOSトランジスタ1403Aによって形成される。PMOSトランジスタ1401A及びNMOSトランジスタ1403Aのそれぞれのゲートは、ノード1415において互いに接続される。プルアップ論理回路1405は、第2のPMOSトランジスタ403と共通ノード495の間に接続されたPMOSトランジスタ1405Aによって形成される。プルダウン論理回路1407は、第1のNMOSトランジスタ407と共通ノード495の間に接続されたNMOSトランジスタ1407Aによって形成される。PMOSトランジスタ1405A及びNMOSトランジスタ1407Aのそれぞれのゲートは、ノード1421において互いに接続される。図15Bに示しているプルアップ論理回路1401、1405及びプルダウン論理回路1403、1407の実施は例示的なものであることを理解すべきである。
他の実施形態では、プルアップ論理回路1401、1405及びプルダウン論理回路1403、1407を実施するのに、図15Bに示しているものとは異なる論理回路を用いることができる。
図15Cは、制限付きゲートレベルレイアウトアーキテクチャの交差結合トランジスタレイアウトを用いて実施された本発明の一実施形態による図15Bのマルチプレクサ回路の多重レベルレイアウトを示している。第1のPMOSトランジスタ401のゲート電極401Aと、第1のNMOSトランジスタ407のゲート電極407Aとの間の電気接続491は、ゲートコンタクト1501、(1次元)メタル1構造1503、ビア1505、(1次元)メタル2構造1507、ビア1509、(1次元)メタル1構造1511、及びゲートコンタクト1513を含む多重レベル接続によって形成される。第2のPMOSトランジスタ403のゲート電極403Aと、第2のNMOSトランジスタ405のゲート電極405Aとの間の電気接続493は、ゲートコンタクト1515、(2次元)メタル1構造1517、及びゲートコンタクト1519を含む多重レベル接続によって形成される。共通ノード電気接続495は、拡散コンタクト1521、(1次元)メタル1構造1523、ビア1525、(1次元)メタル2構造1527、ビア1529、(1次元)メタル1構造1531、及び拡散コンタクト1533を含む多重レベル接続によって形成される。PMOSトランジスタ1401A及びNMOSトランジスタ1403Aのそれぞれのゲートは、ゲートコンタクト1535によってノード1415に接続される。同様に、PMOSトランジスタ1405A及びNMOSトランジスタ1407Aのそれぞれのゲートは、ゲートコンタクト1539によってノード1421に接続される。
図16Aは、交差結合トランジスタ(401、403、405、407)が接続されて共通ノード495への2つの伝送ゲート1602、1604が形成された本発明の一実施形態による汎用マルチプレクサ回路を示している。上述のように、第1のPMOSトランジスタ401のゲートと第1のNMOSトランジスタ407のゲートとは、電気接続491によって示しているように電気的に接続される。同様に、第2のPMOSトランジスタ403のゲートと第2のNMOSトランジスタ405のゲートとは、電気接続493によって示しているように電気的に接続される。第1のPMOSトランジスタ401と第2のNMOSトランジスタ405とは接続されて、共通ノード495への第1の伝送ゲート1602が形成される。第2のPMOSトランジスタ403と第1のNMOSトランジスタ407とは接続されて、共通ノード495への第2の伝送ゲート1604が形成される。
第1のPMOSトランジスタ401と第2のNMOSトランジスタ405の両方に、共通ノード495と反対の端子において駆動論理回路1601が電気的に接続される。第2のPMOSトランジスタ403と第1のNMOSトランジスタ407の両方に、共通ノード495と反対の端子において駆動論理回路1603が電気的に接続される。
図16Bは、本発明の一実施形態による図16Aのマルチプレクサ回路の例示的実施を駆動論理回路1601及び1603の詳細図と併せて示している。図16Bの実施形態では、駆動論理回路1601は、インバータ1601Aによって形成され、駆動論理回路1603は、インバータ1063Aによって形成される。しかし、他の実施形態では、駆動論理回路1601及び1603は、一例として、特に2つの入力NORゲート、2つの入力NANDゲート、AND−OR論理回路、OR−AND論理回路のようなあらゆる論理関数によって形成することができることを理解すべきである。
図16Cは、制限付きゲートレベルレイアウトアーキテクチャの交差結合トランジスタレイアウトを用いて実施された本発明の一実施形態による図16Bのマルチプレクサ回路の多重レベルレイアウトを示している。第1のPMOSトランジスタ401のゲート電極401Aと、第1のNMOSトランジスタ407のゲート電極407Aとの間の電気接続491は、ゲートコンタクト1619、(2次元)メタル1構造1621、及びゲートコンタクト1623を含む多重レベル接続によって形成される。第2のPMOSトランジスタ403のゲート電極403Aと、第2のNMOSトランジスタ405のゲート電極405Aとの間の電気接続493は、ゲートコンタクト1605、(1次元)メタル1構造1607、ビア1609、(1次元)メタル2構造1611、ビア1613、(1次元)メタル1構造1615、及びゲートコンタクト1617を含む多重レベル接続によって形成される。共通ノード電気接続495は、拡散コンタクト1625、(1次元)メタル1構造1627、ビア1629、(1次元)メタル2構造1631、ビア1633、(1次元)メタル1構造1635、及び拡散コンタクト1637を含む多重レベル接続によって形成される。インバータ1601Aを形成するトランジスタを破線1601ALによって囲んだ領域内に示している。インバータ1603Aを形成するトランジスタは、破線1603ALによって囲んだ領域内に示している。
図17Aは、4つの交差結合トランジスタのうちの2つのトランジスタ(403、407)が接続されて共通ノード495への伝送ゲート1702が形成された本発明の一実施形態による汎用マルチプレクサ回路を示している。上述のように、第1のPMOSトランジスタ401のゲートと第1のNMOSトランジスタ407のゲートとは、電気接続491によって示しているように電気的に接続される。同様に、第2のPMOSトランジスタ403のゲートと第2のNMOSトランジスタ405のゲートとは、電気接続493によって示しているように電気的に接続される。第2のPMOSトランジスタ403と第1のNMOSトランジスタ407とは接続されて、共通ノード495への伝送ゲート1702が形成される。第2のPMOSトランジスタ403と第1のNMOSトランジスタ407の両方に、共通ノード495と反対の端子において駆動論理回路1701が電気的に接続される。第1のPMOSトランジスタ401には、共通ノード495と反対の端子においてプルアップ駆動論理回路1703が電気的に接続される。同様に、第2のNMOSトランジスタ405には、共通ノード495と反対の端子においてプルダウン駆動論理回路1705が電気的に接続される。
図17Bは、本発明の一実施形態による図17Aのマルチプレクサ回路の例示的実施例を駆動論理回路1701、1703及び1705の詳細図と併せて示している。駆動論理回路1701は、インバータ1701Aによって形成される。プルアップ駆動論理回路1703は、VDDと第1のPMOSトランジスタ401の間に接続されたPMOSトランジスタ1703Aによって形成される。プルダウン駆動論理回路1705は、GNDと第2のNMOSトランジスタ405の間に接続されたNMOSトランジスタ1705Aによって形成される。PMOSトランジスタ1703A及びNMOSトランジスタ1705Aのそれぞれのゲートは、ノード1707において互いに接続される。図17Bに示している駆動論理回路1701、1703、及び1705の実施は例示的なものであることを理解すべきである。他の実施形態では、駆動論理回路1701、1703、及び1705を実施するのに、図17Bに示しているものとは異なる論理回路を用いることができる。
図17Cは、制限付きゲートレベルレイアウトアーキテクチャの交差結合トランジスタレイアウトを用いて実施された本発明の一実施形態による図17Bのマルチプレクサ回路の多重レベルレイアウトを示している。第1のPMOSトランジスタ401のゲート電極401Aと、第1のNMOSトランジスタ407のゲート電極407Aとの間の電気接続491は、ゲートコンタクト1723、(2次元)メタル1構造1725、及びゲートコンタクト1727を含む多重レベル接続によって形成される。第2のPMOSトランジスタ403のゲート電極403Aと、第2のNMOSトランジスタ405のゲート電極405Aとの間の電気接続493は、ゲートコンタクト1709、(1次元)メタル1構造1711、ビア1713、(1次元)メタル2構造1715、ビア1717、(1次元)メタル1構造1719、及びゲートコンタクト1721を含む多重レベル接続によって形成される。共通ノード電気接続495は、拡散コンタクト1729、(1次元)メタル1構造1731、ビア1733、(1次元)メタル2構造1735、ビア1737、(1次元)メタル1構造1739、及び拡散コンタクト1741を含む多重レベル接続によって形成される。インバータ1701Aを形成するトランジスタを破線1701ALによって囲んだ領域内に示している。PMOSトランジスタ1703A及びNMOSトランジスタ1705Aのそれぞれのゲートは、ゲートコンタクト1743によってノード1707に接続される。
(例示的ラッチ実施形態)
図18Aは、交差結合トランジスタ構成を用いて実施された本発明の一実施形態による汎用ラッチ回路を示している。第1のPMOSトランジスタ401のゲートと第1のNMOSトランジスタ407のゲートとは、電気接続491によって示しているように電気的に接続される。第2のPMOSトランジスタ403のゲートと第2のNMOSトランジスタ405のゲートとは、電気接続493によって示しているように電気的に接続される。
4つの交差結合トランジスタの各々は、共通ノード495に電気的に接続される。共通ノード495は、ラッチ回路における記憶ノードとして機能することを理解すべきである。
第2のPMOSトランジスタ403には、共通ノード495と反対の端子においてプルアップドライバ論理回路1805が電気的に接続される。第1のNMOSトランジスタ407には、共通ノード495と反対の端子においてプルダウンドライバ論理回路1807が電気的に接続される。第1のPMOSトランジスタ401には、共通ノード495と反対の端子においてプルアップフィードバック論理回路1809が電気的に接続される。第2のNMOSトランジスタ405には、共通ノード495と反対の端子においてプルダウンフィードバック論理回路1811が電気的に接続される。更に、共通ノード495は、インバータ1801の入力に接続される。インバータ1801の出力は、フィードバックノード1803に電気的に接続される。他の実施形態では、インバータ1801は、特に、2つの入力NORゲート、2つの入力NANDゲートのようなあらゆる論理関数、又はあらゆる複雑な論理関数で置換することができることを理解すべきである。
図18Bは、本発明の一実施形態による図18Aのラッチ回路の例示的実施をプルアップドライバ論理回路1805、プルダウンドライバ論理回路1807、プルアップフィードバック論理回路1809、及びプルダウンフィードバック論理回路1811の詳細図と併せて示している。プルアップドライバ論理回路1805は、VDDと第2のPMOSトランジスタ403の間に共通ノード495に対向して接続したPMOSトランジスタ1805Aによって形成される。プルダウンドライバ論理回路1807は、GNDと第1のNMOSトランジスタ407の間に共通ノード495に対向して接続したNMOSトランジスタ1807Aによって形成される。PMOSトランジスタ1805A及びNMOSトランジスタ1807Aのそれぞれのゲートは、ノード1804において互いに接続される。
プルアップフィードバック論理回路1809は、VDDと第1のPMOSトランジスタ401の間に共通ノード495に対向して接続したPMOSトランジスタ1809Aによって形成される。プルダウンフィードバック論理回路1811は、GNDと第2のNMOSトランジスタ405の間に共通ノード495に対向して接続したNMOSトランジスタ1811Aによって形成される。PMOSトランジスタ1809A及びNMOSトランジスタ1811Aのそれぞれのゲートは、フィードバックノード1803において互いに接続される。図18Bに示しているプルアップドライバ論理回路1805、プルダウンドライバ論理回路1807、プルアップフィードバック論理回路1809、及びプルダウンフィードバック論理回路1811の実施は例示的なものであることを理解すべきである。他の実施形態では、プルアップドライバ論理回路1805、プルダウンドライバ論理回路1807、プルアップフィードバック論理回路1809、及びプルダウンフィードバック論理回路1811を実施するのに、図18Bに示しているものとは異なる論理回路を用いることができる。
図18Cは、制限付きゲートレベルレイアウトアーキテクチャの交差結合トランジスタレイアウトを用いて実施された本発明の一実施形態による図18Bのラッチ回路の多重レベルレイアウトを示している。第1のPMOSトランジスタ401のゲート電極401Aと、第1のNMOSトランジスタ407のゲート電極407Aとの間の電気接続491は、ゲートコンタクト1813、(1次元)メタル1構造1815、ビア1817、(1次元)メタル2構造1819、ビア1821、(1次元)メタル1構造1823、及びゲートコンタクト1825を含む多重レベル接続によって形成される。第2のPMOSトランジスタ403のゲート電極403Aと、第2のNMOSトランジスタ405のゲート電極405Aとの間の電気接続493は、ゲートコンタクト1827、(2次元)メタル1構造1829、及びゲートコンタクト1831を含む多重レベル接続によって形成される。
共通ノード電気接続495は、拡散コンタクト1833、(1次元)メタル1構造1835、ビア1837、(1次元)メタル2構造1839、ビア1841、(2次元)メタル1構造1843、及び拡散コンタクト1845を含む多重レベル接続によって形成される。インバータ1801を形成するトランジスタを破線1801Lによって囲んだ領域内に示している。
図19Aは、2つの交差結合トランジスタ401及び405が、出力ノード495に直接に接続したままであり、かつ2つの交差結合トランジスタ403及び407が、共通ノード495に対してそれぞれプルアップドライバ論理回路1805及びプルダウンドライバ論理回路1807の外側に位置決めされた本発明の一実施形態による図18Aのラッチ回路を示している。プルアップドライバ論理回路1805は、第2のPMOSトランジスタ403と共通ノード495の間に電気的に接続される。プルダウンドライバ論理回路1807は、第1のNMOSトランジスタ407と共通ノード495の間に電気的に接続される。PMOS/NMOSトランジスタ403/407を共通ノード495に対してこれらのトランジスタのプルアップ/プルダウンドライバ論理回路1805/1807の外側に位置決めし直していることを除いては、図19Aの回路は、図18Aの回路と同じである。
図19Bは、本発明の一実施形態による図19Aのラッチ回路の例示的実施をプルアップドライバ論理回路1805、プルダウンドライバ論理回路1807、プルアップフィードバック論理回路1809、及びプルダウンフィードバック論理回路1811の詳細図と併せて示している。図18Bに関連して上述したように、プルアップフィードバック論理回路1809は、VDDと第1のPMOSトランジスタ401の間に共通ノード495に対向して接続したPMOSトランジスタ1809Aによって形成される。同様に、プルダウンフィードバック論理回路1811は、GNDと第2のNMOSトランジスタ405の間に共通ノード495に対向して接続したNMOSトランジスタ1811Aによって形成される。PMOSトランジスタ1809A及びNMOSトランジスタ1811Aのそれぞれのゲートは、フィードバックノード1803において互いに接続される。プルアップドライバ論理回路1805は、第2のPMOSトランジスタ403と共通ノード495の間に接続されたPMOSトランジスタ1805Aによって形成される。プルダウンドライバ論理回路1807は、第1のNMOSトランジスタ407と共通ノード495の間に接続されたNMOSトランジスタ1807Aによって形成される。PMOSトランジスタ1805A及びNMOSトランジスタ1807Aのそれぞれのゲートは、ノード1804において互いに接続される。図19Bに示しているプルアップドライバ論理回路1805、プルダウンドライバ論理回路1807、プルアップフィードバック論理回路1809、及びプルダウンフィードバック論理回路1811の実施は例示的なものであることを理解すべきである。他の実施形態では、プルアップドライバ論理回路1805、プルダウンドライバ論理回路1807、プルアップフィードバック論理回路1809、及びプルダウンフィードバック論理回路1811を実施するのに、図19Bに示しているものとは異なる論理回路を用いることができる。
図19Cは、制限付きゲートレベルレイアウトアーキテクチャの交差結合トランジスタレイアウトを用いて実施された本発明の一実施形態による図19Bのラッチ回路の多重レベルレイアウトを示している。第1のPMOSトランジスタ401のゲート電極401Aと、第1のNMOSトランジスタ407のゲート電極407Aとの間の電気接続491は、ゲートコンタクト1901、(1次元)メタル1構造1903、ビア1905、(1次元)メタル2構造1907、ビア1909、(1次元)メタル1構造1911、及びゲートコンタクト1913を含む多重レベル接続によって形成される。第2のPMOSトランジスタ403のゲート電極403Aと、第2のNMOSトランジスタ405のゲート電極405Aとの間の電気接続493は、ゲートコンタクト1915、(2次元)メタル1構造1917、及びゲートコンタクト1919を含む多重レベル接続によって形成される。
共通ノード電気接続495は、拡散コンタクト1921、(1次元)メタル1構造1923、ビア1925、(1次元)メタル2構造1927、ビア1929、(2次元)メタル1構造1931、及び拡散コンタクト1933を含む多重レベル接続によって形成される。インバータ1801を形成するトランジスタを破線1801Lによって囲んだ領域内に示している。
図20Aは、2つの交差結合トランジスタ403及び407が、出力ノード495に直接に接続したままであり、かつ2つの交差結合トランジスタ401及び405が、共通ノード495に対してそれぞれプルアップフィードバック論理回路1809及びプルダウンフィードバック論理回路1811の外側に位置決めされた本発明の一実施形態による図18Aのラッチ回路を示している。プルアップフィードバック論理回路1809は、第1のPMOSトランジスタ401と共通ノード495の間に電気的に接続される。プルダウンフィードバック論理回路1811は、第2のNMOSトランジスタ405と共通ノード495の間に電気的に接続される。PMOS/NMOSトランジスタ401/405を共通ノード495に対してこれらのトランジスタのプルアップ/プルダウンフィードバック論理回路1809/1811の外側に位置決めし直していることを除いては、図20Aの回路は、図18Aの回路と同じである。
図20Bは、本発明の一実施形態による図20Aのラッチ回路の例示的実施をプルアップドライバ論理回路1805、プルダウンドライバ論理回路1807、プルアップフィードバック論理回路1809、及びプルダウンフィードバック論理回路1811の詳細図と併せて示している。プルアップフィードバック論理回路1809は、第1のPMOSトランジスタ401と共通ノード495の間に接続されたPMOSトランジスタ1809Aによって形成される。同様に、プルダウンフィードバック論理回路1811は、第2のNMOSトランジスタ405と共通ノード495の間に接続されたNMOSトランジスタ1811Aによって形成される。PMOSトランジスタ1809A及びNMOSトランジスタ1811Aのそれぞれのゲートは、フィードバックノード1803において互いに接続される。プルアップドライバ論理回路1805は、VDDと第2のPMOSトランジスタ403の間に接続されたPMOSトランジスタ1805Aによって形成される。プルダウンドライバ論理回路1807は、GNDと第1のNMOSトランジスタ407の間に接続されたNMOSトランジスタ1807Aによって形成される。PMOSトランジスタ1805A及びNMOSトランジスタ1807Aのそれぞれのゲートは、ノード1804において互いに接続される。図20Bに示しているプルアップドライバ論理回路1805、プルダウンドライバ論理回路1807、プルアップフィードバック論理回路1809、及びプルダウンフィードバック論理回路1811の実施は例示的なものであることを理解すべきである。他の実施形態では、プルアップドライバ論理回路1805、プルダウンドライバ論理回路1807、プルアップフィードバック論理回路1809、及びプルダウンフィードバック論理回路1811を実施するのに、図20Bに示しているものとは異なる論理回路を用いることができる。
図20Cは、制限付きゲートレベルレイアウトアーキテクチャの交差結合トランジスタレイアウトを用いて実施された本発明の一実施形態による図20Bのラッチ回路の多重レベルレイアウトを示している。第1のPMOSトランジスタ401のゲート電極401Aと、第1のNMOSトランジスタ407のゲート電極407Aとの間の電気接続491は、ゲートコンタクト2001、(1次元)メタル1構造2003、ビア2005、(1次元)メタル2構造2007、ビア2009、(1次元)メタル1構造2011、及びゲートコンタクト2013を含む多重レベル接続によって形成される。第2のPMOSトランジスタ403のゲート電極403Aと、第2のNMOSトランジスタ405のゲート電極405Aとの間の電気接続493は、ゲートコンタクト2015、(1次元)メタル1構造2017、及びゲートコンタクト2019を含む多重レベル接続によって形成される。
共通ノード電気接続495は、拡散コンタクト2021、(2次元)メタル1構造2023、及び拡散コンタクト2025を含む多重レベル接続によって形成される。インバータ1801を形成するトランジスタを破線1801Lによって囲んだ領域内に示している。
図21Aは、交差結合トランジスタ(401、403、405、407)が接続されて共通ノード495への2つの伝送ゲート2103、2105が形成された本発明の一実施形態による汎用ラッチ回路を示している。上述のように、第1のPMOSトランジスタ401のゲートと第1のNMOSトランジスタ407のゲートとは、電気接続491によって示しているように電気的に接続される。同様に、第2のPMOSトランジスタ403のゲートと第2のNMOSトランジスタ405のゲートとは、電気接続493によって示しているように電気的に接続される。第1のPMOSトランジスタ401と第2のNMOSトランジスタ405とは接続されて、共通ノード495への第1の伝送ゲート2103が形成される。第2のPMOSトランジスタ403と第1のNMOSトランジスタ407とは接続されて、共通ノード495への第2の伝送ゲート2105が形成される。第1のPMOSトランジスタ401と第2のNMOSトランジスタ405の両方に、共通ノード495と反対の端子においてフィードバック論理回路2109が電気的に接続される。第2のPMOSトランジスタ403と第1のNMOSトランジスタ407の両方に、共通ノード495と反対の端子において駆動論理回路2107が電気的に接続される。更に、共通ノード495は、インバータ1801の入力に接続される。インバータ1801の出力は、フィードバックノード2101に電気的に接続される。他の実施形態では、インバータ1801は、特に、2つの入力NORゲート、2つの入力NANDゲートのようなあらゆる論理関数、又はあらゆる複雑な論理関数で置換することができることを理解すべきである。
図21Bは、本発明の一実施形態による図21Aのラッチ回路の例示的実施を駆動論理回路2107及びフィードバック論理回路2109の詳細図と併せて示している。駆動論理回路2107は、インバータ2107Aによって形成される。同様に、フィードバック論理回路2109は、インバータ2109Aによって形成される。他の実施形態では、駆動論理回路2107及び/又は2109は、インバータ以外の論理回路によって形成することができることを理解すべきである。
図21Cは、制限付きゲートレベルレイアウトアーキテクチャの交差結合トランジスタレイアウトを用いて実施された本発明の一実施形態による図21Bのラッチ回路の多重レベルレイアウトを示している。第1のPMOSトランジスタ401のゲート電極401Aと、第1のNMOSトランジスタ407のゲート電極407Aとの間の電気接続491は、ゲートコンタクト2111、(1次元)メタル1構造2113、ビア2115、(1次元)メタル2構造2117、ビア2119、(1次元)メタル1構造2121、及びゲートコンタクト2123を含む多重レベル接続によって形成される。第2のPMOSトランジスタ403のゲート電極403Aと、第2のNMOSトランジスタ405のゲート電極405Aとの間の電気接続493は、ゲートコンタクト2125、(2次元)メタル1構造2127、及びゲートコンタクト2129を含む多重レベル接続によって形成される。
共通ノード電気接続495は、拡散コンタクト2131、(1次元)メタル1構造2133、ビア2135、(1次元)メタル2構造2137、ビア2139、(2次元)メタル1構造2141、及び拡散コンタクト2143を含む多重レベル接続によって形成される。インバータ2107Aを形成するトランジスタを破線2107ALによって囲んだ領域内に示している。インバータ2109Aを形成するトランジスタを破線2109ALによって囲んだ領域内に示している。インバータ1801を形成するトランジスタは、破線1801Lによって囲んだ領域内に示している。
図22Aは、4つの交差結合トランジスタのうちの2つのトランジスタ(403、407)が接続されて共通ノード495への伝送ゲート2105が形成された本発明の一実施形態による汎用ラッチ回路を示している。上述のように、第1のPMOSトランジスタ401のゲートと第1のNMOSトランジスタ407のゲートとは、電気接続491によって示しているように電気的に接続される。同様に、第2のPMOSトランジスタ403のゲートと第2のNMOSトランジスタ405のゲートとは、電気接続493によって示しているように電気的に接続される。第2のPMOSトランジスタ403と第1のNMOSトランジスタ407とは接続されて、共通ノード495への伝送ゲート2105が形成される。第2のPMOSトランジスタ403と第1のNMOSトランジスタ407の両方に、共通ノード495と反対の端子において駆動論理回路2201が電気的に接続される。
第1のPMOSトランジスタ401には、共通ノード495と反対の端子においてプルアップフィードバック論理回路2203が電気的に接続される。同様に、第2のNMOSトランジスタ405には、共通ノード495と反対の端子においてプルダウンフィードバック論理回路2205が電気的に接続される。
図22Bは、本発明の一実施形態による図22Aのラッチ回路の例示的実施を駆動論理回路2201、プルアップフィードバック論理回路2203、及びプルダウンフィードバック論理回路2205の詳細図と併せて示している。駆動論理回路2201は、インバータ2201Aによって形成される。プルアップフィードバック論理回路2203は、VDDと第1のPMOSトランジスタ401の間に接続されたPMOSトランジスタ2203Aによって形成される。プルダウンフィードバック論理回路2205は、GNDと第2のNMOSトランジスタ405の間に接続されたNMOSトランジスタ2205Aによって形成される。PMOSトランジスタ2203A及びNMOSトランジスタ2205Aのそれぞれのゲートは、フィードバックノード2101において互いに接続される。他の実施形態では、駆動論理回路2201は、インバータ以外の論理回路によって形成することができることを理解すべきである。同様に、他の実施形態では、プルアップフィードバック論理回路2203及び/又はプルダウンフィードバック論理回路2205は、図22Bに示しているものとは異なる論理回路によって形成することができることを理解すべきである。
図22Cは、制限付きゲートレベルレイアウトアーキテクチャの交差結合トランジスタレイアウトを用いて実施された本発明の一実施形態による図22Bのラッチ回路の多重レベルレイアウトを示している。第1のPMOSトランジスタ401のゲート電極401Aと、第1のNMOSトランジスタ407のゲート電極407Aとの間の電気接続491は、ゲートコンタクト2207、(1次元)メタル1構造2209、ビア2211、(1次元)メタル2構造2213、ビア2215、(1次元)メタル1構造2217、及びゲートコンタクト2219を含む多重レベル接続によって形成される。第2のPMOSトランジスタ403のゲート電極403Aと、第2のNMOSトランジスタ405のゲート電極405Aとの間の電気接続493は、ゲートコンタクト2221、(2次元)メタル1構造2223、及びゲートコンタクト2225を含む多重レベル接続によって形成される。
共通ノード電気接続495は、拡散コンタクト2227、(1次元)メタル1構造2229、ビア2231、(1次元)メタル2構造2233、ビア2235、(2次元)メタル1構造2237、及び拡散コンタクト2239を含む多重レベル接続によって形成される。インバータ2201Aを形成するトランジスタを破線2201ALによって囲んだ領域内に示している。インバータ1801を形成するトランジスタは、破線1801Lによって囲んだ領域内に示している。
(例示的な実施形態)
一実施形態では、交差結合トランジスタ構成は、半導体チップ内に形成される。この実施形態を図2に関連して一部例示する。この実施形態では、第1のPチャンネルトランジスタ(401)は、チップのゲートレベル内に形成された第1のゲート電極(401A)を含むように形成される。同様に、第1のNチャンネルトランジスタ(407)は、チップのゲートレベル内に形成された第2のゲート電極(407A)を含むように形成される。第1のNチャンネルトランジスタ(407)の第2のゲート電極(407A)は、第1のPチャンネルトランジスタ(401)の第1のゲート電極(401A)に電気的に接続される。更に、第2のPチャンネルトランジスタ(403)は、チップのゲートレベル内に形成された第3のゲート電極(403A)を含むように形成される。同様に、第2のNチャンネルトランジスタ(405)は、チップのゲートレベル内に形成された第4のゲート電極(405A)を含むように形成される。第2のNチャンネルトランジスタ(405)の第4のゲート電極(405A)は、第2のPチャンネルトランジスタ(403)の第3のゲート電極(403A)に電気的に接続される。更に、第1のPチャンネルトランジスタ(401)、第1のNチャンネルトランジスタ(407)、第2のPチャンネルトランジスタ(403)、及び第2のNチャンネルトランジスタ(405)は、共通ノード(495)に電気的に接続されたそれぞれの拡散端子を有する。
一部の実施形態では、第1のPチャンネルトランジスタ(401)、第1のNチャンネルトランジスタ(407)、第2のPチャンネルトランジスタ(403)、及び第2のNチャンネルトランジスタ(405)のうちの1つ又はそれよりも多くは、電気的に並列に接続されたいくつかのトランジスタによってそれぞれ実施することができることを理解すべきである。この事例では、電気的に並列に接続したトランジスタは、第1のPチャンネルトランジスタ(401)、第1のNチャンネルトランジスタ(407)、第2のPチャンネルトランジスタ(403)、及び第2のNチャンネルトランジスタ(405)のうちのいずれかに対応する1つのデバイスと見なすことができる。交差結合トランジスタ構成の所定のトランジスタを形成する複数のトランジスタの並列電気接続は、所定のトランジスタにおける望ましい駆動強度を得る上で利用することができることを理解すべきである。
一実施形態では、第1(401A)、第2(407A)、第3(403A)、及び第4(405A)のゲート電極の各々は、図3に関連して説明したもののようないくつかのゲート電極トラックのうちのいずれかに沿って延びるように形成される。これらのいくつかのゲート電極トラックは、チップのゲートレベルにわたって互いに対して平行な向きに延びている。同様に、第1(401A)、第2(407A)、第3(403A)、及び第4(405A)のゲート電極の各々は、ゲートレベル特徴部レイアウトチャンネル内に形成されたそれぞれのゲートレベル特徴部の一部分に対応することを理解すべきである。各ゲートレベル特徴部は、そのゲートレベル特徴部レイアウトチャンネル内で、隣接するゲートレベル特徴部レイアウトチャンネル内に形成された別のゲートレベル特徴部と物理的に接触することなく形成される。図3Bに関連して上述したように、各ゲートレベル特徴部レイアウトチャンネルは、所定のゲート電極トラックに関連付けられ、この所定のゲート電極トラックに沿って、かつ所定のゲート電極トラックから隣接するゲート電極トラック又はレイアウト境界の外側の仮想ゲート電極トラックのうちのいずれか最近接のものまで垂直外向きに各反対方向に延びるレイアウト領域に対応する。
図10、図11、図14C、図15C、図16C、図17C、図18C、図19C、図20C、図21C、図22Cの例示的レイアウトにあるもののような上述の実施形態の様々な実施において、第2のゲート電極(407A)は、ゲートレベル以外のいずれかのチップレベル内に形成された少なくとも1つの導電体を通じて第1のゲート電極(401A)に電気的に接続される。更に、第4のゲート電極(405A)は、ゲートレベル以外のいずれかのチップレベル内に形成された少なくとも1つの導電体を通じて第3のゲート電極(403A)に電気的に接続される。
図13の例示的レイアウトにあるもののような上述の実施形態の様々な実施において、第2のゲート電極(407A)と第1のゲート電極(401A)の両方は、単一のゲート電極トラックに沿ってp型拡散領域とn型拡散領域の両方にわたって延びる同じゲートレベル特徴部レイアウトチャンネル内に形成された単一のゲートレベル特徴部から形成される。更に、第4のゲート電極(405A)は、ゲートレベル以外のいずれかのチップレベル内に形成された少なくとも1つの導電体を通じて第3のゲート電極(403A)に電気的に接続される。
図12の例示的レイアウトにあるもののような上述の実施形態の様々な実施において、第2のゲート電極(407A)と第1のゲート電極(401A)の両方は、第1のゲート電極トラックに沿ってp型拡散領域とn型拡散領域の両方にわたって延びる第1のゲートレベル特徴部レイアウトチャンネル内に形成された第1のゲートレベル特徴部から形成される。更に、第4のゲート電極(405A)と第3のゲート電極(403A)の両方は、第2のゲート電極トラックに沿ってp型拡散領域とn型拡散領域の両方にわたって延びる第2のゲートレベル特徴部レイアウトチャンネル内に形成された第2のゲートレベル特徴部から形成される。
一実施形態では、上述のゲート電極の交差結合トランジスタ構成は、いかなる伝送ゲートも持たないマルチプレクサを実施するのに用いられる。この実施形態を図14〜図15に関連して一部例示する。この実施形態では、プルアップ論理回路の第1の構成(1401)は、第1のPチャンネルトランジスタ(401)に電気的に接続され、プルダウン論理回路の第1の構成(1407)は、第1のNチャンネルトランジスタ(407)に電気的に接続され、プルアップ論理回路の第2の構成(1405)は、第2のPチャンネルトランジスタ(403)に電気的に接続され、プルダウン論理回路の第2の構成(1403)は、第2のNチャンネルトランジスタ(405)に電気的に接続される。
図14B及び図15Bの特定的な実施形態では、プルアップ論理回路の第1の構成(1401)は、第3のPチャンネルトランジスタ(1401A)によって形成され、プルダウン論理回路の第2の構成(1403)は、第3のNチャンネルトランジスタ(1403A)によって形成される。第3のPチャンネルトランジスタ(1401A)及び第3のNチャンネルトランジスタ(1403A)のそれぞれのゲートは、実質的に同等な電気信号を受け取るように互いに電気的に接続される。更に、プルダウン論理回路の第1の構成(1407)は、第4のNチャンネルトランジスタ(1407A)によって形成され、プルアップ論理回路の第2の構成(1405)は、Pチャンネルトランジスタ(1405A)によって形成される。第4のPチャンネルトランジスタ(1405A)及び第4のNチャンネルトランジスタ(1407A)のそれぞれのゲートは、実質的に同等な電気信号を受け取るように互いに電気的に接続される。
一実施形態では、上述のゲート電極の交差結合トランジスタ構成は、1つの伝送ゲートを有するマルチプレクサを実施するのに用いられる。この実施形態を図17に関連して一部例示する。この実施形態では、プルアップ論理回路の第1の構成(1703)は、第1のPチャンネルトランジスタ(401)に電気的に接続され、プルダウン論理回路の第1の構成(1705)は、第2のNチャンネルトランジスタ(405)に電気的に接続され、マルチプレックス回路駆動論理回路(1701)は、第2のPチャンネルトランジスタ(403)と第1のNチャンネルトランジスタ(407)の両方に電気的に接続される。
図17Bの例示的な実施形態では、プルアップ論理回路の第1の構成(1703)は、第3のPチャンネルトランジスタ(1703A)によって形成され、プルダウン論理回路の第1の構成(1705)は、第3のNチャンネルトランジスタ(1705A)によって形成される。第3のPチャンネルトランジスタ(1703A)及び第3のNチャンネルトランジスタ(1705A)のそれぞれのゲートは、実質的に同等な電気信号を受け取るように互いに電気的に接続される。同様に、マルチプレックス回路駆動論理回路(1701)は、インバータ(1701A)によって形成される。
一実施形態では、上述のゲート電極の交差結合トランジスタ構成は、いかなる伝送ゲートも持たないラッチを実施するのに用いられる。この実施形態を図18〜図20に関連して一部例示する。この実施形態では、プルアップドライバ論理回路(1805)は、第2のPチャンネルトランジスタ(403)に電気的に接続され、プルダウンドライバ論理回路(1807)は、第1のNチャンネルトランジスタ(407)に電気的に接続され、プルアップフィードバック論理回路(1809)は、第1のPチャンネルトランジスタ(401)に電気的に接続され、プルダウンフィードバック論理回路(1811)は、第2のNチャンネルトランジスタ(405)に電気的に接続される。また、ラッチは、共通ノード(495)に接続した入力と、フィードバックノード(1803)に接続した出力とを有するインバータ(1801)を含む。プルアップフィードバック論理回路(1809)及びプルダウンフィードバック論理回路(1811)の各々は、フィードバックノード(1803)に接続される。
図18B、図19B、及び図20Bの例示的な実施形態では、プルアップドライバ論理回路(1805)は、第3のPチャンネルトランジスタ(1805A)によって形成され、プルダウンドライバ論理回路(1807)は、第3のNチャンネルトランジスタ(1807A)によって形成される。第3のPチャンネルトランジスタ(1805A)及び第3のNチャンネルトランジスタ(1807A)のそれぞれのゲートは、実質的に同等な電気信号を受け取るように互いに電気的に接続される。更に、プルアップフィードバック論理回路(1809)は、第4のPチャンネルトランジスタ(1809A)によって形成され、プルダウンフィードバック論理回路(1811)は、第4のNチャンネルトランジスタ(1811A)によって形成される。第4のPチャンネルトランジスタ(1809A)及び第4のNチャンネルトランジスタ(1811A)のそれぞれのゲートは、フィードバックノード(1803)において互いに電気的に接続される。
一実施形態では、上述のゲート電極の交差結合トランジスタ構成は、2つの伝送ゲートを有するラッチを実施するのに用いられる。この実施形態を図21に関連して一部例示する。この実施形態では、駆動論理回路(2107)は、第2のPチャンネルトランジスタ(403)と第1のNチャンネルトランジスタ(407)の両方に電気的に接続される。
同様に、フィードバック論理回路(2109)は、第1のPチャンネルトランジスタ(4 01)と第2のNチャンネルトランジスタ(405)の両方に電気的に接続される。更に、ラッチは、共通ノード(495)に接続した入力と、フィードバックノード(2101)に接続した出力とを有する第1のインバータ(1801)を含む。フィードバック論理回路(2109)は、フィードバックノード(2101)に電気的に接続される。図21Bの例示的な実施形態では、駆動論理回路(2107)は、第2のインバータ(2107A)によって形成され、フィードバック論理回路(2109)は、第3のインバータ(2109A)によって形成される。
一実施形態では、上述のゲート電極の交差結合トランジスタ構成は、1つの伝送ゲートを有するラッチを実施するのに用いられる。この実施形態を図22に関連して一部例示する。この実施形態では、駆動論理回路(2201)は、第2のPチャンネルトランジスタ(403)と第1のNチャンネルトランジスタ(407)の両方に電気的に接続される。
同様に、プルアップフィードバック論理回路(2203)は、第1のPチャンネルトランジスタ(401)に電気的に接続され、プルダウンフィードバック論理回路(2205)は、第2のNチャンネルトランジスタ(405)に電気的に接続される。更に、ラッチは、共通ノード(495)に接続した入力と、フィードバックノード(2101)に接続した出力とを有する第1のインバータ(1801)を含む。プルアップフィードバック論理回路(2203)とプルダウンフィードバック論理回路(2205)の両方は、フィードバックノード(2101)に電気的に接続される。図22Bの例示的な実施形態では、駆動論理回路(2201)は、第2のインバータ(2201A)によって形成される。同様に、プルアップフィードバック論理回路(2203)は、第1のPチャンネルトランジスタ(401)とフィードバックノード(2101)の間に電気的に接続された第3のPチャンネルトランジスタ(2203A)によって形成される。プルダウンフィードバック論理回路(2205)は、第2のNチャンネルトランジスタ(405)とフィードバックノード(2101)の間に電気的に接続された第3のNチャンネルトランジスタ(2205A)によって形成される。
本明細書に開示する制限付きゲートレベルレイアウトアーキテクチャ内に実施される交差結合トランジスタレイアウトは、コンピュータ可読媒体上のデジタルフォーマットのような有形形態で記憶することができることを理解すべきである。また、本明細書に説明する発明は、コンピュータ可読媒体上のコンピュータ可読コードとして実施することができる。コンピュータ可読媒体は、後にコンピュータシステムによって読み取ることができるデータを記憶することができるあらゆるデータ記憶デバイスである。コンピュータ可読媒体の例は、ハードドライブ、ネットワーク接続ストレージ(NAS)、読取専用メモリ、ランダムアクセスメモリ、CD−ROM、CD−R、CD−RW、磁気テープ、並びに他の光学的及び非光学的データ記憶デバイスを含む。コンピュータ可読媒体は、コンピュータ可読コードが分散方式で記憶及び実行されるように、結合されたコンピュータシステムのネットワーク上に分散させることができる。
本明細書に説明する本発明の一部を形成する作動のうちのいずれかは、有用なマシン作動である。本発明は、これらの作動を行うためのデバイス又は装置にも関する。装置は、特殊用途コンピュータのような必要とされる用途に向けて特別に構成されたものとすることができる。コンピュータは、特殊用途コンピュータとして定められる場合には、特殊用途に向けて作動させることができることには変わりはないが、特殊用途の一部ではない他の処理、プログラム実行、又はルーチンを行うことができる。代替的に、作動は、コンピュータメモリ、キャッシュに記憶されるか又はネットワーク上で得られる1つ又はそれよりも多くのコンピュータプログラムによって選択的に作動又は構成された汎用コンピュータによって処理することができる。データがネットワーク上で得られる場合には、データをネットワーク上、例えば、コンピュータリソースクラウド上の他のコンピュータによって処理することができる。
本発明の実施形態は、データを1つの状態から別の状態へと変換するマシンとして形成することができる。データは、電子信号として表すことができる項目であり、電子的にデータを操作することができる項目を表すことができる。変換されたデータは、一部の場合には、データ変換から生じる物理的な物体を表すディスプレイ上に視覚的に示すことができる。変換されたデータは、ストレージに一般的に保存するか又は物理的で有形の物体の構成又は描写を可能にする特定のフォーマットで保存することができる。一部の実施形態では、操作は、プロセッサによって行うことができる。従って、そのような例では、プロセッサが、データを1つのものから別のものへと変換する。更に、これらの方法は、ネットワーク上で接続することができる1つ又はそれよりも多くのマシン又はプロセッサによって処理することができる。各マシンは、データを1つの状態又はものから別の状態又はものへと変換することができ、データを処理し、データをストレージに保存し、データをネットワーク上で送信し、結果を表示し、又は結果を別のマシンに通信することができる。
本発明をいくつかの実施形態に関して説明したが、当業者は、上述の明細書を読解して図面を精査することにより、これらの実施形態の様々な代替、追加、置換、及び均等物を実現することになることは認められるであろう。従って、本発明は、本発明の精神及び範囲に収まる全てのそのような代替、追加、置換、及び均等物を含むことを意図している。
401 第1のPMOSトランジスタ
407 第1のNMOSトランジスタ
450 ゲート電極トラック
480、482 p型拡散領域
484、486 n型拡散領域

Claims (32)

  1. チップのゲートレベル内に形成された第1のゲート電極を含む第1のPチャンネルトランジスタと、
    前記チップの前記ゲートレベル内に形成されて前記第1のPチャンネルトランジスタの前記第1のゲート電極に電気的に接続された第2のゲート電極を含む第1のNチャンネルトランジスタと、
    チップの前記ゲートレベル内に形成された第3のゲート電極を含む第2のPチャンネルトランジスタと、
    前記チップの前記ゲートレベル内に形成されて前記第2のPチャンネルトランジスタの前記第3のゲート電極に電気的に接続された第4のゲート電極を含む第2のNチャンネルトランジスタと、
    を含み、
    前記第1のPチャンネルトランジスタ、第1のNチャンネルトランジスタ、第2のPチャンネルトランジスタ、及び第2のNチャンネルトランジスタの各々は、共通ノードに電気的に接続されたそれぞれの拡散端子を有し、
    前記第1、第2、第3、及び第4のゲート電極の各々は、ゲートレベル特徴部レイアウトチャンネル内に形成されたそれぞれのゲートレベル特徴部の一部分に対応し、
    各ゲートレベル特徴部は、そのゲートレベル特徴部レイアウトチャンネル内に、隣接するゲートレベル特徴部レイアウトチャンネル内に形成された別のゲートレベル特徴部に物理的に接触することなく形成される、
    ことを特徴とする半導体チップ内の交差結合トランジスタ構成。
  2. 前記第1、第2、第3、及び第4のゲート電極の各々は、いくつかのゲート電極トラックのうちのいずれかに沿って延びるように形成され、
    前記いくつかのゲート電極トラックは、前記チップの前記ゲートレベルにわたって互いに対して平行な向きに延びる、
    ことを特徴とする請求項1に記載の半導体チップ内の交差結合トランジスタ構成。
  3. 各ゲートレベル特徴部レイアウトチャンネルは、所定のゲート電極トラックに関連付けられると共に、該所定のゲート電極トラックに沿って、かつ該所定のゲート電極トラックから隣接するゲート電極トラック又はレイアウト境界の外側の仮想ゲート電極トラックのうちのいずれか最近接のものまで各反対方向に垂直外向きに延びるレイアウト領域に対応することを特徴とする請求項2に記載の半導体チップ内の交差結合トランジスタ構成。
  4. 前記第2のゲート電極及び前記第1のゲート電極の両方は、p型拡散領域及びn型拡散領域の両方にわたって単一のゲート電極トラックに沿って延びる同じゲートレベル特徴部レイアウトチャンネル内に形成された単一のゲートレベル特徴部から形成され、
    前記第4のゲート電極は、前記ゲートレベル以外のいずれか1つのチップレベル内に形成された少なくとも1つの導電体を通じて前記第3のゲート電極に電気的に接続される、 ことを特徴とする請求項3に記載の半導体チップ内の交差結合トランジスタ構成。
  5. 前記第2のゲート電極及び前記第1のゲート電極の両方は、p型拡散領域及びn型拡散領域の両方にわたって第1のゲート電極トラックに沿って延びる第1のゲートレベル特徴部レイアウトチャンネル内に形成された第1のゲートレベル特徴部から形成され、
    前記第4のゲート電極及び前記第3のゲート電極の両方は、p型拡散領域及びn型拡散領域の両方にわたって第2のゲート電極トラックに沿って延びる第2のゲートレベル特徴部レイアウトチャンネル内に形成された第2のゲートレベル特徴部から形成される、
    ことを特徴とする請求項3に記載の半導体チップ内の交差結合トランジスタ構成。
  6. 前記第2のゲート電極は、前記ゲートレベル以外のいずれか1つのチップレベル内に形成された少なくとも1つの導電体を通じて前記第1のゲート電極に電気的に接続され、
    前記第4のゲート電極は、前記ゲートレベル以外のいずれか1つのチップレベル内に形成された少なくとも1つの導電体を通じて前記第3のゲート電極に電気的に接続される、 ことを特徴とする請求項1に記載の半導体チップ内の交差結合トランジスタ構成。
  7. 前記第1のPチャンネルトランジスタに電気的に接続されたプルアップ論理回路の第1の構成と、
    前記第1のNチャンネルトランジスタに電気的に接続されたプルダウン論理回路の第1の構成と、
    前記第2のPチャンネルトランジスタに電気的に接続されたプルアップ論理回路の第2の構成と、
    前記第2のNチャンネルトランジスタに電気的に接続されたプルダウン論理回路の第2の構成と、
    を更に含むことを特徴とする請求項1に記載の半導体チップ内の交差結合トランジスタ構成。
  8. 前記プルアップ論理回路の第1の構成は、第3のPチャンネルトランジスタによって形成され、
    前記プルダウン論理回路の第2の構成は、第3のNチャンネルトランジスタによって形成され、前記第3のPチャンネルトランジスタ及び第3のNチャンネルトランジスタのそれぞれのゲートが、実質的に同等な電気信号を受け取るように互いに電気的に接続され、 前記プルダウン論理回路の第1の構成は、第4のNチャンネルトランジスタによって形成され、
    前記プルアップ論理回路の第2の構成は、第4のPチャンネルトランジスタによって形成され、前記第4のPチャンネルトランジスタ及び第4のNチャンネルトランジスタのそれぞれのゲートが、実質的に同等な電気信号を受け取るように互いに電気的に接続される、
    ことを特徴とする請求項7に記載の半導体チップ内の交差結合トランジスタ構成。
  9. 前記第1のPチャンネルトランジスタに電気的に接続されたプルアップ論理回路の第1の構成と、
    前記第2のNチャンネルトランジスタに電気的に接続されたプルダウン論理回路の第1の構成と、
    前記第2のPチャンネルトランジスタ及び前記第1のNチャンネルトランジスタの両方に電気的に接続されたマルチプレックス回路駆動論理回路と、
    を更に含むことを特徴とする請求項1に記載の半導体チップ内の交差結合トランジスタ構成。
  10. 前記プルアップ論理回路の第1の構成は、第3のPチャンネルトランジスタによって形成され、
    前記プルダウン論理回路の第1の構成は、第3のNチャンネルトランジスタによって形成され、前記第3のPチャンネルトランジスタ及び第3のNチャンネルトランジスタのそれぞれのゲートが、実質的に同等な電気信号を受け取るように互いに電気的に接続され、 前記マルチプレックス回路駆動論理回路は、インバータによって形成される、
    ことを特徴とする請求項9に記載の半導体チップ内の交差結合トランジスタ構成。
  11. 前記第2のPチャンネルトランジスタに電気的に接続されたプルアップドライバ論理回路と、
    前記第1のNチャンネルトランジスタに電気的に接続されたプルダウンドライバ論理回路と、
    前記第1のPチャンネルトランジスタに電気的に接続されたプルアップフィードバック論理回路と、
    前記第2のNチャンネルトランジスタに電気的に接続されたプルダウンフィードバック論理回路と、
    前記共通ノードに接続した入力と、前記プルアップフィードバック論理回路及びプルダウンフィードバック論理回路が接続したフィードバックノードに接続した出力とを有するインバータと、
    を更に含むことを特徴とする請求項1に記載の半導体チップ内の交差結合トランジスタ構成。
  12. 前記プルアップドライバ論理回路は、第3のPチャンネルトランジスタによって形成され、
    前記プルダウンドライバ論理回路は、第3のNチャンネルトランジスタによって形成され、前記第3のPチャンネルトランジスタ及び第3のNチャンネルトランジスタのそれぞれのゲートが、実質的に同等な電気信号を受け取るように互いに電気的に接続され、
    前記プルアップフィードバック論理回路は、第4のPチャンネルトランジスタによって形成され、
    前記プルダウンフィードバック論理回路は、第4のNチャンネルトランジスタによって形成され、前記第4のPチャンネルトランジスタ及び第4のNチャンネルトランジスタのそれぞれのゲートが、前記フィードバックノードで互いに電気的に接続される、
    ことを特徴とする請求項11に記載の半導体チップ内の交差結合トランジスタ構成。
  13. 前記第2のPチャンネルトランジスタ及び前記第1のNチャンネルトランジスタの両方に電気的に接続された駆動論理回路と、
    前記第1のPチャンネルトランジスタ及び前記第2のNチャンネルトランジスタの両方に電気的に接続されたフィードバック論理回路と、
    前記共通ノードに接続した入力と、前記フィードバック論理回路が電気的に接続されたフィードバックノードに接続した出力とを有する第1のインバータと、
    を更に含むことを特徴とする請求項1に記載の半導体チップ内の交差結合トランジスタ構成。
  14. 前記駆動論理回路は、第2のインバータによって形成され、
    前記フィードバック論理回路は、第3のインバータによって形成される、
    ことを特徴とする請求項13に記載の半導体チップ内の交差結合トランジスタ構成。
  15. 前記第2のPチャンネルトランジスタ及び前記第1のNチャンネルトランジスタの両方に電気的に接続された駆動論理回路と、
    前記第1のPチャンネルトランジスタに電気的に接続されたプルアップフィードバック論理回路と、
    前記第2のNチャンネルトランジスタに電気的に接続されたプルダウンフィードバック論理回路と、
    前記共通ノードに接続した入力と、前記プルアップフィードバック論理回路及びプルダウンフィードバック論理回路の両方が電気的に接続されたフィードバックノードに接続した出力とを有する第1のインバータと、
    を更に含むことを特徴とする請求項1に記載の半導体チップ内の交差結合トランジスタ構成。
  16. 前記駆動論理回路は、第2のインバータによって形成され、
    前記プルアップフィードバック論理回路は、前記第1のPチャンネルトランジスタと前記フィードバックノードの間に電気的に接続された第3のPチャンネルトランジスタによって形成され、
    前記プルダウンフィードバック論理回路は、前記第2のNチャンネルトランジスタと前記フィードバックノードの間に電気的に接続された第3のNチャンネルトランジスタによって形成される、
    ことを特徴とする請求項15に記載の半導体チップ内の交差結合トランジスタ構成。
  17. チップのゲートレベル内に形成された第1のゲート電極を含む第1のPチャンネルトランジスタと、
    前記チップの前記ゲートレベル内に形成されて前記第1のPチャンネルトランジスタの前記第1のゲート電極に電気的に接続された第2のゲート電極を含む第1のNチャンネルトランジスタと、
    チップの前記ゲートレベル内に形成された第3のゲート電極を含む第2のPチャンネルトランジスタと、
    前記チップの前記ゲートレベル内に形成されて前記第2のPチャンネルトランジスタの前記第3のゲート電極に電気的に接続された第4のゲート電極を含む第2のNチャンネルトランジスタと、
    を含み、
    前記第1のPチャンネルトランジスタ、第1のNチャンネルトランジスタ、第2のPチャンネルトランジスタ、及び第2のNチャンネルトランジスタの各々は、共通ノードに電気的に接続されたそれぞれの拡散端子を有し、
    前記第1、第2、第3、及び第4のゲート電極の各々は、ゲートレベル特徴部レイアウトチャンネル内に形成されたそれぞれのゲートレベル特徴部の一部分に対応し、
    各ゲートレベル特徴部は、そのゲートレベル特徴部レイアウトチャンネル内に、隣接するゲートレベル特徴部レイアウトチャンネル内に形成された別のゲートレベル特徴部に物理的に接触することなく形成される、
    ことを特徴とする交差結合トランジスタレイアウト。
  18. 前記第1、第2、第3、及び第4のゲート電極の各々は、いくつかのゲート電極トラックのうちのいずれかに沿って延びるように形成され、
    前記いくつかのゲート電極トラックは、前記チップの前記ゲートレベルにわたって互いに対して平行な向きに延びる、
    ことを特徴とする請求項17に記載の交差結合トランジスタレイアウト。
  19. 各ゲートレベル特徴部レイアウトチャンネルは、所定のゲート電極トラックに関連付けられると共に、該所定のゲート電極トラックに沿って、かつ該所定のゲート電極トラックから隣接するゲート電極トラック又はレイアウト境界の外側の仮想ゲート電極トラックのうちのいずれか最近接のものまで各反対方向に垂直外向きに延びるレイアウト領域に対応することを特徴とする請求項18に記載の交差結合トランジスタレイアウト。
  20. 前記第2のゲート電極及び前記第1のゲート電極の両方は、p型拡散領域及びn型拡散領域の両方にわたって単一のゲート電極トラックに沿って延びる同じゲートレベル特徴部レイアウトチャンネル内に形成された単一のゲートレベル特徴部から形成され、
    前記第4のゲート電極は、前記ゲートレベル以外のいずれか1つのチップレベル内に形成された少なくとも1つの導電体を通じて前記第3のゲート電極に電気的に接続される、 ことを特徴とする請求項19に記載の交差結合トランジスタレイアウト。
  21. 前記第2のゲート電極及び前記第1のゲート電極の両方は、p型拡散領域及びn型拡散領域の両方にわたって第1のゲート電極トラックに沿って延びる第1のゲートレベル特徴部レイアウトチャンネル内に形成された第1のゲートレベル特徴部から形成され、
    前記第4のゲート電極及び前記第3のゲート電極の両方は、p型拡散領域及びn型拡散領域の両方にわたって第2のゲート電極トラックに沿って延びる第2のゲートレベル特徴部レイアウトチャンネル内に形成された第2のゲートレベル特徴部から形成される、
    ことを特徴とする請求項19に記載の交差結合トランジスタレイアウト。
  22. 前記第2のゲート電極は、前記ゲートレベル以外のいずれか1つのチップレベル内に形成された少なくとも1つの導電体を通じて前記第1のゲート電極に電気的に接続され、
    前記第4のゲート電極は、前記ゲートレベル以外のいずれか1つのチップレベル内に形成された少なくとも1つの導電体を通じて前記第3のゲート電極に電気的に接続される、 ことを特徴とする請求項17に記載の交差結合トランジスタレイアウト。
  23. 前記第1及び第2のゲート電極は、同じ第1のゲート電極トラックに沿って形成され、 前記第3及び第4のゲート電極は、同じ第2のゲート電極トラックに沿って形成される、
    ことを特徴とする請求項22に記載の交差結合トランジスタレイアウト。
  24. 第1のゲート電極によって形成された第1のPチャンネルトランジスタと、
    第2のゲート電極によって形成された第1のNチャンネルトランジスタと、
    第3のゲート電極によって形成された第2のPチャンネルトランジスタと、
    第4のゲート電極によって形成された第2のNチャンネルトランジスタと、
    を含み、
    前記第1、第2、第3、及び第4のゲート電極の各々は、半導体チップのゲートレベル内に形成され、かつ共通の拡散ノードと電気的に関連付けられ、
    前記第1のPチャンネルトランジスタの前記第1のゲート電極は、前記第1のNチャンネルトランジスタの前記第2のゲート電極に電気的に接続され、
    前記第2のPチャンネルトランジスタの前記第3のゲート電極は、前記第2のNチャンネルトランジスタの前記第4のゲート電極に電気的に接続され、
    前記第1、第2、第3、及び第4のゲート電極の各々は、ゲートレベル特徴部レイアウトチャンネル内に形成されたそれぞれのゲートレベル特徴部の一部分に対応し、
    各ゲートレベル特徴部は、そのゲートレベル特徴部レイアウトチャンネル内に、隣接するゲートレベル特徴部レイアウトチャンネル内に形成された別のゲートレベル特徴部に物理的に接触することなく形成される、
    ことを特徴とする半導体チップ。
  25. 前記第1、第2、第3、及び第4のゲート電極の各々は、いくつかのゲート電極トラックのうちのいずれかに沿って延びるように形成され、
    前記いくつかのゲート電極トラックは、前記チップの前記ゲートレベルにわたって互いに対して平行な向きに延びる、
    ことを特徴とする請求項24に記載の半導体チップ。
  26. 各ゲートレベル特徴部レイアウトチャンネルは、所定のゲート電極トラックに関連付けられると共に、該所定のゲート電極トラックに沿って、かつ該所定のゲート電極トラックから隣接するゲート電極トラック又はレイアウト境界の外側の仮想ゲート電極トラックのうちのいずれか最近接のものまで各反対方向に垂直外向きに延びるレイアウト領域に対応することを特徴とする請求項25に記載の半導体チップ。
  27. 前記第1及び第2のゲート電極は、同じゲート電極トラックに沿って延びるように形成されることを特徴とする請求項26に記載の半導体チップ。
  28. 前記第1のゲート電極は、前記ゲートレベル以外のいずれかのチップレベル内に形成された少なくとも1つの導電体を通じて前記第2のゲート電極と電気的に接続されることを特徴とする請求項27に記載の半導体チップ。
  29. 前記第1及び第2のゲート電極は、p型拡散領域及びn型拡散領域にわたって延びる単一のゲートレベル特徴部のそれぞれの部分によって形成されることを特徴とする請求項27に記載の半導体チップ。
  30. 前記第3及び第4のゲート電極は、同じゲート電極トラックに沿って延びるように形成されることを特徴とする請求項26に記載の半導体チップ。
  31. 前記第3のゲート電極は、前記ゲートレベル以外のいずれかのチップレベル内に形成された少なくとも1つの導電体を通じて前記第4のゲート電極と電気的に接続されることを特徴とする請求項30に記載の半導体チップ。
  32. 前記第3及び第4のゲート電極は、p型拡散領域及びn型拡散領域にわたって延びる単一のゲートレベル特徴部のそれぞれの部分によって形成されることを特徴とする請求項30に記載の半導体チップ。
JP2017233065A 2008-03-13 2017-12-05 制限付きゲートレベルレイアウトアーキテクチャにおける交差結合トランジスタレイアウト Expired - Fee Related JP6462838B2 (ja)

Applications Claiming Priority (10)

Application Number Priority Date Filing Date Title
US3646008P 2008-03-13 2008-03-13
US61/036,460 2008-03-13
US4270908P 2008-04-04 2008-04-04
US61/042,709 2008-04-04
US4595308P 2008-04-17 2008-04-17
US61/045,953 2008-04-17
US5013608P 2008-05-02 2008-05-02
US61/050,136 2008-05-02
US12/402,465 2009-03-11
US12/402,465 US7956421B2 (en) 2008-03-13 2009-03-11 Cross-coupled transistor layouts in restricted gate level layout architecture

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2016144053A Division JP2016208047A (ja) 2008-03-13 2016-07-22 制限付きゲートレベルレイアウトアーキテクチャにおける交差結合トランジスタレイアウト

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2018245003A Division JP2019057736A (ja) 2008-03-13 2018-12-27 制限付きゲートレベルレイアウトアーキテクチャにおける交差結合トランジスタレイアウト

Publications (2)

Publication Number Publication Date
JP2018067726A true JP2018067726A (ja) 2018-04-26
JP6462838B2 JP6462838B2 (ja) 2019-01-30

Family

ID=41052712

Family Applications (7)

Application Number Title Priority Date Filing Date
JP2010550861A Expired - Fee Related JP5628050B2 (ja) 2008-03-13 2009-03-12 制限付きゲートレベルレイアウトアーキテクチャにおける交差結合トランジスタレイアウト
JP2014063612A Active JP5730424B2 (ja) 2008-03-13 2014-03-26 制限付きゲートレベルレイアウトアーキテクチャにおける交差結合トランジスタレイアウト
JP2014243792A Expired - Fee Related JP5977806B2 (ja) 2008-03-13 2014-12-02 制限付きゲートレベルレイアウトアーキテクチャにおける交差結合トランジスタレイアウト
JP2016144053A Pending JP2016208047A (ja) 2008-03-13 2016-07-22 制限付きゲートレベルレイアウトアーキテクチャにおける交差結合トランジスタレイアウト
JP2017233065A Expired - Fee Related JP6462838B2 (ja) 2008-03-13 2017-12-05 制限付きゲートレベルレイアウトアーキテクチャにおける交差結合トランジスタレイアウト
JP2018245003A Pending JP2019057736A (ja) 2008-03-13 2018-12-27 制限付きゲートレベルレイアウトアーキテクチャにおける交差結合トランジスタレイアウト
JP2020097433A Pending JP2020150276A (ja) 2008-03-13 2020-06-04 制限付きゲートレベルレイアウトアーキテクチャにおける交差結合トランジスタレイアウト

Family Applications Before (4)

Application Number Title Priority Date Filing Date
JP2010550861A Expired - Fee Related JP5628050B2 (ja) 2008-03-13 2009-03-12 制限付きゲートレベルレイアウトアーキテクチャにおける交差結合トランジスタレイアウト
JP2014063612A Active JP5730424B2 (ja) 2008-03-13 2014-03-26 制限付きゲートレベルレイアウトアーキテクチャにおける交差結合トランジスタレイアウト
JP2014243792A Expired - Fee Related JP5977806B2 (ja) 2008-03-13 2014-12-02 制限付きゲートレベルレイアウトアーキテクチャにおける交差結合トランジスタレイアウト
JP2016144053A Pending JP2016208047A (ja) 2008-03-13 2016-07-22 制限付きゲートレベルレイアウトアーキテクチャにおける交差結合トランジスタレイアウト

Family Applications After (2)

Application Number Title Priority Date Filing Date
JP2018245003A Pending JP2019057736A (ja) 2008-03-13 2018-12-27 制限付きゲートレベルレイアウトアーキテクチャにおける交差結合トランジスタレイアウト
JP2020097433A Pending JP2020150276A (ja) 2008-03-13 2020-06-04 制限付きゲートレベルレイアウトアーキテクチャにおける交差結合トランジスタレイアウト

Country Status (6)

Country Link
US (52) US7956421B2 (ja)
JP (7) JP5628050B2 (ja)
MY (2) MY151273A (ja)
SG (2) SG10201604836UA (ja)
TW (5) TWI546939B (ja)
WO (1) WO2009114680A2 (ja)

Families Citing this family (139)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7448012B1 (en) 2004-04-21 2008-11-04 Qi-De Qian Methods and system for improving integrated circuit layout
US8839175B2 (en) 2006-03-09 2014-09-16 Tela Innovations, Inc. Scalable meta-data objects
US7763534B2 (en) 2007-10-26 2010-07-27 Tela Innovations, Inc. Methods, structures and designs for self-aligning local interconnects used in integrated circuits
US7956421B2 (en) 2008-03-13 2011-06-07 Tela Innovations, Inc. Cross-coupled transistor layouts in restricted gate level layout architecture
US8658542B2 (en) 2006-03-09 2014-02-25 Tela Innovations, Inc. Coarse grid design methods and structures
US9035359B2 (en) 2006-03-09 2015-05-19 Tela Innovations, Inc. Semiconductor chip including region including linear-shaped conductive structures forming gate electrodes and having electrical connection areas arranged relative to inner region between transistors of different types and associated methods
US9563733B2 (en) 2009-05-06 2017-02-07 Tela Innovations, Inc. Cell circuit and layout with linear finfet structures
US8653857B2 (en) 2006-03-09 2014-02-18 Tela Innovations, Inc. Circuitry and layouts for XOR and XNOR logic
US8448102B2 (en) 2006-03-09 2013-05-21 Tela Innovations, Inc. Optimizing layout of irregular structures in regular layout context
US9009641B2 (en) 2006-03-09 2015-04-14 Tela Innovations, Inc. Circuits with linear finfet structures
US9230910B2 (en) 2006-03-09 2016-01-05 Tela Innovations, Inc. Oversized contacts and vias in layout defined by linearly constrained topology
US7446352B2 (en) 2006-03-09 2008-11-04 Tela Innovations, Inc. Dynamic array architecture
US8541879B2 (en) 2007-12-13 2013-09-24 Tela Innovations, Inc. Super-self-aligned contacts and method for making the same
US7917879B2 (en) 2007-08-02 2011-03-29 Tela Innovations, Inc. Semiconductor device with dynamic array section
US8667443B2 (en) 2007-03-05 2014-03-04 Tela Innovations, Inc. Integrated circuit cell library for multiple patterning
US8453094B2 (en) 2008-01-31 2013-05-28 Tela Innovations, Inc. Enforcement of semiconductor structure regularity for localized transistors and interconnect
US7939443B2 (en) 2008-03-27 2011-05-10 Tela Innovations, Inc. Methods for multi-wire routing and apparatus implementing same
MY152456A (en) 2008-07-16 2014-09-30 Tela Innovations Inc Methods for cell phasing and placement in dynamic array architecture and implementation of the same
US9122832B2 (en) 2008-08-01 2015-09-01 Tela Innovations, Inc. Methods for controlling microloading variation in semiconductor wafer layout and fabrication
JP5580981B2 (ja) * 2008-11-21 2014-08-27 ラピスセミコンダクタ株式会社 半導体素子及び半導体装置
GB2466313A (en) * 2008-12-22 2010-06-23 Cambridge Silicon Radio Ltd Radio Frequency CMOS Transistor
US8661392B2 (en) 2009-10-13 2014-02-25 Tela Innovations, Inc. Methods for cell boundary encroachment and layouts implementing the Same
US8456939B2 (en) * 2009-12-11 2013-06-04 Arm Limited Voltage regulation circuitry
JP5364015B2 (ja) * 2010-03-05 2013-12-11 パナソニック株式会社 半導体装置
US7969199B1 (en) 2010-05-10 2011-06-28 Pdf Solutions, Inc. Pattern controlled IC layout
JP2011242541A (ja) * 2010-05-17 2011-12-01 Panasonic Corp 半導体集積回路装置、および標準セルの端子構造
JP5531848B2 (ja) * 2010-08-06 2014-06-25 富士通セミコンダクター株式会社 半導体装置、半導体集積回路装置、SRAM、Dt−MOSトランジスタの製造方法
US9159627B2 (en) 2010-11-12 2015-10-13 Tela Innovations, Inc. Methods for linewidth modification and apparatus implementing the same
US8735857B2 (en) * 2010-12-22 2014-05-27 Easic Corporation Via-configurable high-performance logic block architecture
US8610176B2 (en) * 2011-01-11 2013-12-17 Qualcomm Incorporated Standard cell architecture using double poly patterning for multi VT devices
JP5699826B2 (ja) * 2011-06-27 2015-04-15 富士通セミコンダクター株式会社 レイアウト方法及び半導体装置の製造方法
JP5776413B2 (ja) * 2011-07-28 2015-09-09 富士通株式会社 回路設計支援装置、回路設計支援方法および回路設計支援プログラム
US9123562B2 (en) * 2011-09-19 2015-09-01 Texas Instruments Incorporated Layout method to minimize context effects and die area
US8482314B2 (en) * 2011-11-08 2013-07-09 Taiwan Semiconductor Manufacturing Co., Ltd. Method and apparatus for improved multiplexing using tri-state inverter
US9355910B2 (en) * 2011-12-13 2016-05-31 GlobalFoundries, Inc. Semiconductor device with transistor local interconnects
US8581348B2 (en) 2011-12-13 2013-11-12 GlobalFoundries, Inc. Semiconductor device with transistor local interconnects
US8887106B2 (en) * 2011-12-28 2014-11-11 Taiwan Semiconductor Manufacturing Company, Ltd. Method of generating a bias-adjusted layout design of a conductive feature and method of generating a simulation model of a predefined fabrication process
JP2013161878A (ja) * 2012-02-02 2013-08-19 Renesas Electronics Corp 半導体装置、および半導体装置の製造方法
US8779592B2 (en) * 2012-05-01 2014-07-15 Taiwan Semiconductor Manufacturing Company, Ltd. Via-free interconnect structure with self-aligned metal line interconnections
US20130320451A1 (en) 2012-06-01 2013-12-05 Taiwan Semiconductor Manufacturing Company, Ltd., ("Tsmc") Semiconductor device having non-orthogonal element
US8964453B2 (en) 2012-06-28 2015-02-24 Synopsys, Inc. SRAM layouts
KR101991711B1 (ko) * 2012-08-16 2019-06-24 에스케이하이닉스 주식회사 비트라인 센스앰프 및 레이아웃 방법
US10629550B2 (en) * 2012-10-31 2020-04-21 Delta Electronics (Shanghai) Co., Ltd Power integrated module
US20140197463A1 (en) * 2013-01-15 2014-07-17 Altera Corporation Metal-programmable integrated circuits
US8799834B1 (en) * 2013-01-30 2014-08-05 Taiwan Semiconductor Manufacturing Company Limited Self-aligned multiple patterning layout design
TWI569376B (zh) * 2013-02-23 2017-02-01 聯華電子股份有限公司 靜態隨機存取記憶體單元結構以及靜態隨機存取記憶體單元佈局結構
US8719759B1 (en) * 2013-02-27 2014-05-06 Taiwan Semiconductor Manufacturing Co., Ltd. Area optimized series gate layout structure for FINFET array
US8977988B2 (en) * 2013-04-09 2015-03-10 United Microelectronics Corp. Method of optical proximity correction for modifying line patterns and integrated circuits with line patterns modified by the same
US9263279B2 (en) * 2013-04-17 2016-02-16 Qualcomm Incorporated Combining cut mask lithography and conventional lithography to achieve sub-threshold pattern features
US9082739B2 (en) 2013-05-16 2015-07-14 Samsung Electronics Co., Ltd. Semiconductor device having test structure
US8971096B2 (en) 2013-07-29 2015-03-03 Qualcomm Incorporated Wide range multiport bitcell
US9391056B2 (en) * 2013-08-16 2016-07-12 Taiwan Semiconductor Manufacturing Company, Ltd. Mask optimization for multi-layer contacts
KR102152772B1 (ko) 2013-11-18 2020-09-08 삼성전자 주식회사 레이아웃 디자인 시스템, 레이아웃 디자인 방법, 및 이를 이용하여 제조된 반도체 장치
CN104701297B (zh) * 2013-12-05 2017-12-29 中芯国际集成电路制造(北京)有限公司 互连结构及其形成方法
US9236342B2 (en) 2013-12-18 2016-01-12 Intel Corporation Self-aligned via and plug patterning with photobuckets for back end of line (BEOL) interconnects
JP2015122398A (ja) * 2013-12-24 2015-07-02 セイコーエプソン株式会社 半導体集積回路装置及びそのレイアウト設計方法
US9177634B1 (en) * 2014-02-04 2015-11-03 Xilinx, Inc. Two gate pitch FPGA memory cell
ES2526106B1 (es) * 2014-02-21 2016-05-06 Armando MEDINA RIVERO Dispositivo para probar líquidos de cigarrillos electrónicos
US20150263039A1 (en) * 2014-03-12 2015-09-17 Paramjeet Singh Standard cell layout for logic gate
US9767243B2 (en) 2014-05-27 2017-09-19 Taiwan Semiconductor Manufacturing Company, Ltd. System and method of layout design for integrated circuits
CN106659139B (zh) 2014-06-23 2021-09-03 宠物更新有限公司 动物喂食系统和喂食动物的方法
KR102088200B1 (ko) 2014-07-01 2020-03-13 삼성전자주식회사 반도체 소자 및 그 제조방법
US10095825B2 (en) 2014-09-18 2018-10-09 Samsung Electronics Co., Ltd. Computer based system for verifying layout of semiconductor device and layout verify method thereof
KR102423878B1 (ko) * 2014-09-18 2022-07-22 삼성전자주식회사 다수의 소자 측정이 가능한 테스트용 반도체 장치 및 그것의 제조 방법 및 테스트 방법
US9811626B2 (en) 2014-09-18 2017-11-07 Samsung Electronics Co., Ltd. Method of designing layout of semiconductor device
US9704862B2 (en) 2014-09-18 2017-07-11 Samsung Electronics Co., Ltd. Semiconductor devices and methods for manufacturing the same
US9767248B2 (en) 2014-09-18 2017-09-19 Samsung Electronics, Co., Ltd. Semiconductor having cross coupled structure and layout verification method thereof
US10026661B2 (en) 2014-09-18 2018-07-17 Samsung Electronics Co., Ltd. Semiconductor device for testing large number of devices and composing method and test method thereof
US9431381B2 (en) * 2014-09-29 2016-08-30 Taiwan Semiconductor Manufacturing Company, Ltd. System and method of processing cutting layout and example switching circuit
KR102288869B1 (ko) 2014-10-01 2021-08-10 삼성전자주식회사 시스템 온 칩
US9589955B2 (en) 2014-10-01 2017-03-07 Samsung Electronics Co., Ltd. System on chip
US20160163634A1 (en) * 2014-10-03 2016-06-09 Edward Seymour Power reduced computing
KR102254031B1 (ko) 2014-10-10 2021-05-20 삼성전자주식회사 반도체 소자 및 이의 제조 방법
KR102296062B1 (ko) * 2014-11-06 2021-08-31 삼성전자주식회사 반도체 집적 회로 및 그 제조 방법
US9748246B2 (en) * 2014-11-06 2017-08-29 Samsung Electronics Co., Ltd. Semiconductor integrated circuits having contacts spaced apart from active regions
US9418896B2 (en) 2014-11-12 2016-08-16 Samsung Electronics Co., Ltd. Semiconductor device and fabricating method thereof
KR102150942B1 (ko) * 2014-12-01 2020-09-03 삼성전자주식회사 핀펫을 구비하는 반도체 장치
US9691750B2 (en) * 2015-01-30 2017-06-27 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device and layout method thereof
US9646960B2 (en) 2015-02-26 2017-05-09 Samsung Electronics Co., Ltd. System-on-chip devices and methods of designing a layout therefor
US9583493B2 (en) 2015-04-08 2017-02-28 Samsung Electronics Co., Ltd. Integrated circuit and semiconductor device
US9773772B2 (en) 2015-04-09 2017-09-26 Samsung Electronics Co., Ltd. Semiconductor device and method of fabricating the same
KR102321605B1 (ko) 2015-04-09 2021-11-08 삼성전자주식회사 반도체 장치의 레이아웃 설계 방법 및 그를 이용한 반도체 장치의 제조 방법
US9690896B2 (en) 2015-04-09 2017-06-27 Samsung Electronics Co., Ltd. Method for manufacturing a semiconductor device and semiconductor device manufactured by the same
US10204920B2 (en) 2015-04-09 2019-02-12 Samsung Electronics Co., Ltd. Semiconductor device including polygon-shaped standard cell
US9698056B2 (en) 2015-04-09 2017-07-04 Samsung Electronics., Ltd. Method for designing layout of semiconductor device and method for manufacturing semiconductor device using the same
US9461045B1 (en) * 2015-06-25 2016-10-04 Micron Technology, Inc. Semiconductor devices
US9853112B2 (en) 2015-07-17 2017-12-26 Qualcomm Incorporated Device and method to connect gate regions separated using a gate cut
KR102342851B1 (ko) 2015-08-17 2021-12-23 삼성전자주식회사 반도체 칩, 테스트 시스템 및 반도체 칩의 테스트 방법
US10163879B2 (en) 2015-10-05 2018-12-25 Samsung Electronics Co., Ltd. Semiconductor device having jumper pattern
US9793211B2 (en) * 2015-10-20 2017-10-17 Taiwan Semiconductor Manufacturing Co., Ltd. Dual power structure with connection pins
US10169515B2 (en) * 2015-11-16 2019-01-01 Taiwan Semiconductor Manufacturing Company Ltd. Layout modification method and system
TWI571760B (zh) * 2015-12-09 2017-02-21 英業達股份有限公司 佈局檢查系統及其方法
US10032782B2 (en) 2016-03-02 2018-07-24 Taiwan Semiconductor Manufacturing Co., Ltd. Static random access memory and manufacturing method thereof
US9786660B1 (en) 2016-03-17 2017-10-10 Cree, Inc. Transistor with bypassed gate structure field
US10128365B2 (en) 2016-03-17 2018-11-13 Cree, Inc. Bypassed gate transistors having improved stability
US9947616B2 (en) 2016-03-17 2018-04-17 Cree, Inc. High power MMIC devices having bypassed gate transistors
US9762237B1 (en) * 2016-06-24 2017-09-12 Qualcomm Incorporated Constant impedance transmitter with variable output voltage limits
US9923051B1 (en) * 2016-09-21 2018-03-20 Xilinx, Inc. Substrate noise isolation structures for semiconductor devices
KR102517568B1 (ko) 2016-09-28 2023-04-03 삼성전자주식회사 반도체 장치
KR102633138B1 (ko) 2016-10-17 2024-02-02 삼성전자주식회사 집적 회로 및 반도체 장치
CN108008933B (zh) * 2016-11-02 2022-02-08 中芯国际集成电路制造(上海)有限公司 一种用于产生芯片的随机序列号的电路及包括该电路的芯片
US10740531B2 (en) * 2016-11-29 2020-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit, system for and method of forming an integrated circuit
KR102633141B1 (ko) 2016-12-07 2024-02-02 삼성전자주식회사 집적회로 소자
KR20180069465A (ko) 2016-12-15 2018-06-25 삼성전자주식회사 수직형 트랜지스터를 구비하는 집적 회로 및 이를 포함하는 반도체 장치
US9972571B1 (en) 2016-12-15 2018-05-15 Taiwan Semiconductor Manufacturing Co., Ltd. Logic cell structure and method
KR20180120870A (ko) 2017-04-27 2018-11-07 삼성전자주식회사 반도체 소자
US10489548B2 (en) * 2017-05-26 2019-11-26 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit and method for manufacturing the same
US10790272B2 (en) * 2017-08-02 2020-09-29 Qualcomm Incorporated Manufacturability (DFM) cells in extreme ultra violet (EUV) technology
US10497692B2 (en) * 2017-08-29 2019-12-03 Globalfoundries Inc. SRAM structure with alternate gate pitches
KR102362016B1 (ko) 2017-09-19 2022-02-10 삼성전자주식회사 마스터 슬레이브 플립 플롭
DE102018123548A1 (de) * 2017-09-28 2019-03-28 Taiwan Semiconductor Manufacturing Company, Ltd. Integrierte schaltung und verfahren zur herstellung derselben
US10734321B2 (en) 2017-09-28 2020-08-04 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit and method of manufacturing same
US10559558B2 (en) 2017-09-29 2020-02-11 Taiwan Semiconductor Manufacturing Co., Ltd. Pin modification for standard cells
US10727835B2 (en) * 2017-10-10 2020-07-28 Tacho Holdings, Llc Three-dimensional logic circuit
US11750191B2 (en) * 2017-10-10 2023-09-05 Tacho Holdings, Llc Three-dimensional logic circuit
US11152347B2 (en) 2018-04-13 2021-10-19 Qualcomm Incorporated Cell circuits formed in circuit cells employing offset gate cut areas in a non-active area for routing transistor gate cross-connections
US10522542B1 (en) 2018-06-28 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Double rule integrated circuit layouts for a dual transmission gate
US10763334B2 (en) 2018-07-11 2020-09-01 Cree, Inc. Drain and/or gate interconnect and finger structure
US10483352B1 (en) * 2018-07-11 2019-11-19 Cree, Inc. High power transistor with interior-fed gate fingers
US10878165B2 (en) * 2018-07-16 2020-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. Method for generating layout diagram including protruding pin cell regions and semiconductor device based on same
US10600746B2 (en) 2018-07-19 2020-03-24 Cree, Inc. Radio frequency transistor amplifiers and other multi-cell transistors having gaps and/or isolation structures between groups of unit cell transistors
KR20200011367A (ko) * 2018-07-24 2020-02-03 삼성전자주식회사 크로스-커플(cross-couple) 구조를 갖는 래치를 포함하는 수직 전계 효과 트랜지스터(vfet) 장치
US11188703B2 (en) * 2018-09-28 2021-11-30 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit, system, and method of forming the same
JP7065007B2 (ja) * 2018-10-01 2022-05-11 ルネサスエレクトロニクス株式会社 半導体装置
US10770415B2 (en) 2018-12-04 2020-09-08 Cree, Inc. Packaged transistor devices with input-output isolation and methods of forming packaged transistor devices with input-output isolation
US10411708B1 (en) * 2018-12-20 2019-09-10 Micron Technology, Inc. Apparatuses and methods including configurable logic circuits and layout thereof
KR20200092020A (ko) 2019-01-24 2020-08-03 삼성전자주식회사 멀티-하이트 스탠다드 셀을 포함하는 집적 회로 및 그 설계 방법
US11417746B2 (en) 2019-04-24 2022-08-16 Wolfspeed, Inc. High power transistor with interior-fed fingers
US11459846B2 (en) 2019-08-14 2022-10-04 Terves, Llc Temporary well isolation device
US10854604B1 (en) * 2019-09-20 2020-12-01 Qualcomm Incorporated Offset gate contact
KR20210077189A (ko) 2019-12-17 2021-06-25 삼성전자주식회사 반도체 집적 회로
US11735592B2 (en) * 2019-12-20 2023-08-22 Samsung Electronics Co., Ltd. Integrated circuit including integrated standard cell structure
US11651133B2 (en) * 2020-03-05 2023-05-16 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit and method of forming same
DE102020125839A1 (de) 2020-03-05 2021-09-09 Taiwan Semiconductor Manufacturing Co., Ltd. Integrierter schaltkreis und verfahren zu seiner bildung
US11456209B2 (en) 2020-07-31 2022-09-27 Taiwan Semiconductor Manufacturing Co., Ltd. Spacers for semiconductor devices including a backside power rails
TWI775289B (zh) * 2021-01-22 2022-08-21 力晶積成電子製造股份有限公司 用以定義閘介電層的光罩的布局設計方法
JP7446446B2 (ja) * 2021-02-05 2024-03-08 チャンシン メモリー テクノロジーズ インコーポレイテッド スタンダードセルレイアウトテンプレート及び半導体構造
KR20220128040A (ko) * 2021-03-12 2022-09-20 삼성전자주식회사 반도체 장치
US20230308099A1 (en) * 2022-03-28 2023-09-28 Mediatek Inc. Buffer circuits and semiconductor structures thereof

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0822489A (ja) * 1994-07-07 1996-01-23 Mitsubishi Electric Corp 集積回路のレイアウトパターン生成装置
JPH0851194A (ja) * 1994-08-09 1996-02-20 Mitsubishi Electric Corp 半導体集積回路、半導体集積回路の製造方法及びセルの配置方法
JP2002319665A (ja) * 2000-09-21 2002-10-31 Matsushita Electric Ind Co Ltd Cmos型基本セル及びこれを使用した半導体集積回路の製造方法
WO2007103587A2 (en) * 2006-03-09 2007-09-13 Tela Innovations, Inc. Dynamic array architecture

Family Cites Families (761)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US512186A (en) * 1894-01-02 Augustus w
US648003A (en) 1899-09-06 1900-04-24 George S Ullom Shield for cultivators.
US3521242A (en) * 1967-05-02 1970-07-21 Rca Corp Complementary transistor write and ndro for memory cell
US4069493A (en) 1970-10-02 1978-01-17 Thomson-Csf Novel integrated circuit and method of manufacturing same
US4197555A (en) * 1975-12-29 1980-04-08 Fujitsu Limited Semiconductor device
JPS5746536A (en) 1980-09-04 1982-03-17 Matsushita Electric Ind Co Ltd Gate circuit
US4424460A (en) * 1981-07-14 1984-01-03 Rockwell International Corporation Apparatus and method for providing a logical exclusive OR/exclusive NOR function
JPS5877065U (ja) * 1981-11-18 1983-05-24 日本電気株式会社 集積回路装置
JPS5943824B2 (ja) 1982-03-03 1984-10-24 三菱電機株式会社 半導体集積回路装置
JPS58182242A (ja) 1982-04-19 1983-10-25 Nec Corp 半導体集積回路装置
JPS58182242U (ja) 1982-05-28 1983-12-05 パイオニア株式会社 スライドロツク付プツシユボタン
JPS58215827A (ja) 1982-06-09 1983-12-15 Toshiba Corp 論理回路
JPS5943548A (ja) 1982-09-06 1984-03-10 Hitachi Ltd 半導体集積回路装置
US4613940A (en) 1982-11-09 1986-09-23 International Microelectronic Products Method and structure for use in designing and building electronic systems in integrated circuits
JPS6035532A (ja) 1983-07-29 1985-02-23 Fujitsu Ltd マスタスライス集積回路装置
US4575648A (en) 1983-12-23 1986-03-11 At&T Bell Laboratories Complementary field effect transistor EXCLUSIVE OR logic gates
JPS60257542A (ja) * 1984-06-04 1985-12-19 Hitachi Ltd 半導体集積回路装置
US5121186A (en) 1984-06-15 1992-06-09 Hewlett-Packard Company Integrated circuit device having improved junction connections
KR940002772B1 (ko) * 1984-08-31 1994-04-02 가부시기가이샤 히다찌세이사꾸쇼 반도체 집적회로 장치 및 그 제조방법
US5545904A (en) 1986-01-17 1996-08-13 Quick Technologies Ltd. Personalizable gate array devices
JPH0695570B2 (ja) 1985-02-07 1994-11-24 三菱電機株式会社 半導体集積回路装置
JPS61202451A (ja) 1985-03-05 1986-09-08 Nec Corp 半導体集積回路の配線構体
US4657628A (en) 1985-05-01 1987-04-14 Texas Instruments Incorporated Process for patterning local interconnects
US4804636A (en) 1985-05-01 1989-02-14 Texas Instruments Incorporated Process for making integrated circuits having titanium nitride triple interconnect
US4975756A (en) 1985-05-01 1990-12-04 Texas Instruments Incorporated SRAM with local interconnect
JPH0216605Y2 (ja) 1985-05-02 1990-05-08
US4602270A (en) 1985-05-17 1986-07-22 United Technologies Corporation Gate array with reduced isolation
US4627152A (en) * 1985-05-24 1986-12-09 International Business Machines Corporation Automatic layout for cascode voltage switch logic
JPS6247148A (ja) 1985-08-27 1987-02-28 Toshiba Corp 半導体集積回路装置
JPS62169472A (ja) * 1986-01-22 1987-07-25 Hitachi Ltd 半導体集積回路装置
US5097422A (en) 1986-10-10 1992-03-17 Cascade Design Automation Corporation Method and apparatus for designing integrated circuits
US4804626A (en) * 1986-10-22 1989-02-14 The General Hospital Corporation Immunometric assay for the detection of human chorionic gonadotropin
US4745084A (en) 1986-11-12 1988-05-17 Vlsi Technology, Inc. Method of making a customized semiconductor integrated device
US4884115A (en) 1987-02-27 1989-11-28 Siemens Aktiengesellschaft Basic cell for a gate array arrangement in CMOS Technology
US4801986A (en) 1987-04-03 1989-01-31 General Electric Company Vertical double diffused metal oxide semiconductor VDMOS device with increased safe operating area and method
JP2742052B2 (ja) * 1987-06-12 1998-04-22 日本電信電話株式会社 相補型misマスタスライス論理集積回路
JPH067345B2 (ja) 1987-06-24 1994-01-26 株式会社 エイ・ティ・ア−ル自動翻訳電話研究所 ベクトル量子化を用いた音声認識方式
US5119313A (en) 1987-08-04 1992-06-02 Texas Instruments Incorporated Comprehensive logic circuit layout system
KR100212098B1 (ko) 1987-09-19 1999-08-02 가나이 쓰도무 반도체 집적회로 장치 및 그 제조 방법과 반도체 집적 회로 장치의 배선기판 및 그 제조 방법
US5068603A (en) 1987-10-07 1991-11-26 Xilinx, Inc. Structure and method for producing mask-programmed integrated circuits which are pin compatible substitutes for memory-configured logic arrays
US4812688A (en) 1987-12-30 1989-03-14 International Business Machines Corporation Transistor delay circuits
JPS63296240A (ja) * 1988-04-22 1988-12-02 Nec Corp 半導体集積回路装置
JPH01284115A (ja) 1988-05-11 1989-11-15 Sharp Corp 論理回路
US5268319A (en) 1988-06-08 1993-12-07 Eliyahou Harari Highly compact EPROM and flash EEPROM devices
US5178905A (en) * 1988-11-24 1993-01-12 Canon Kabushiki Kaisha Process for the formation of a functional deposited film by hydrogen radical-assisted cvd method utilizing hydrogen gas plasma in sheet-like state
US4928160A (en) 1989-01-17 1990-05-22 Ncr Corporation Gate isolated base cell structure with off-grid gate polysilicon pattern
JPH02198154A (ja) 1989-01-27 1990-08-06 Hitachi Ltd 配線の形成方法及びこれを利用した半導体装置
US5224057A (en) 1989-02-28 1993-06-29 Kabushiki Kaisha Toshiba Arrangement method for logic cells in semiconductor IC device
US5351197A (en) 1989-04-13 1994-09-27 Cascade Design Automation Corporation Method and apparatus for designing the layout of a subcircuit in an integrated circuit
JPH03165061A (ja) 1989-11-22 1991-07-17 Hitachi Ltd 半導体集積回路装置
US5298774A (en) 1990-01-11 1994-03-29 Mitsubishi Denki Kabushiki Kaisha Gate array system semiconductor integrated circuit device
US5483104A (en) 1990-01-12 1996-01-09 Paradigm Technology, Inc. Self-aligning contact and interconnect structure
KR100199258B1 (ko) 1990-02-09 1999-06-15 가나이 쓰도무 반도체집적회로장치
US5293701A (en) * 1990-03-19 1994-03-15 Sullivan William W Convertible footwear
US5977305A (en) 1990-04-20 1999-11-02 Cold Spring Harbor Laboratories Cloning by complementation and related processes
US6100025A (en) 1990-04-20 2000-08-08 Cold Spring Harbor Laboratory Cloning by complementation and related processes
US5047979A (en) 1990-06-15 1991-09-10 Integrated Device Technology, Inc. High density SRAM circuit with ratio independent memory cells
US5208765A (en) * 1990-07-20 1993-05-04 Advanced Micro Devices, Inc. Computer-based method and system for product development
US5057895A (en) 1990-08-06 1991-10-15 Harris Corporation Trench conductor and crossunder architecture
US5079614A (en) 1990-09-26 1992-01-07 S-Mos Systems, Inc. Gate array architecture with basic cell interleaved gate electrodes
JP3017789B2 (ja) 1990-10-18 2000-03-13 三菱電機株式会社 半導体集積回路装置のレイアウト設計方法
JP2851447B2 (ja) 1991-03-08 1999-01-27 三菱電機株式会社 形状シミュレーション方法
US5182272A (en) * 1991-05-03 1993-01-26 G. D. Searle & Co. 8-substituted-dibenz[b,f][1,4]oxazepine-10(11)-carboxylic acid, substituted hydrazides, pharmaceutical compositions, and methods for treating pain
JPH05152937A (ja) 1991-11-26 1993-06-18 Hitachi Ltd 論理ゲート回路
JP3129336B2 (ja) 1991-12-09 2001-01-29 沖電気工業株式会社 半導体記憶装置
US7071060B1 (en) 1996-02-28 2006-07-04 Sandisk Corporation EEPROM with split gate source side infection with sidewall spacers
US5242770A (en) 1992-01-16 1993-09-07 Microunity Systems Engineering, Inc. Mask for photolithography
JP2760195B2 (ja) 1992-01-20 1998-05-28 日本電気株式会社 論理回路
US5526307A (en) 1992-01-22 1996-06-11 Macronix International Co., Ltd. Flash EPROM integrated circuit architecture
JPH05218362A (ja) 1992-02-04 1993-08-27 Sharp Corp ゲートアレイのベーシックセル
US5367187A (en) 1992-12-22 1994-11-22 Quality Semiconductor, Inc. Master slice gate array integrated circuits with basic cells adaptable for both input/output and logic functions
IT1257184B (it) 1992-12-22 1996-01-10 Applied Research Systems Preparato ad attivita' antinfiammatoria, anticoagulante e antitumorale
US5420447A (en) 1993-01-29 1995-05-30 Sgs-Thomson Microelectronics, Inc. Double buffer base gate array cell
US5359226A (en) 1993-02-02 1994-10-25 Paradigm Technology, Inc. Static memory with self aligned contacts and split word lines
US5497334A (en) * 1993-02-19 1996-03-05 International Business Machines Corporation Application generator for use in verifying a hierarchical circuit design
US5410107A (en) 1993-03-01 1995-04-25 The Board Of Trustees Of The University Of Arkansas Multichip module
US5723908A (en) 1993-03-11 1998-03-03 Kabushiki Kaisha Toshiba Multilayer wiring structure
US5536955A (en) 1993-03-29 1996-07-16 Toppan Electronics (Usa) Inc. Electronic devices for use in generating integrated circuit structures and method therefor
US5338963A (en) * 1993-04-05 1994-08-16 International Business Machines Corporation Soft error immune CMOS static RAM cell
US5691218A (en) 1993-07-01 1997-11-25 Lsi Logic Corporation Method of fabricating a programmable polysilicon gate array base cell structure
US5396128A (en) 1993-09-13 1995-03-07 Motorola, Inc. Output circuit for interfacing integrated circuits having different power supply potentials
JP3285438B2 (ja) * 1993-10-29 2002-05-27 三菱電機株式会社 半導体記憶装置
JP3144967B2 (ja) 1993-11-08 2001-03-12 株式会社日立製作所 半導体集積回路およびその製造方法
JP2746087B2 (ja) * 1993-12-01 1998-04-28 日本電気株式会社 半導体集積回路
US5625568A (en) 1993-12-22 1997-04-29 Vlsi Technology, Inc. Method and apparatus for compacting integrated circuits with standard cell architectures
JP2684980B2 (ja) 1993-12-24 1997-12-03 日本電気株式会社 半導体記憶装置及びその製造方法
US6675361B1 (en) 1993-12-27 2004-01-06 Hyundai Electronics America Method of constructing an integrated circuit comprising an embedded macro
US5756385A (en) 1994-03-30 1998-05-26 Sandisk Corporation Dense flash EEPROM cell array and peripheral supporting circuits formed in deposited field oxide with the use of spacers
US5378649A (en) * 1994-04-08 1995-01-03 United Microelectronics Corporation Process for producing non-volatile memory devices having closely spaced buried bit lines and non-overlapping code implant areas
US5636002A (en) 1994-04-29 1997-06-03 Lucent Technologies Inc. Auxiliary mask features for enhancing the resolution of photolithography
JP3463180B2 (ja) 1994-05-02 2003-11-05 Necトーキン株式会社 磁性ガーネット酸化物粉末の製造方法及び磁性ガーネット酸化物膜の製造方法
US5591995A (en) 1994-05-10 1997-01-07 Texas Instruments, Incorporated Base cell for BiCMOS and CMOS gate arrays
TW297158B (ja) 1994-05-27 1997-02-01 Hitachi Ltd
US5709417A (en) * 1994-07-20 1998-01-20 Verbeck; Ronald J. Interference pipe coupling
JP3202490B2 (ja) 1994-07-22 2001-08-27 株式会社東芝 集積回路のレイアウト方法及び集積回路のレイアウト装置
JP3469362B2 (ja) 1994-08-31 2003-11-25 株式会社東芝 半導体記憶装置
US5528177A (en) 1994-09-16 1996-06-18 Research Foundation Of State University Of New York Complementary field-effect transistor logic circuits for wave pipelining
US5497337A (en) * 1994-10-21 1996-03-05 International Business Machines Corporation Method for designing high-Q inductors in silicon technology without expensive metalization
US5852562A (en) 1994-12-13 1998-12-22 Matsushita Electric Industrial Co., Ltd. Method and apparatus for designing an LSI layout utilizing cells having a predetermined wiring height in order to reduce wiring zones
US5835851A (en) * 1995-01-19 1998-11-10 Ericsson Inc. Method and apparatus for echo reduction in a hands-free cellular radio using added noise frames
JPH08292938A (ja) 1995-02-24 1996-11-05 Fujitsu Ltd 有限要素メッシュ発生方法及び装置、並びに解析方法及び装置
JP2647045B2 (ja) 1995-02-28 1997-08-27 日本電気株式会社 半導体記憶装置及びその製造方法
US5682323A (en) 1995-03-06 1997-10-28 Lsi Logic Corporation System and method for performing optical proximity correction on macrocell libraries
US5581098A (en) 1995-05-05 1996-12-03 Circuit Integration Technology, Inc. Circuit routing structure using fewer variable masks
JP3708168B2 (ja) 1995-06-13 2005-10-19 富士通株式会社 遅延装置
JP3535615B2 (ja) 1995-07-18 2004-06-07 株式会社ルネサステクノロジ 半導体集積回路装置
US5774367A (en) 1995-07-24 1998-06-30 Motorola, Inc. Method of selecting device threshold voltages for high speed and low power
US5764533A (en) 1995-08-01 1998-06-09 Sun Microsystems, Inc. Apparatus and methods for generating cell layouts
US5754826A (en) 1995-08-04 1998-05-19 Synopsys, Inc. CAD and simulation system for targeting IC designs to multiple fabrication processes
US5841663A (en) 1995-09-14 1998-11-24 Vlsi Technology, Inc. Apparatus and method for synthesizing integrated circuits using parameterized HDL modules
US5789776A (en) 1995-09-22 1998-08-04 Nvx Corporation Single poly memory cell and array
JPH0993118A (ja) 1995-09-22 1997-04-04 Kawasaki Steel Corp パストランジスタ論理回路
JPH0997885A (ja) 1995-09-28 1997-04-08 Denso Corp ゲートアレイ
US5973369A (en) 1997-03-11 1999-10-26 Nec Corporation SRAM having P-channel TFT as load element with less series-connected high resistance
US5723883A (en) 1995-11-14 1998-03-03 In-Chip Gate array cell architecture and routing scheme
US5640342A (en) * 1995-11-20 1997-06-17 Micron Technology, Inc. Structure for cross coupled thin film transistors and static random access memory cell
JP3400215B2 (ja) 1995-11-21 2003-04-28 沖電気工業株式会社 半導体装置
JP3486725B2 (ja) 1995-11-28 2004-01-13 株式会社ルネサステクノロジ 可変論理集積回路
JP3934719B2 (ja) 1995-12-22 2007-06-20 株式会社東芝 光近接効果補正方法
US6043562A (en) 1996-01-26 2000-03-28 Micron Technology, Inc. Digit line architecture for dynamic memory
KR100229577B1 (ko) 1996-01-31 1999-11-15 포만 제프리 엘 게이트 어레이 셀 및 이것을 포함한 집적 회로 칩
US5798298A (en) 1996-02-09 1998-08-25 United Microelectronics Corporation Method of automatically generating dummy metals for multilevel interconnection
US6269472B1 (en) 1996-02-27 2001-07-31 Lsi Logic Corporation Optical proximity correction method and apparatus
US5705301A (en) * 1996-02-27 1998-01-06 Lsi Logic Corporation Performing optical proximity correction with the aid of design rule checkers
US5698873A (en) 1996-03-08 1997-12-16 Lsi Logic Corporation High density gate array base cell architecture
JPH09282349A (ja) 1996-04-17 1997-10-31 Shinko Electric Ind Co Ltd データ変換処理装置
JPH09289251A (ja) 1996-04-23 1997-11-04 Matsushita Electric Ind Co Ltd 半導体集積回路のレイアウト構造およびその検証方法
JP2914292B2 (ja) 1996-04-25 1999-06-28 日本電気株式会社 半導体装置
US5740068A (en) 1996-05-30 1998-04-14 International Business Machines Corporation Fidelity enhancement of lithographic and reactive-ion-etched images by optical proximity correction
JP2809200B2 (ja) 1996-06-03 1998-10-08 日本電気株式会社 半導体装置の製造方法
US5935763A (en) 1996-06-11 1999-08-10 International Business Machines Corporation Self-aligned pattern over a reflective layer
US6026223A (en) 1996-06-28 2000-02-15 Scepanovic; Ranko Advanced modular cell placement system with overlap remover with minimal noise
JP3311244B2 (ja) * 1996-07-15 2002-08-05 株式会社東芝 基本セルライブラリ及びその形成方法
US5796128A (en) 1996-07-25 1998-08-18 Translogic Technology, Inc. Gate array with fully wired multiplexer circuits
JP2918101B2 (ja) 1996-07-25 1999-07-12 日本電気株式会社 半導体集積回路のレイアウト方法
US6338296B1 (en) * 1996-07-26 2002-01-15 Darol Forsythe Release device for slowly releasing sprout inhibitor into packages of potatoes
US5920486A (en) 1996-08-16 1999-07-06 International Business Machines Corporation Parameterized cells for generating dense layouts of VLSI circuits
US5717635A (en) 1996-08-27 1998-02-10 International Business Machines Corporation High density EEPROM for solid state file
JP3152635B2 (ja) 1996-09-09 2001-04-03 三洋電機株式会社 マスタスライス方式の基本セル、半導体集積回路装置、フリップフロップ回路、排他的論理和回路、マルチプレクサ及び加算器
US5858580A (en) * 1997-09-17 1999-01-12 Numerical Technologies, Inc. Phase shifting circuit manufacture method and apparatus
US5790417A (en) 1996-09-25 1998-08-04 Taiwan Semiconductor Manufacturing Company Ltd. Method of automatic dummy layout generation
US5923060A (en) 1996-09-27 1999-07-13 In-Chip Systems, Inc. Reduced area gate array cell design based on shifted placement of alternate rows of cells
US5684733A (en) 1996-09-30 1997-11-04 Holtek Microelectronics, Inc. Fixed resistance high density parallel ROM device
JP3529563B2 (ja) 1996-10-09 2004-05-24 株式会社東芝 半導体集積回路の再レイアウト方法及び半導体集積回路の再レイアウトプログラムを記録した媒体
US6209123B1 (en) * 1996-11-01 2001-03-27 Motorola, Inc. Methods of placing transistors in a circuit layout and semiconductor device with automatically placed transistors
US5984510A (en) 1996-11-01 1999-11-16 Motorola Inc. Automatic synthesis of standard cell layouts
US6099584A (en) 1996-12-06 2000-08-08 Vsli Technology, Inc. System to fix post-layout timing and design rules violations
JP3523762B2 (ja) 1996-12-19 2004-04-26 株式会社東芝 半導体記憶装置
JP3352895B2 (ja) 1996-12-25 2002-12-03 株式会社東芝 半導体集積回路、半導体集積回路の設計方法および製造方法
JP3420694B2 (ja) 1996-12-27 2003-06-30 株式会社東芝 スタンダードセル方式の集積回路
JPH10189746A (ja) 1996-12-27 1998-07-21 Oki Electric Ind Co Ltd Lsi論理回路の配線レイアウト方法
JP3036588B2 (ja) 1997-02-03 2000-04-24 日本電気株式会社 半導体記憶装置
JP3180700B2 (ja) * 1997-02-03 2001-06-25 日本電気株式会社 半導体集積回路装置
JP3352349B2 (ja) 1997-02-24 2002-12-03 シャープ株式会社 双方向サイリスタ素子
US5900340A (en) * 1997-03-03 1999-05-04 Motorola, Inc. One dimensional lithographic proximity correction using DRC shape functions
US5977574A (en) 1997-03-28 1999-11-02 Lsi Logic Corporation High density gate array cell architecture with sharing of well taps between cells
US6393601B1 (en) * 1997-04-14 2002-05-21 Matsushita Electric Industrial Co., Ltd. Layout designing apparatus for integrated circuit, transistor size determining apparatus, circuit characteristic evaluating method, and transistor size determining method
US5880991A (en) * 1997-04-14 1999-03-09 International Business Machines Corporation Structure for low cost mixed memory integration, new NVRAM structure, and process for forming the mixed memory and NVRAM structure
JP3178799B2 (ja) 1997-04-18 2001-06-25 シャープ株式会社 Mos論理回路及びこのmos論理回路を備えた半導体装置
KR100227621B1 (ko) 1997-05-22 1999-11-01 김영환 반도체 소자의 트랜지스터 제조방법
US6005296A (en) 1997-05-30 1999-12-21 Stmicroelectronics, Inc. Layout for SRAM structure
US6445049B1 (en) 1997-06-30 2002-09-03 Artisan Components, Inc. Cell based array comprising logic, transfer and drive cells
US6282696B1 (en) 1997-08-15 2001-08-28 Lsi Logic Corporation Performing optical proximity correction with the aid of design rule checkers
US6470489B1 (en) 1997-09-17 2002-10-22 Numerical Technologies, Inc. Design rule checking system and method
US6370679B1 (en) * 1997-09-17 2002-04-09 Numerical Technologies, Inc. Data hierarchy layout correction and verification method and apparatus
US6009251A (en) 1997-09-30 1999-12-28 Synopsys, Inc. Method and system for layout verification of an integrated circuit design with reusable subdesigns
US6114071A (en) 1997-11-24 2000-09-05 Asml Masktools Netherlands B.V. Method of fine feature edge tuning with optically-halftoned mask
JP3701781B2 (ja) 1997-11-28 2005-10-05 株式会社ルネサステクノロジ 論理回路とその作成方法
DE69727581D1 (de) 1997-11-28 2004-03-18 St Microelectronics Srl RAM-Speicherzelle mit niedriger Leistungsaufnahme
US6034433A (en) 1997-12-23 2000-03-07 Intel Corporation Interconnect structure for protecting a transistor gate from charge damage
JP3926011B2 (ja) 1997-12-24 2007-06-06 株式会社ルネサステクノロジ 半導体装置の設計方法
JP3777768B2 (ja) 1997-12-26 2006-05-24 株式会社日立製作所 半導体集積回路装置およびセルライブラリを記憶した記憶媒体および半導体集積回路の設計方法
KR100278273B1 (ko) 1997-12-30 2001-02-01 김영환 반도체장치의콘택홀형성방법
US6249902B1 (en) 1998-01-09 2001-06-19 Silicon Perspective Corporation Design hierarchy-based placement
US6571140B1 (en) * 1998-01-15 2003-05-27 Eutech Cybernetics Pte Ltd. Service-oriented community agent
JPH11214662A (ja) 1998-01-29 1999-08-06 Mitsubishi Electric Corp 半導体装置
US6091845A (en) 1998-02-24 2000-07-18 Micron Technology, Inc. Inspection technique of photomask
US6230299B1 (en) * 1998-03-31 2001-05-08 Mentor Graphics Corporation Method and apparatus for extracting and storing connectivity and geometrical data for a deep sub-micron integrated circuit design
US6378110B1 (en) * 1998-03-31 2002-04-23 Synopsys, Inc. Layer-based rule checking for an integrated circuit layout
JPH11297856A (ja) 1998-04-16 1999-10-29 Mitsubishi Electric Corp スタティック半導体記憶装置
US5915199A (en) * 1998-06-04 1999-06-22 Sharp Microelectronics Technology, Inc. Method for manufacturing a CMOS self-aligned strapped interconnection
US6262487B1 (en) 1998-06-23 2001-07-17 Kabushiki Kaisha Toshiba Semiconductor integrated circuit device, semiconductor integrated circuit wiring method, and cell arranging method
US6063132A (en) * 1998-06-26 2000-05-16 International Business Machines Corporation Method for verifying design rule checking software
US6480989B2 (en) 1998-06-29 2002-11-12 Lsi Logic Corporation Integrated circuit design incorporating a power mesh
JP2000022160A (ja) * 1998-07-06 2000-01-21 Hitachi Ltd 半導体集積回路及びその製造方法
US6714903B1 (en) * 1998-07-10 2004-03-30 Lsi Logic Corporation Placement and routing of circuits using a combined processing/buffer cell
US6240542B1 (en) * 1998-07-14 2001-05-29 Lsi Logic Corporation Poly routing for chip interconnects with minimal impact on chip performance
US6182272B1 (en) * 1998-07-16 2001-01-30 Lsi Logic Corporation Metal layer assignment
US6353112B1 (en) * 1998-07-17 2002-03-05 The University Of Tennessee Research Corporation Sultams: Solid phase and other synthesis of anti-HIV compounds and compositions
JP3562975B2 (ja) 1998-09-29 2004-09-08 株式会社東芝 集積回路設計方法及び集積回路設計装置
US20020008257A1 (en) 1998-09-30 2002-01-24 John P. Barnak Mosfet gate electrodes having performance tuned work functions and methods of making same
JP2000114262A (ja) * 1998-10-05 2000-04-21 Toshiba Corp 半導体装置及びその製造方法
JP3852729B2 (ja) * 1998-10-27 2006-12-06 富士通株式会社 半導体記憶装置
US6275973B1 (en) 1998-10-30 2001-08-14 Lsi Logic Corporation Integrated circuit design with delayed cell selection
US6174742B1 (en) * 1998-10-30 2001-01-16 Lsi Logic Corporation Off-grid metal layer utilization
US6166415A (en) 1998-11-02 2000-12-26 Mitsubishi Denki Kabushiki Kaisha Semiconductor device with improved noise resistivity
JP3680594B2 (ja) 1998-11-10 2005-08-10 株式会社日立製作所 半導体集積回路
TW476069B (en) 1998-11-20 2002-02-11 Via Tech Inc Placement and routing for array device
AU1913500A (en) 1998-11-25 2000-06-13 Nanopower, Inc. Improved flip-flops and other logic circuits and techniques for improving layouts of integrated circuits
JP4437565B2 (ja) 1998-11-26 2010-03-24 富士通マイクロエレクトロニクス株式会社 半導体集積回路装置、半導体集積回路装置の設計方法、及び、記録媒体
US6477695B1 (en) 1998-12-09 2002-11-05 Artisan Components, Inc. Methods for designing standard cell transistor structures
US6588005B1 (en) 1998-12-11 2003-07-01 Hitachi, Ltd. Method of manufacturing semiconductor integrated circuit device
KR100291384B1 (ko) 1998-12-31 2001-07-12 윤종용 반도체장치의레이아웃방법
US6040991A (en) * 1999-01-04 2000-03-21 International Business Machines Corporation SRAM memory cell having reduced surface area
US6159839A (en) 1999-02-11 2000-12-12 Vanguard International Semiconductor Corporation Method for fabricating borderless and self-aligned polysilicon and metal contact landing plugs for multilevel interconnections
US6691297B1 (en) 1999-03-04 2004-02-10 Matsushita Electric Industrial Co., Ltd. Method for planning layout for LSI pattern, method for forming LSI pattern and method for generating mask data for LSI
US6974978B1 (en) 1999-03-04 2005-12-13 Intel Corporation Gate array architecture
US6480032B1 (en) 1999-03-04 2002-11-12 Intel Corporation Gate array architecture
US6331733B1 (en) 1999-08-10 2001-12-18 Easic Corporation Semiconductor device
US6194912B1 (en) * 1999-03-11 2001-02-27 Easic Corporation Integrated circuit device
US6044007A (en) * 1999-03-24 2000-03-28 Advanced Micro Devices, Inc. Modification of mask layout data to improve writeability of OPC
JP3986036B2 (ja) 1999-04-16 2007-10-03 株式会社日立製作所 半導体集積回路装置
US6505328B1 (en) * 1999-04-27 2003-01-07 Magma Design Automation, Inc. Method for storing multiple levels of design data in a common database
US6507941B1 (en) 1999-04-28 2003-01-14 Magma Design Automation, Inc. Subgrid detailed routing
JP4565700B2 (ja) 1999-05-12 2010-10-20 ルネサスエレクトロニクス株式会社 半導体装置
US6714742B1 (en) * 1999-05-20 2004-03-30 University Of Southern California Polarization-division multiplexing based on power encoding of different polarization channels
US6492066B1 (en) 1999-05-28 2002-12-10 Advanced Micro Devices, Inc. Characterization and synthesis of OPC structures by fourier space analysis and/or wavelet transform expansion
US6207479B1 (en) 1999-06-14 2001-03-27 Taiwan Semiconductor Manufacturing Co., Ltd. Place and route method for integrated circuit design
US6425112B1 (en) 1999-06-17 2002-07-23 International Business Machines Corporation Auto correction of error checked simulated printed images
US6381730B1 (en) 1999-07-09 2002-04-30 Sequence Design, Inc. Method and system for extraction of parasitic interconnect impedance including inductance
US6525350B1 (en) * 1999-07-16 2003-02-25 Kawasaki Steel Corporation Semiconductor integrated circuit basic cell semiconductor integrated circuit using the same
JP2001056463A (ja) 1999-08-20 2001-02-27 Casio Comput Co Ltd 液晶表示装置
JP2001068558A (ja) 1999-08-30 2001-03-16 Hitachi Ltd 半導体集積回路装置
US6436805B1 (en) 1999-09-01 2002-08-20 Micron Technology, Inc. Local interconnect structures and methods for making the same
JP2001077199A (ja) * 1999-09-06 2001-03-23 Mitsubishi Electric Corp 半導体集積回路装置
US6496965B1 (en) 1999-09-20 2002-12-17 Magma Design Automation, Inc. Automated design of parallel drive standard cells
TW423218B (en) * 1999-10-06 2001-02-21 Ind Tech Res Inst Charge-redistribution low-swing differential logic circuit
US6194104B1 (en) * 1999-10-12 2001-02-27 Taiwan Semiconductor Manufacturing Company Optical proximity correction (OPC) method for improving lithography process window
US6737347B1 (en) * 1999-10-20 2004-05-18 Texas Instruments Incorporated Semiconductor device with fully self-aligned local interconnects, and method for fabricating the device
US6426269B1 (en) 1999-10-21 2002-07-30 International Business Machines Corporation Dummy feature reduction using optical proximity effect correction
US6255845B1 (en) 1999-11-16 2001-07-03 Advanced Micro Devices, Inc. Efficient use of spare gates for post-silicon debug and enhancements
EP1234336A1 (en) 1999-11-17 2002-08-28 Aeroflex UTMC Microelectronic Systems Inc. Radiation resistant integrated circuit design
JP2001144603A (ja) 1999-11-18 2001-05-25 Oki Micro Design Co Ltd レベルシフタ回路およびそれを含むデータ出力回路
AU1770301A (en) 1999-11-18 2001-05-30 Pdf Solutions, Inc. System and method for product yield prediction using device and process neighborhood characterization vehicle
JP2001168707A (ja) 1999-12-03 2001-06-22 Sony Corp 論理回路およびそれを用いた全加算器
US6421820B1 (en) 1999-12-13 2002-07-16 Infineon Technologies Ag Semiconductor device fabrication using a photomask with assist features
US6303252B1 (en) 1999-12-27 2001-10-16 United Microelectronics Corp. Reticle having assist feature between semi-dense lines
US6295224B1 (en) * 1999-12-30 2001-09-25 Stmicroelectronics, Inc. Circuit and method of fabricating a memory cell for a static random access memory
KR100346832B1 (ko) 2000-01-12 2002-08-03 삼성전자 주식회사 스태틱 랜덤 억세스 메모리 소자 및 그 제조 방법
US6737199B1 (en) * 2000-01-31 2004-05-18 Taiwan Semiconductor Manufacturing Company Using new pattern fracturing rules for optical proximity correction mask-making to improve critical dimension uniformity
US6408427B1 (en) 2000-02-22 2002-06-18 The Regents Of The University Of California Wire width planning and performance optimization for VLSI interconnects
US6331790B1 (en) 2000-03-10 2001-12-18 Easic Corporation Customizable and programmable cell array
US6756811B2 (en) 2000-03-10 2004-06-29 Easic Corporation Customizable and programmable cell array
US6399972B1 (en) 2000-03-13 2002-06-04 Oki Electric Industry Co., Ltd. Cell based integrated circuit and unit cell architecture therefor
US6536028B1 (en) * 2000-03-14 2003-03-18 Ammocore Technologies, Inc. Standard block architecture for integrated circuit design
JP2001272228A (ja) 2000-03-24 2001-10-05 Railway Technical Res Inst 相対変位量計測システム及び相対変位量計測方法
US6356112B1 (en) * 2000-03-28 2002-03-12 Translogic Technology, Inc. Exclusive or/nor circuit
US6553544B2 (en) * 2000-04-04 2003-04-22 Matsushita Electric Industrial Co., Ltd. Method for design of partial circuit
US6624459B1 (en) * 2000-04-12 2003-09-23 International Business Machines Corp. Silicon on insulator field effect transistors having shared body contact
US6416907B1 (en) 2000-04-27 2002-07-09 Micron Technology, Inc. Method for designing photolithographic reticle layout, reticle, and photolithographic process
JP2001306641A (ja) 2000-04-27 2001-11-02 Victor Co Of Japan Ltd 半導体集積回路の自動配置配線方法
TW512424B (en) 2000-05-01 2002-12-01 Asml Masktools Bv Hybrid phase-shift mask
US6583041B1 (en) 2000-05-01 2003-06-24 Advanced Micro Devices, Inc. Microdevice fabrication method using regular arrays of lines and spaces
JP4885365B2 (ja) 2000-05-16 2012-02-29 ルネサスエレクトロニクス株式会社 半導体装置
US6509952B1 (en) * 2000-05-23 2003-01-21 Silicon Valley Group, Inc. Method and system for selective linewidth optimization during a lithographic process
JP2001332626A (ja) * 2000-05-24 2001-11-30 Fujitsu Ltd 半導体集積回路の設計方法
US6610607B1 (en) 2000-05-25 2003-08-26 International Business Machines Corporation Method to define and tailor process limited lithographic features using a modified hard mask process
US6617621B1 (en) 2000-06-06 2003-09-09 Virage Logic Corporation Gate array architecture using elevated metal levels for customization
US6445065B1 (en) 2000-06-06 2002-09-03 In-Chip Systems, Inc. Routing driven, metal programmable integrated circuit architecture with multiple types of core cells
US6425113B1 (en) 2000-06-13 2002-07-23 Leigh C. Anderson Integrated verification and manufacturability tool
US6889370B1 (en) 2000-06-20 2005-05-03 Unisys Corporation Method and apparatus for selecting and aligning cells using a placement tool
JP2002026296A (ja) * 2000-06-22 2002-01-25 Internatl Business Mach Corp <Ibm> 半導体集積回路装置
JP2002009160A (ja) * 2000-06-26 2002-01-11 Nec Microsystems Ltd 半導体集積回路の自動レイアウト方法、この方法で製造した半導体集積回路及びこの方法を記録した記録媒体
US7225423B2 (en) 2000-06-30 2007-05-29 Zenasis Technologies, Inc. Method for automated design of integrated circuits with targeted quality objectives using dynamically generated building blocks
US6787271B2 (en) 2000-07-05 2004-09-07 Numerical Technologies, Inc. Design and layout of phase shifting photolithographic masks
US6978436B2 (en) 2000-07-05 2005-12-20 Synopsys, Inc. Design data format and hierarchy management for phase processing
US6733929B2 (en) 2000-07-05 2004-05-11 Numerical Technologies, Inc. Phase shift masking for complex patterns with proximity adjustments
US7028285B2 (en) * 2000-07-05 2006-04-11 Synopsys, Inc. Standard cell design incorporating phase information
JP4794030B2 (ja) 2000-07-10 2011-10-12 ルネサスエレクトロニクス株式会社 半導体装置
US6516459B1 (en) * 2000-07-10 2003-02-04 Mentor Graphics Corporation Integrated circuit design correction using fragment correspondence
US6632741B1 (en) 2000-07-19 2003-10-14 International Business Machines Corporation Self-trimming method on looped patterns
US6574786B1 (en) * 2000-07-21 2003-06-03 Aeroflex UTMC Microelectronics Systems, Inc. Gate array cell generator using cadence relative object design
US20050136340A1 (en) 2000-07-21 2005-06-23 Asml Netherlands B.V. Lithographic apparatus and methods, patterning structure and method for making a patterning structure, device manufacturing method, and device manufactured thereby
US6523162B1 (en) * 2000-08-02 2003-02-18 Numerical Technologies, Inc. General purpose shape-based layout processing scheme for IC layout modifications
JP4357101B2 (ja) * 2000-08-23 2009-11-04 株式会社ルネサステクノロジ 半導体記憶装置
JP4764987B2 (ja) 2000-09-05 2011-09-07 富士電機株式会社 超接合半導体素子
WO2002025373A2 (en) 2000-09-13 2002-03-28 Massachusetts Institute Of Technology Method of design and fabrication of integrated circuits using regular arrays and gratings
US6800883B2 (en) 2000-09-21 2004-10-05 Matsushita Electric Industrial Co., Ltd. CMOS basic cell and method for fabricating semiconductor integrated circuit using the same
US6557162B1 (en) 2000-09-29 2003-04-29 Numerical Technologies, Inc. Method for high yield reticle formation
US6625801B1 (en) 2000-09-29 2003-09-23 Numerical Technologies, Inc. Dissection of printed edges from a fabrication layout for correcting proximity effects
US6453457B1 (en) 2000-09-29 2002-09-17 Numerical Technologies, Inc. Selection of evaluation point locations based on proximity effects model amplitudes for correcting proximity effects in a fabrication layout
US6794677B2 (en) 2000-10-02 2004-09-21 Matsushita Electric Industrial Co., Ltd. Semiconductor integrated circuit device and method for fabricating the same
US6555450B2 (en) 2000-10-04 2003-04-29 Samsung Electronics Co., Ltd. Contact forming method for semiconductor device
US6566720B2 (en) 2000-10-05 2003-05-20 United Memories, Inc. Base cell layout permitting rapid layout with minimum clock line capacitance on CMOS standard-cell and gate-array integrated circuits
US6978437B1 (en) 2000-10-10 2005-12-20 Toppan Photomasks, Inc. Photomask for eliminating antenna effects in an integrated circuit and integrated circuit manufacture with same
KR20020034313A (ko) 2000-10-31 2002-05-09 박종섭 에스램셀의 제조 방법
US6498088B1 (en) 2000-11-09 2002-12-24 Micron Technology, Inc. Stacked local interconnect structure and method of fabricating same
US6703170B1 (en) 2000-12-13 2004-03-09 Dupont Photomasks, Inc. Method and apparatus for reducing loading effects on a semiconductor manufacturing component during an etch process
JP2002184870A (ja) 2000-12-18 2002-06-28 Mitsubishi Electric Corp スタティック型半導体記憶装置
KR100355036B1 (ko) * 2000-12-22 2002-10-05 삼성전자 주식회사 크로스 커플드 트랜지스터 쌍의 레이아웃 방법
US6992394B2 (en) * 2000-12-28 2006-01-31 Infineon Technologies Ag Multi-level conductive lines with reduced pitch
US6553559B2 (en) * 2001-01-05 2003-04-22 International Business Machines Corporation Method to determine optical proximity correction and assist feature rules which account for variations in mask dimensions
US6578190B2 (en) 2001-01-11 2003-06-10 International Business Machines Corporation Process window based optical proximity correction of lithographic images
JP2002289703A (ja) 2001-01-22 2002-10-04 Nec Corp 半導体記憶装置およびその製造方法
JP2002252161A (ja) * 2001-02-23 2002-09-06 Hitachi Ltd 半導体製造システム
US6792591B2 (en) 2001-02-28 2004-09-14 Asml Masktools B.V. Method of identifying an extreme interaction pitch region, methods of designing mask patterns and manufacturing masks, device manufacturing methods and computer programs
JP4928675B2 (ja) 2001-03-01 2012-05-09 エルピーダメモリ株式会社 半導体装置
JP4736206B2 (ja) 2001-03-05 2011-07-27 大日本印刷株式会社 フォトマスクパタン欠陥検査方法および微細図形パタンの検出方法
TW571571B (en) 2001-03-14 2004-01-11 Asml Masktools Bv An optical proximity correction method utilizing ruled ladder bars as sub-resolution assist features
US6732334B2 (en) 2001-04-02 2004-05-04 Matsushita Electric Industrial Co., Ltd. Analog MOS semiconductor device, manufacturing method therefor, manufacturing program therefor, and program device therefor
US6514849B1 (en) * 2001-04-02 2003-02-04 Advanced Micro Devices, Inc. Method of forming smaller contact size using a spacer hard mask
US6534805B1 (en) 2001-04-09 2003-03-18 Cypress Semiconductor Corp. SRAM cell design
US6574779B2 (en) 2001-04-12 2003-06-03 International Business Machines Corporation Hierarchical layout method for integrated circuits
US6505327B2 (en) * 2001-04-13 2003-01-07 Numerical Technologies, Inc. Generating an instance-based representation of a design hierarchy
US6524870B2 (en) 2001-04-24 2003-02-25 Pell, Iii Edwin A. Method and apparatus for improving resolution of objects in a semiconductor wafer
JP4187947B2 (ja) 2001-04-26 2008-11-26 株式会社東芝 パターン補正方法、パターン補正装置、およびパターン補正プログラムを記録した記録媒体
US6936908B2 (en) 2001-05-03 2005-08-30 Ixys Corporation Forward and reverse blocking devices
US6553562B2 (en) 2001-05-04 2003-04-22 Asml Masktools B.V. Method and apparatus for generating masks utilized in conjunction with dipole illumination techniques
US6533559B2 (en) * 2001-05-11 2003-03-18 Hsieh Hsin-Mao Heat dissipating fan with multiple layers of blades
US6590289B2 (en) 2001-05-17 2003-07-08 Lsi Logic Corporation Hexadecagonal routing
US6523156B2 (en) * 2001-06-08 2003-02-18 Library Technologies, Inc. Apparatus and methods for wire load independent logic synthesis and timing closure with constant replacement delay cell libraries
JP2002368135A (ja) 2001-06-12 2002-12-20 Hitachi Ltd 半導体記憶装置
US6759282B2 (en) 2001-06-12 2004-07-06 International Business Machines Corporation Method and structure for buried circuits and devices
CA2354577C (en) * 2001-06-19 2007-10-09 U-Haul International, Inc. Trailer
JP4746770B2 (ja) 2001-06-19 2011-08-10 ルネサスエレクトロニクス株式会社 半導体装置
US6609235B2 (en) 2001-06-22 2003-08-19 Bae Systems Information And Electronic Systems Integration, Inc. Method for providing a fill pattern for an integrated circuit design
US7079989B2 (en) 2001-06-29 2006-07-18 Shmuel Wimer Arrangements for automatic re-legging of transistors
US6835591B2 (en) * 2001-07-25 2004-12-28 Nantero, Inc. Methods of nanotube films and articles
DE10137830A1 (de) 2001-08-02 2003-02-27 Infineon Technologies Ag Verfahren zum Herstellen einer selbstjustierten Struktur auf einem Halbleiter-Wafer
DE10143723B4 (de) 2001-08-31 2006-09-28 Infineon Technologies Ag Verfahren zur Optimierung eines Layouts für eine Maske zur Verwendung bei der Halbleiterherstellung
US6684382B2 (en) 2001-08-31 2004-01-27 Numerical Technologies, Inc. Microloading effect correction
US6633182B2 (en) * 2001-09-05 2003-10-14 Carnegie Mellon University Programmable gate array based on configurable metal interconnect vias
JP4786836B2 (ja) 2001-09-07 2011-10-05 富士通セミコンダクター株式会社 配線接続部設計方法及び半導体装置
JP2003092250A (ja) 2001-09-18 2003-03-28 Hitachi Ltd 半導体装置及びその製造方法
JP3989213B2 (ja) 2001-09-25 2007-10-10 シャープ株式会社 パストランジスタ論理回路
JP3637299B2 (ja) 2001-10-05 2005-04-13 松下電器産業株式会社 半導体記憶装置
JP3706364B2 (ja) * 2001-10-09 2005-10-12 アスムル マスクツールズ ビー.ブイ. 2次元フィーチャ・モデルの較正および最適化方法
JP2003124339A (ja) 2001-10-11 2003-04-25 Toshiba Corp 半導体装置およびその製造方法
JP3526450B2 (ja) 2001-10-29 2004-05-17 株式会社東芝 半導体集積回路およびスタンダードセル配置設計方法
JP2003142584A (ja) 2001-11-05 2003-05-16 Matsushita Electric Ind Co Ltd 半導体集積回路装置の設計方法
US6833593B2 (en) 2001-11-09 2004-12-21 Thin Film Electronics Asa Electrode means, a method for its manufacture, an apparatus comprising the electrode means as well as use of the latter
US6673638B1 (en) * 2001-11-14 2004-01-06 Kla-Tencor Corporation Method and apparatus for the production of process sensitive lithographic features
JP2003218238A (ja) * 2001-11-14 2003-07-31 Mitsubishi Electric Corp 半導体記憶装置
JP3789351B2 (ja) 2001-11-30 2006-06-21 株式会社日立製作所 反射型液晶表示装置及びその製造方法
JP2003168640A (ja) 2001-12-03 2003-06-13 Hitachi Ltd 半導体装置の製造方法
JP2003188361A (ja) 2001-12-20 2003-07-04 Mitsubishi Electric Corp ゲートアレイ構造の半導体集積回路
JP3828419B2 (ja) 2001-12-25 2006-10-04 株式会社東芝 半導体装置及びその製造方法
US6787469B2 (en) 2001-12-28 2004-09-07 Texas Instruments Incorporated Double pattern and etch of poly with hard mask
US7159197B2 (en) * 2001-12-31 2007-01-02 Synopsys, Inc. Shape-based geometry engine to perform smoothing and other layout beautification operations
US6817000B2 (en) 2002-01-02 2004-11-09 International Business Machines Corporation Delay correlation analysis and representation for vital complaint VHDL models
US7085701B2 (en) 2002-01-02 2006-08-01 International Business Machines Corporation Size reduction techniques for vital compliant VHDL simulation models
JP2003203993A (ja) 2002-01-10 2003-07-18 Mitsubishi Electric Corp 半導体記憶装置及びその製造方法
US6749972B2 (en) 2002-01-15 2004-06-15 Taiwan Semiconductor Manufacturing Co., Ltd. Optical proximity correction common process window maximization over varying feature pitch
US6721926B2 (en) 2002-01-25 2004-04-13 Intel Corporation Method and apparatus for improving digital circuit design
US6662350B2 (en) 2002-01-28 2003-12-09 International Business Machines Corporation FinFET layout generation
US6820248B1 (en) 2002-02-14 2004-11-16 Xilinx, Inc. Method and apparatus for routing interconnects to devices with dissimilar pitches
US6904582B1 (en) 2002-02-28 2005-06-07 Dupont Photomasks, Inc. Photomask for reducing power supply voltage fluctuations in an integrated circuit and integrated circuit manufactured with the same
JP2003264231A (ja) 2002-03-11 2003-09-19 Mitsubishi Electric Corp レイアウト設計方法および半導体装置
TWI252516B (en) 2002-03-12 2006-04-01 Toshiba Corp Determination method of process parameter and method for determining at least one of process parameter and design rule
US7386433B2 (en) 2002-03-15 2008-06-10 Synopsys, Inc. Using a suggested solution to speed up a process for simulating and correcting an integrated circuit layout
US6732338B2 (en) * 2002-03-20 2004-05-04 International Business Machines Corporation Method for comprehensively verifying design rule checking runsets
US6765245B2 (en) 2002-03-25 2004-07-20 Bae Systems Information And Electronic Systems Integration Inc. Gate array core cell for VLSI ASIC devices
US6754121B2 (en) * 2002-03-29 2004-06-22 Stmicroelectronics, Inc. Sense amplifying circuit and method
US6745372B2 (en) 2002-04-05 2004-06-01 Numerical Technologies, Inc. Method and apparatus for facilitating process-compliant layout optimization
US6789246B1 (en) 2002-04-07 2004-09-07 Barcelona Design, Inc. Method and apparatus for automatic layout of circuit structures
US7252909B2 (en) 2002-04-18 2007-08-07 Taiwan Semiconductor Manufacturing Co., Ltd. Method to reduce CD non-uniformity in IC manufacturing
JP4190796B2 (ja) 2002-04-24 2008-12-03 Necエレクトロニクス株式会社 露光原版の作成方法
US6992925B2 (en) * 2002-04-26 2006-01-31 Kilopass Technologies, Inc. High density semiconductor memory cell and memory array using a single transistor and having counter-doped poly and buried diffusion wordline
US6826738B2 (en) 2002-05-10 2004-11-30 Pdf Solutions, Inc. Optimization of die placement on wafers
US6794914B2 (en) * 2002-05-24 2004-09-21 Qualcomm Incorporated Non-volatile multi-threshold CMOS latch with leakage control
JP2004013920A (ja) 2002-06-03 2004-01-15 Mitsubishi Electric Corp 半導体記憶装置
US6980211B2 (en) 2002-06-04 2005-12-27 Springsoft, Inc. Automatic schematic diagram generation using topology information
US7152215B2 (en) 2002-06-07 2006-12-19 Praesagus, Inc. Dummy fill for integrated circuits
US20030229875A1 (en) * 2002-06-07 2003-12-11 Smith Taber H. Use of models in integrated circuit fabrication
US7774726B2 (en) 2002-06-07 2010-08-10 Cadence Design Systems, Inc. Dummy fill for integrated circuits
US7712056B2 (en) 2002-06-07 2010-05-04 Cadence Design Systems, Inc. Characterization and verification for integrated circuit designs
US7124386B2 (en) 2002-06-07 2006-10-17 Praesagus, Inc. Dummy fill for integrated circuits
EP1532670A4 (en) 2002-06-07 2007-09-12 Praesagus Inc CHARACTERIZATION AND REDUCTION OF VARIATION FOR INTEGRATED CIRCUITS
US7363099B2 (en) 2002-06-07 2008-04-22 Cadence Design Systems, Inc. Integrated circuit metrology
US6795953B2 (en) 2002-06-11 2004-09-21 Hpl Technologies, Inc. Method for avoiding false failures attributable to dummy interconnects during defect analysis of an integrated circuit design
JP3879063B2 (ja) 2002-06-11 2007-02-07 富士通株式会社 半導体装置およびその製造方法
US7039882B2 (en) * 2002-06-17 2006-05-02 Amar Pal Singh Rana Technology dependent transformations for Silicon-On-Insulator in digital design synthesis
JP2004022070A (ja) 2002-06-17 2004-01-22 Renesas Technology Corp 半導体記憶装置
JP4036688B2 (ja) 2002-06-18 2008-01-23 松下電器産業株式会社 自動配置配線用スタンダードセルライブラリ及び半導体集積装置
EP1376676A3 (en) 2002-06-24 2008-08-20 Interuniversitair Microelektronica Centrum Vzw Multibit non-volatile memory device and method
JP4462528B2 (ja) 2002-06-24 2010-05-12 株式会社日立製作所 半導体集積回路装置
US6687895B2 (en) * 2002-07-03 2004-02-03 Numerical Technologies Inc. Method and apparatus for reducing optical proximity correction output file size
US6998722B2 (en) 2002-07-08 2006-02-14 Viciciv Technology Semiconductor latches and SRAM devices
JP2004040042A (ja) 2002-07-08 2004-02-05 Fujitsu Ltd 半導体記憶装置
US7063923B2 (en) 2002-07-11 2006-06-20 United Electronics Corp. Optical proximity correction method
US20040009409A1 (en) 2002-07-11 2004-01-15 Jiunn-Ren Hwang Optical proximity correction method
JP2006502422A (ja) 2002-07-12 2006-01-19 ケイデンス デザイン システムズ インコーポレイテッド コンテクスト特定型のマスク検査のための方法及びシステム
JP4416384B2 (ja) 2002-07-19 2010-02-17 株式会社ルネサステクノロジ 半導体集積回路
KR100445638B1 (ko) 2002-07-26 2004-08-25 삼성전자주식회사 전기적으로 분리된 영역들을 연결하는 상호 연결 구조 및그 제조방법
US7739624B2 (en) 2002-07-29 2010-06-15 Synopsys, Inc. Methods and apparatuses to generate a shielding mesh for integrated circuit devices
US7171645B2 (en) 2002-08-06 2007-01-30 Matsushita Electric Industrial Co., Ltd. Semiconductor device, method of generating pattern for semiconductor device, method of manufacturing semiconductor device and device of generating pattern used for semiconductor device
KR100493025B1 (ko) * 2002-08-07 2005-06-07 삼성전자주식회사 반도체 메모리 장치의 제조 방법
US6789244B1 (en) 2002-08-08 2004-09-07 Xilinx, Inc. Placement of clock objects under constraints
FR2843481B1 (fr) * 2002-08-08 2005-09-16 Soisic Memoire sur substrat du type silicium sur isolant
US7143380B1 (en) 2002-08-08 2006-11-28 Xilinx, Inc. Method for application of network flow techniques under constraints
US6785875B2 (en) * 2002-08-15 2004-08-31 Fulcrum Microsystems, Inc. Methods and apparatus for facilitating physical synthesis of an integrated circuit design
US6854100B1 (en) * 2002-08-27 2005-02-08 Taiwan Semiconductor Manufacturing Company Methodology to characterize metal sheet resistance of copper damascene process
JP3795846B2 (ja) 2002-08-29 2006-07-12 富士通株式会社 半導体装置
US7345511B2 (en) 2002-08-29 2008-03-18 Technion Research & Development Foundation Ltd. Logic circuit and method of logic circuit design
US6734521B2 (en) 2002-08-30 2004-05-11 Texas Instruments Incorporated Integrated circuit cells
DE10241170A1 (de) 2002-09-05 2004-03-18 Infineon Technologies Ag Hochdichter NROM-FINFET
US20040049754A1 (en) * 2002-09-06 2004-03-11 Sun Microsystems, Inc. Method and apparatus for filling and connecting filler material in a layout
TWI274969B (en) 2002-09-11 2007-03-01 Asml Masktools Bv Method and computer program product of generating masks and mask generated thereby, device manufacturing method and device manufactured thereby, and method of printing pattern
US6807663B2 (en) 2002-09-23 2004-10-19 Numerical Technologies, Inc. Accelerated layout processing using OPC pre-processing
US6928635B2 (en) 2002-09-25 2005-08-09 Numerical Technologies, Inc. Selectively applying resolution enhancement techniques to improve performance and manufacturing cost of integrated circuits
US7327597B1 (en) 2002-10-02 2008-02-05 Cisco Technology, Inc. Static random access memory architecture
WO2004034463A1 (ja) 2002-10-10 2004-04-22 Fujitsu Limited レイアウト方法及び装置並びにそのプログラム及び記録媒体
US7214579B2 (en) 2002-10-24 2007-05-08 Nxp Bv. Self-aligned 2-bit “double poly CMP” flash memory cell
US6994939B1 (en) 2002-10-29 2006-02-07 Advanced Micro Devices, Inc. Semiconductor manufacturing resolution enhancement system and method for simultaneously patterning different feature types
JP4826055B2 (ja) * 2002-10-31 2011-11-30 ヤマハ株式会社 半導体集積回路装置製造方法、半導体集積回路装置製造装置、プログラム、半導体集積回路装置および半導体集積回路装置の自動配置指示方法
US7053424B2 (en) 2002-10-31 2006-05-30 Yamaha Corporation Semiconductor integrated circuit device and its manufacture using automatic layout
US7219326B2 (en) 2002-12-16 2007-05-15 Intrinsity, Inc. Physical realization of dynamic logic using parameterized tile partitioning
JP3848248B2 (ja) 2002-12-17 2006-11-22 株式会社東芝 Sramセルおよびそれを用いたメモリ集積回路
US6953956B2 (en) 2002-12-18 2005-10-11 Easic Corporation Semiconductor device having borderless logic array and flexible I/O
US7378710B2 (en) 2002-12-19 2008-05-27 International Business Machines Corporation FinFET SRAM cell using inverted FinFET thin film transistors
US7093228B2 (en) 2002-12-20 2006-08-15 Lsi Logic Corporation Method and system for classifying an integrated circuit for optical proximity correction
JP4202120B2 (ja) 2002-12-27 2008-12-24 セイコーインスツル株式会社 集積回路の最適化設計装置
EP1434264A3 (en) 2002-12-27 2017-01-18 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method using the transfer technique
US6898770B2 (en) 2003-01-09 2005-05-24 Lsi Logic Corporation Split and merge design flow concept for fast turnaround time of circuit layout design
JP4136684B2 (ja) * 2003-01-29 2008-08-20 Necエレクトロニクス株式会社 半導体装置及びそのダミーパターンの配置方法
US6996790B2 (en) 2003-01-30 2006-02-07 Synopsys, Inc. System and method for generating a two-dimensional yield map for a full layout
JP2004241529A (ja) 2003-02-05 2004-08-26 Matsushita Electric Ind Co Ltd 半導体回路装置及びその回路シミュレーション方法
US6884712B2 (en) * 2003-02-07 2005-04-26 Chartered Semiconductor Manufacturing, Ltd. Method of manufacturing semiconductor local interconnect and contact
JP2004253730A (ja) 2003-02-21 2004-09-09 Renesas Technology Corp 半導体集積回路装置およびその製造方法
US6777146B1 (en) 2003-02-21 2004-08-17 International Business Machines Corporation Method of optical proximity correction with sub-resolution assists
US7149999B2 (en) 2003-02-25 2006-12-12 The Regents Of The University Of California Method for correcting a mask design layout
JP4531340B2 (ja) 2003-02-27 2010-08-25 ルネサスエレクトロニクス株式会社 マルチプレクサセルのレイアウト構造
EP1597631B1 (en) 2003-02-27 2009-07-22 The University of Hong Kong Multiple exposure method for circuit performance improvement and maskset
JP4252830B2 (ja) * 2003-03-24 2009-04-08 テルモ株式会社 心臓治療装置
JP4290457B2 (ja) 2003-03-31 2009-07-08 株式会社ルネサステクノロジ 半導体記憶装置
JP3920804B2 (ja) 2003-04-04 2007-05-30 松下電器産業株式会社 半導体記憶装置
US6931617B2 (en) 2003-04-21 2005-08-16 Synopsys, Inc. Mask cost driven logic optimization and synthesis
TW594991B (en) 2003-04-29 2004-06-21 Faraday Tech Corp Integrated circuit with one metal layer for programming functionality of a logic operation module
US7065731B2 (en) 2003-05-07 2006-06-20 Cadence Design Systems, Inc. Removal of acute angles in a design layout
TWI371674B (en) 2003-05-07 2012-09-01 Mosaid Technologies Inc Managing power on integrated circuits using power islands
US7093208B2 (en) 2003-05-12 2006-08-15 International Business Machines Corporation Method for tuning a digital design for synthesized random logic circuit macros in a continuous design space with optional insertion of multiple threshold voltage devices
JP2004342757A (ja) * 2003-05-14 2004-12-02 Toshiba Corp 半導体集積回路及びその設計方法
US7063920B2 (en) 2003-05-16 2006-06-20 Asml Holding, N.V. Method for the generation of variable pitch nested lines and/or contact holes using fixed size pixels for direct-write lithographic systems
JP4233381B2 (ja) 2003-05-21 2009-03-04 株式会社ルネサステクノロジ 半導体装置とその製造方法
US7062740B2 (en) 2003-05-22 2006-06-13 Taiwan Semiconductor Manufacturing Co., Ltd. System and method for reducing design cycle time for designing input/output cells
US7770144B2 (en) 2003-05-28 2010-08-03 Eric Dellinger Modular array defined by standard cell logic
US7107551B1 (en) 2003-05-30 2006-09-12 Prolific, Inc. Optimization of circuit designs using a continuous spectrum of library cells
US7183611B2 (en) 2003-06-03 2007-02-27 Micron Technology, Inc. SRAM constructions, and electronic systems comprising SRAM constructions
US7291878B2 (en) 2003-06-03 2007-11-06 Hitachi Global Storage Technologies Netherlands B.V. Ultra low-cost solid-state memory
JP3884408B2 (ja) 2003-06-03 2007-02-21 幸弘 経澤 水分除去装置
US7400627B2 (en) 2003-06-05 2008-07-15 Brooktree Broadband Holding, Inc. ATM header compression using hash tables
US6992916B2 (en) 2003-06-13 2006-01-31 Taiwan Semiconductor Manufacturing Co., Ltd. SRAM cell design with high resistor CMOS gate structure for soft error rate improvement
JP4245418B2 (ja) 2003-06-25 2009-03-25 富士通マイクロエレクトロニクス株式会社 斜め方向配線を有する半導体集積回路装置及びそのレイアウト方法
US20050009312A1 (en) 2003-06-26 2005-01-13 International Business Machines Corporation Gate length proximity corrected device
US6900999B1 (en) * 2003-06-30 2005-05-31 Integrated Device Technology, Inc. Ternary content addressable memory (TCAM) cells with small footprint size and efficient layout aspect ratio
KR100577610B1 (ko) 2003-07-15 2006-05-10 삼성전자주식회사 반도체 장치, 반도체 장치의 제조 방법 및 에스램 장치,에스램 장치 제조 방법.
US6993741B2 (en) * 2003-07-15 2006-01-31 International Business Machines Corporation Generating mask patterns for alternating phase-shift mask lithography
EP1519421A1 (en) 2003-09-25 2005-03-30 Interuniversitair Microelektronica Centrum Vzw Multiple gate semiconductor device and method for forming same
US6921982B2 (en) 2003-07-21 2005-07-26 International Business Machines Corporation FET channel having a strained lattice structure along multiple surfaces
EP1503411A1 (fr) 2003-07-30 2005-02-02 St Microelectronics S.A. Lignes conductrices enterrées dans des zones d'isolement
US6924560B2 (en) 2003-08-08 2005-08-02 Taiwan Semiconductor Manufacturing Co., Ltd. Compact SRAM cell with FinFET
JP4398195B2 (ja) 2003-08-08 2010-01-13 パナソニック株式会社 半導体記憶装置
JP4620942B2 (ja) 2003-08-21 2011-01-26 川崎マイクロエレクトロニクス株式会社 半導体集積回路のレイアウト方法、そのレイアウト構造、およびフォトマスク
TWI220268B (en) * 2003-09-17 2004-08-11 Faraday Tech Corp Method for programming a routing layout design through one via layer
US7345909B2 (en) 2003-09-24 2008-03-18 Yen-Jen Chang Low-power SRAM memory cell
US6957402B2 (en) 2003-09-24 2005-10-18 Artisan Components, Inc. Yield maximization in the manufacture of integrated circuits
KR100516226B1 (ko) * 2003-09-25 2005-09-23 동부아남반도체 주식회사 에스램 테스트용 셀 및 에스램 셀 테스트 방법
US7521224B2 (en) * 2003-09-30 2009-04-21 The United States Of America As Represented By The Secretary Of The Navy Microelectronic cell electroporation array
JP2005114752A (ja) 2003-10-02 2005-04-28 Yamaha Corp 演奏装置
JP4599048B2 (ja) 2003-10-02 2010-12-15 川崎マイクロエレクトロニクス株式会社 半導体集積回路のレイアウト構造、半導体集積回路のレイアウト方法、およびフォトマスク
JP4632287B2 (ja) 2003-10-06 2011-02-16 株式会社日立製作所 半導体集積回路装置
US7155689B2 (en) 2003-10-07 2006-12-26 Magma Design Automation, Inc. Design-manufacturing interface via a unified model
FR2860920A1 (fr) 2003-10-14 2005-04-15 St Microelectronics Sa Procede de realisation de connexions conductrices de circuits integres, et circuit integre mettant en oeuvre des telles connexions
JP2005123537A (ja) 2003-10-20 2005-05-12 Sony Corp 半導体装置及び製造方法
JP2005123524A (ja) 2003-10-20 2005-05-12 Toshiba Corp 半導体装置及びその製造方法
US6867073B1 (en) * 2003-10-21 2005-03-15 Ziptronix, Inc. Single mask via method and device
JP4346410B2 (ja) 2003-10-28 2009-10-21 東芝メモリシステムズ株式会社 半導体集積回路の配線設計方法及び半導体集積回路
US7329953B2 (en) 2003-10-29 2008-02-12 Taiwan Semiconductor Manufacturing Co., Ltd. Structure for reducing leakage currents and high contact resistance for embedded memory and method for making same
JP2005149265A (ja) 2003-11-18 2005-06-09 Olympus Corp 演算処理システム及び演算処理装置
US7269803B2 (en) 2003-12-18 2007-09-11 Lsi Corporation System and method for mapping logical components to physical locations in an integrated circuit design environment
US7052972B2 (en) 2003-12-19 2006-05-30 Micron Technology, Inc. Method for forming sublithographic features during the manufacture of a semiconductor device and a resulting in-process apparatus
JP4585197B2 (ja) 2003-12-22 2010-11-24 ルネサスエレクトロニクス株式会社 レイアウト設計方法およびフォトマスク
KR100702552B1 (ko) 2003-12-22 2007-04-04 인터내셔널 비지네스 머신즈 코포레이션 이중 게이트 FinFET 디자인을 위한 자동화 레이어생성 방법 및 장치
ATE501907T1 (de) 2003-12-26 2011-04-15 Tan-Cheng Huang Hydraulische scheibenbremse
JP2005197345A (ja) 2004-01-05 2005-07-21 Hitachi Ltd 半導体装置
JP2005203447A (ja) 2004-01-13 2005-07-28 Toshiba Corp 半導体集積回路、半導体集積回路設計システム及び半導体集積回路設計方法
US7064068B2 (en) 2004-01-23 2006-06-20 Taiwan Semiconductor Manufacturing Company, Ltd. Method to improve planarity of electroplated copper
KR100564612B1 (ko) 2004-02-19 2006-03-28 삼성전자주식회사 하드 디스크 드라이브
US7523429B2 (en) * 2004-02-20 2009-04-21 Takumi Technology Corporation System for designing integrated circuits with enhanced manufacturability
TW200532768A (en) 2004-02-24 2005-10-01 Univ Hong Kong Rectangular contact lithography for circuit performance improvement
US7353492B2 (en) * 2004-02-26 2008-04-01 International Business Machines Corporation Method of IC fabrication, IC mask fabrication and program product therefor
US7084476B2 (en) 2004-02-26 2006-08-01 International Business Machines Corp. Integrated circuit logic with self compensating block delays
US7335966B2 (en) * 2004-02-26 2008-02-26 Triad Semiconductor, Inc. Configurable integrated circuit capacitor array using via mask layers
JP2005243928A (ja) 2004-02-26 2005-09-08 Fujitsu Ltd トレンチアイソレーションで分離されたトランジスタ対を有する半導体装置
JP4317777B2 (ja) 2004-03-10 2009-08-19 パナソニック株式会社 半導体集積回路
US7115343B2 (en) 2004-03-10 2006-10-03 International Business Machines Corporation Pliant SRAF for improved performance and manufacturability
US7423298B2 (en) 2004-03-17 2008-09-09 Sharp Kabushiki Kaisha Bidirectional photothyristor chip, optical lighting coupler, and solid state relay
JP2005268610A (ja) 2004-03-19 2005-09-29 Matsushita Electric Ind Co Ltd スタンダードセルの設計方法及び半導体集積回路
DE102004014472B4 (de) 2004-03-24 2012-05-03 Infineon Technologies Ag Anwendungsspezifischer integrierter Halbleiter-Schaltkreis
US7126837B1 (en) 2004-03-26 2006-10-24 Netlogic Microsystems, Inc. Interlocking memory/logic cell layout and method of manufacture
JP2005286053A (ja) * 2004-03-29 2005-10-13 Toshiba Corp 半導体装置
WO2005096381A1 (en) 2004-04-01 2005-10-13 Soisic Improved layout of a sram memory cell
JP2007536564A (ja) 2004-04-02 2007-12-13 クリア・シェイプ・テクノロジーズ・インコーポレーテッド 集積回路の製造における超解像プロセスのモデル化
WO2005098954A1 (en) 2004-04-02 2005-10-20 Triad Semiconductor, Inc. Via configurable architecture for customization of analog circuitry in a semiconductor device
TWI297446B (en) 2004-04-02 2008-06-01 Clear Shape Technologies Inc Delta information design closure in integrated circuit fabrication
US20050229130A1 (en) 2004-04-07 2005-10-13 Aprio Technologies, Inc. Method and apparatus for selective, incremental, reconfigurable and reusable semiconductor manufacturing resolution-enhancements
US7404173B2 (en) 2004-04-07 2008-07-22 Aprio Technologies, Inc. Intermediate layout for resolution enhancement in semiconductor fabrication
US7115920B2 (en) 2004-04-12 2006-10-03 International Business Machines Corporation FinFET transistor and circuit
US7724087B2 (en) * 2004-04-20 2010-05-25 Nxp B.V. High speed differential receiver with rail to rail common mode operation having a symmetrical differential output signal with low skew
JP2007536581A (ja) 2004-05-07 2007-12-13 メンター・グラフィクス・コーポレーション プロセス変動バンドを用いた集積回路レイアウト設計法
US7194712B2 (en) * 2004-05-12 2007-03-20 Synopsys, Inc. Method and apparatus for identifying line-end features for lithography verification
US7053668B2 (en) * 2004-05-25 2006-05-30 Kabushiki Kaisha Toshiba SOI sense amplifier with cross-coupled body terminal
US6975133B1 (en) 2004-05-27 2005-12-13 International Business Machines Corporation Logic circuits having linear and cellular gate transistors
US7426710B2 (en) 2004-05-27 2008-09-16 Verisilicon Holdings, Co. Ltd. Standard cell library having cell drive strengths selected according to delay
US7257017B2 (en) * 2004-05-28 2007-08-14 Taiwan Semiconductor Manufacturing Company, Ltd. SRAM cell for soft-error rate reduction and cell stability improvement
KR100591158B1 (ko) 2004-06-01 2006-06-19 동부일렉트로닉스 주식회사 반도체 소자의 게이트 전극의 제조 방법
US20070257277A1 (en) 2004-06-04 2007-11-08 Nec Corporation Semiconductor Device and Method for Manufacturing the Same
JP4834853B2 (ja) 2004-06-10 2011-12-14 シャープ株式会社 薄膜トランジスタ回路、薄膜トランジスタ回路の設計方法、薄膜トランジスタ回路の設計プログラム、設計プログラム記録媒体、及び表示装置
JP4248451B2 (ja) 2004-06-11 2009-04-02 パナソニック株式会社 半導体装置およびそのレイアウト設計方法
JP4778689B2 (ja) 2004-06-16 2011-09-21 パナソニック株式会社 標準セル、標準セルライブラリおよび半導体集積回路
US7327591B2 (en) 2004-06-17 2008-02-05 Texas Instruments Incorporated Staggered memory cell array
US7003068B2 (en) 2004-06-21 2006-02-21 Kenet, Inc. Device for subtracting or adding a constant amount of charge in a charge-coupled device at high operating frequencies
JP4405865B2 (ja) 2004-06-24 2010-01-27 富士通マイクロエレクトロニクス株式会社 多層配線構造の製造方法及びfib装置
JP4175649B2 (ja) 2004-07-22 2008-11-05 松下電器産業株式会社 半導体装置
US7176508B2 (en) 2004-07-27 2007-02-13 International Business Machines Corporation Temperature sensor for high power very large scale integration circuits
BRPI0513689A (pt) * 2004-07-27 2008-05-13 Easic Corp dispositivos semicondutores, conjuntos lógicos e wafers semicondutores
JP2006049780A (ja) 2004-08-09 2006-02-16 Elpida Memory Inc 半導体集積回路装置
US7093213B2 (en) 2004-08-13 2006-08-15 International Business Machines Corporation Method for designing an integrated circuit defect monitor
JP4471776B2 (ja) * 2004-08-16 2010-06-02 Necエレクトロニクス株式会社 半導体装置、半導体装置の製造方法
US7365432B2 (en) 2004-08-23 2008-04-29 Taiwan Semiconductor Manufacturing Company, Ltd. Memory cell structure
JP2006073696A (ja) 2004-09-01 2006-03-16 Matsushita Electric Ind Co Ltd スタンダードセルを用いた半導体集積回路とその設計方法
US7632610B2 (en) 2004-09-02 2009-12-15 Intel Corporation Sub-resolution assist features
US20060063334A1 (en) 2004-09-17 2006-03-23 International Business Machines Corporation Fin FET diode structures and methods for building
US7227183B2 (en) 2004-09-17 2007-06-05 International Business Machines Corporation Polysilicon conductor width measurement for 3-dimensional FETs
US7185294B2 (en) * 2004-09-23 2007-02-27 Verisilicon Holdings, Co Ltd Standard cell library having globally scalable transistor channel length
DE102004047263B4 (de) 2004-09-24 2010-04-22 Qimonda Ag Verfahren zum Erzeugen eines Abbildungsfehler vermeidenden Maskenlayouts für eine Maske
KR100594295B1 (ko) 2004-09-24 2006-06-30 삼성전자주식회사 층 성장을 이용한 게이트 형성 방법 및 이에 따른 게이트구조
US7466607B2 (en) 2004-09-30 2008-12-16 Analog Devices, Inc. Memory access system and method using de-coupled read and write circuits
JP2006100718A (ja) 2004-09-30 2006-04-13 Matsushita Electric Ind Co Ltd 半導体集積回路装置の動作解析方法、これに用いられる解析装置およびこれを用いた最適化設計方法
US7335583B2 (en) 2004-09-30 2008-02-26 Intel Corporation Isolating semiconductor device structures
US7337421B2 (en) 2004-09-30 2008-02-26 Cadence Design Systems, Inc. Method and system for managing design corrections for optical and process effects based on feature tolerances
JP2006114668A (ja) 2004-10-14 2006-04-27 Sony Corp 半導体集積回路およびその製造方法
US7487475B1 (en) * 2004-10-15 2009-02-03 Cadence Design Systems, Inc. Systems, methods, and apparatus to perform statistical static timing analysis
JP2006119195A (ja) * 2004-10-19 2006-05-11 Nec Electronics Corp 配線のレイアウト方法
US7458045B2 (en) 2004-10-29 2008-11-25 Synopsys, Inc. Silicon tolerance specification using shapes as design intent markers
US7302651B2 (en) 2004-10-29 2007-11-27 International Business Machines Corporation Technology migration for integrated circuits with radical design restrictions
JP4768251B2 (ja) 2004-11-01 2011-09-07 株式会社東芝 半導体集積回路の設計方法、半導体集積回路の設計システム及び半導体集積回路の製造方法
US7278118B2 (en) 2004-11-04 2007-10-02 Pdf Solutions, Inc. Method and process for design of integrated circuits using regular geometry patterns to obtain geometrically consistent component features
JP4435069B2 (ja) * 2004-11-05 2010-03-17 株式会社東芝 半導体装置の製造方法
US7350183B2 (en) * 2004-11-05 2008-03-25 International Business Machines Corporation Method for improving optical proximity correction
KR100587692B1 (ko) 2004-11-05 2006-06-08 삼성전자주식회사 반도체 메모리 장치에서의 회로 배선 배치구조와 그에따른 배치방법
JP2006156778A (ja) 2004-11-30 2006-06-15 Matsushita Electric Ind Co Ltd 半導体装置及びそのレイアウト設計方法
US7465973B2 (en) 2004-12-03 2008-12-16 International Business Machines Corporation Integrated circuit having gates and active regions forming a regular grating
US7424696B2 (en) 2004-12-03 2008-09-09 Lsi Corporation Power mesh for multiple frequency operation of semiconductor products
JP2006165365A (ja) 2004-12-09 2006-06-22 Renesas Technology Corp 半導体装置および半導体装置の製造方法
US7345330B2 (en) 2004-12-09 2008-03-18 Omnivision Technologies, Inc. Local interconnect structure and method for a CMOS image sensor
JP4357409B2 (ja) 2004-12-17 2009-11-04 株式会社東芝 半導体集積回路装置及びその設計方法
US7396732B2 (en) * 2004-12-17 2008-07-08 Interuniversitair Microelektronica Centrum Vzw (Imec) Formation of deep trench airgaps and related applications
FR2879816B1 (fr) 2004-12-20 2007-06-08 Atmel Nantes Sa Sa Circuit electronique comprenant au moins une premiere et une seconde paires differentielles dont les transistors partagent un meme caisson
JP2007043049A (ja) 2004-12-20 2007-02-15 Matsushita Electric Ind Co Ltd セル、スタンダードセル、スタンダードセル配置方法、スタンダードセルライブラリ、ならびに半導体集積回路
WO2006069340A2 (en) 2004-12-21 2006-06-29 Carnegie Mellon University Lithography and associated methods, devices, and systems
EP1833091A4 (en) 2004-12-28 2008-08-13 Spansion Llc SEMICONDUCTOR DEVICE AND METHOD OF OPERATING CONTROL
US7106620B2 (en) 2004-12-30 2006-09-12 International Business Machines Corporation Memory cell having improved read stability
US7743349B2 (en) 2004-12-31 2010-06-22 Tela Innovations, Inc. Method and system for finding an equivalent circuit representation for one or more elements in an integrated circuit
US7509621B2 (en) * 2005-01-03 2009-03-24 Synopsys, Inc. Method and apparatus for placing assist features by identifying locations of constructive and destructive interference
US7366997B1 (en) * 2005-01-11 2008-04-29 Synplicity, Inc. Methods and apparatuses for thermal analysis based circuit design
JP2006196627A (ja) 2005-01-12 2006-07-27 Nec Electronics Corp 半導体装置、及び半導体装置の設計プログラム
DE102005002533B4 (de) 2005-01-14 2007-09-13 Infineon Technologies Ag Verfahren zum Erzeugen eines Abbildungsfehler vermeidenden Maskenlayouts für eine Maske
JP4455356B2 (ja) 2005-01-28 2010-04-21 Necエレクトロニクス株式会社 半導体装置
JP4602112B2 (ja) 2005-02-17 2010-12-22 株式会社東芝 半導体集積回路の製造方法及び半導体集積回路
KR20060092408A (ko) 2005-02-17 2006-08-23 삼성전자주식회사 고성능 배타적 오아 및 배타적 노아 회로 및 방법
WO2006090445A1 (ja) 2005-02-23 2006-08-31 Fujitsu Limited 半導体回路装置及びその半導体回路装置の製造方法
US7721246B2 (en) * 2005-02-24 2010-05-18 Synopsys, Inc. Method and apparatus for quickly determining the effect of placing an assist feature at a location in a layout
US7287237B2 (en) 2005-02-24 2007-10-23 Icera Inc. Aligned logic cell grid and interconnect routing architecture
US7266787B2 (en) 2005-02-24 2007-09-04 Icera, Inc. Method for optimising transistor performance in integrated circuits
US7421678B2 (en) 2005-02-24 2008-09-02 Synopsys, Inc. Assist feature placement using a process-sensitivity model
US7200835B2 (en) 2005-02-24 2007-04-03 Texas Instruments Incorporated Method of locating sub-resolution assist feature(s)
US7188322B2 (en) 2005-02-25 2007-03-06 International Business Machines Corporation Circuit layout methodology using a shape processing application
TWI281317B (en) * 2005-03-07 2007-05-11 Sunplus Technology Co Ltd Self DC-bias high frequency logic gate, NAND gate, and NOR gate using the same
US7304874B2 (en) * 2005-03-08 2007-12-04 Lsi Corporation Compact ternary and binary CAM bitcell architecture with no enclosed diffusion areas
US7992122B1 (en) 2005-03-25 2011-08-02 Gg Technology, Inc. Method of placing and routing for power optimization and timing closure
US7563701B2 (en) 2005-03-31 2009-07-21 Intel Corporation Self-aligned contacts for transistors
US7882456B2 (en) 2005-04-09 2011-02-01 Cadence Design Systems, Inc. Optical lithography correction process
JP4634849B2 (ja) 2005-04-12 2011-02-16 株式会社東芝 集積回路のパターンレイアウト、フォトマスク、半導体装置の製造方法、及びデータ作成方法
JP4617272B2 (ja) 2005-04-12 2011-01-19 エーエスエムエル マスクツールズ ビー.ブイ. 二重露光リソグラフィを実行するための方法、プログラム製品及びデバイス製造方法
JP4921723B2 (ja) 2005-04-18 2012-04-25 株式会社東芝 半導体装置の製造方法
TWI297101B (en) 2005-04-20 2008-05-21 Nanya Technology Corp Phase shifting mask for equal line/space dense line patterns
US7480891B2 (en) 2005-04-29 2009-01-20 Cadence Design Systems, Inc. Method and apparatus of model-based photomask synthesis
US7506300B2 (en) * 2005-04-29 2009-03-17 Cadence Design Systems, Inc. Apparatus and method for breaking up and merging polygons
US7441211B1 (en) 2005-05-06 2008-10-21 Blaze Dfm, Inc. Gate-length biasing for digital circuit optimization
US8044437B1 (en) 2005-05-16 2011-10-25 Lsi Logic Corporation Integrated circuit cell architecture configurable for memory or logic elements
JP4936418B2 (ja) 2005-05-17 2012-05-23 ルネサスエレクトロニクス株式会社 半導体装置とその製造方法、及び半導体装置の設計プログラム
US7308669B2 (en) 2005-05-18 2007-12-11 International Business Machines Corporation Use of redundant routes to increase the yield and reliability of a VLSI layout
JP4912016B2 (ja) 2005-05-23 2012-04-04 ルネサスエレクトロニクス株式会社 半導体記憶装置
KR20080018905A (ko) 2005-05-26 2008-02-28 엔엑스피 비 브이 전자 장치 및 그 설계 방법
US7411252B2 (en) 2005-06-21 2008-08-12 International Business Machines Corporation Substrate backgate for trigate FET
US7960791B2 (en) * 2005-06-24 2011-06-14 International Business Machines Corporation Dense pitch bulk FinFET process by selective EPI and etch
US7492013B2 (en) 2005-06-27 2009-02-17 International Business Machines Corporation Systems and arrangements to interconnect components of a semiconductor device
US8405216B2 (en) 2005-06-29 2013-03-26 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure for integrated circuits
EP1907957A4 (en) 2005-06-29 2013-03-20 Otrsotech Ltd Liability Company INVESTMENT METHODS AND SYSTEMS
JP2007012855A (ja) 2005-06-30 2007-01-18 Matsushita Electric Ind Co Ltd 半導体集積回路、標準セル、標準セルライブラリ、半導体集積回路の設計方法および半導体集積回路の設計装置
US7236396B2 (en) * 2005-06-30 2007-06-26 Texas Instruments Incorporated Area efficient implementation of small blocks in an SRAM array
JP2007013060A (ja) 2005-07-04 2007-01-18 Matsushita Electric Ind Co Ltd 半導体装置
JP2007018588A (ja) 2005-07-06 2007-01-25 Toshiba Corp 半導体記憶装置および半導体記憶装置の駆動方法
US7235424B2 (en) * 2005-07-14 2007-06-26 Taiwan Semiconductor Manufacturing Co., Ltd. Method and apparatus for enhanced CMP planarization using surrounded dummy design
EP1917715A2 (en) 2005-07-22 2008-05-07 Nanopower Technologies, Inc. High sensitivity rfid tag integrated circuits
WO2007010621A1 (ja) 2005-07-22 2007-01-25 Fujitsu Limited フォトマスクパターンデータの作成方法、そのフォトマスクパターンデータを用いて作成されたフォトマスク、及び、そのフォトマスクを用いた半導体装置の製造方法
US7404154B1 (en) 2005-07-25 2008-07-22 Lsi Corporation Basic cell architecture for structured application-specific integrated circuits
US7251439B2 (en) 2005-07-29 2007-07-31 Xerox Corporation Shield for charging device in xerographic printing device having reduced rate of contamination
US7934172B2 (en) 2005-08-08 2011-04-26 Micronic Laser Systems Ab SLM lithography: printing to below K1=.30 without previous OPC processing
US7568174B2 (en) 2005-08-19 2009-07-28 Cadence Design Systems, Inc. Method for checking printability of a lithography target
JP4462153B2 (ja) * 2005-09-14 2010-05-12 株式会社アドヴィックス 制動力配分制御装置
JP2007093861A (ja) * 2005-09-28 2007-04-12 Renesas Technology Corp マスクパターン設計方法および半導体装置の製造方法
US7749662B2 (en) 2005-10-07 2010-07-06 Globalfoundries Inc. Process margin using discrete assist features
US7485934B2 (en) 2005-10-25 2009-02-03 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated semiconductor structure for SRAM cells
JP4796817B2 (ja) 2005-10-31 2011-10-19 エルピーダメモリ株式会社 基本セル設計方法、レイアウト設計方法、設計装置およびプログラム
US7397260B2 (en) 2005-11-04 2008-07-08 International Business Machines Corporation Structure and method for monitoring stress-induced degradation of conductive interconnects
US20070106971A1 (en) 2005-11-04 2007-05-10 Lizotech, Inc. Apparatus for a routing system
US7569309B2 (en) 2005-11-09 2009-08-04 Texas Instruments Incorporated Gate critical dimension variation by use of ghost features
US7527900B2 (en) 2005-11-10 2009-05-05 United Microelectronics Corp. Reticle and optical proximity correction method
US7934184B2 (en) 2005-11-14 2011-04-26 Takumi Technology Corporation Integrated circuit design using modified cells
JP2007141971A (ja) 2005-11-15 2007-06-07 Matsushita Electric Ind Co Ltd 半導体集積回路の設計方法
DE102006027178A1 (de) 2005-11-21 2007-07-05 Infineon Technologies Ag Multi-Fin-Bauelement-Anordnung und Verfahren zum Herstellen einer Multi-Fin-Bauelement-Anordnung
WO2007063990A1 (ja) 2005-12-02 2007-06-07 Nec Corporation 半導体装置およびその製造方法
US7543262B2 (en) 2005-12-06 2009-06-02 Cadence Design Systems, Inc. Analog layout module generator and method
US7569310B2 (en) 2005-12-07 2009-08-04 Intel Corporation Sub-resolution assist features for photolithography with trim ends
JP2007173474A (ja) * 2005-12-21 2007-07-05 Oki Electric Ind Co Ltd ゲートアレイ
US7512017B2 (en) 2005-12-21 2009-03-31 Intel Corporation Integration of planar and tri-gate devices on the same substrate
JP4774294B2 (ja) 2005-12-26 2011-09-14 富士通株式会社 集積回路レイアウト装置、その方法及びプログラム
EP1804282A1 (en) 2005-12-29 2007-07-04 Interuniversitair Microelektronica Centrum vzw ( IMEC) Methods for manufacturing dense integrated circuits
US7640522B2 (en) 2006-01-14 2009-12-29 Tela Innovations, Inc. Method and system for placing layout objects in a standard-cell layout
US7614030B2 (en) 2006-01-17 2009-11-03 Taiwan Semiconductor Manufacturing Co., Ltd. Scattering bar OPC application method for mask ESD prevention
JP5091462B2 (ja) 2006-01-19 2012-12-05 パナソニック株式会社 セルおよび半導体装置
JP4675249B2 (ja) 2006-02-07 2011-04-20 パナソニック株式会社 位置依存変動量計算方法並びに回路解析方法
US7480880B2 (en) * 2006-02-21 2009-01-20 International Business Machines Corporation Method, system, and program product for computing a yield gradient from statistical timing
US7469401B2 (en) 2006-02-22 2008-12-23 International Business Machines Corporation Method for using partitioned masks to build a chip
JP4644614B2 (ja) 2006-02-27 2011-03-02 富士通セミコンダクター株式会社 レイアウトエディタ装置、配線表示方法、及び配線表示プログラム
JP4791855B2 (ja) 2006-02-28 2011-10-12 株式会社東芝 半導体記憶装置
US9009641B2 (en) 2006-03-09 2015-04-14 Tela Innovations, Inc. Circuits with linear finfet structures
US7956421B2 (en) * 2008-03-13 2011-06-07 Tela Innovations, Inc. Cross-coupled transistor layouts in restricted gate level layout architecture
US7932545B2 (en) 2006-03-09 2011-04-26 Tela Innovations, Inc. Semiconductor device and associated layouts including gate electrode level region having arrangement of six linear conductive segments with side-to-side spacing less than 360 nanometers
US7917879B2 (en) 2007-08-02 2011-03-29 Tela Innovations, Inc. Semiconductor device with dynamic array section
US8225261B2 (en) 2006-03-09 2012-07-17 Tela Innovations, Inc. Methods for defining contact grid in dynamic array architecture
US8658542B2 (en) 2006-03-09 2014-02-25 Tela Innovations, Inc. Coarse grid design methods and structures
US8839175B2 (en) 2006-03-09 2014-09-16 Tela Innovations, Inc. Scalable meta-data objects
US9035359B2 (en) 2006-03-09 2015-05-19 Tela Innovations, Inc. Semiconductor chip including region including linear-shaped conductive structures forming gate electrodes and having electrical connection areas arranged relative to inner region between transistors of different types and associated methods
US8247846B2 (en) 2006-03-09 2012-08-21 Tela Innovations, Inc. Oversized contacts and vias in semiconductor chip defined by linearly constrained topology
US7763534B2 (en) 2007-10-26 2010-07-27 Tela Innovations, Inc. Methods, structures and designs for self-aligning local interconnects used in integrated circuits
US9563733B2 (en) 2009-05-06 2017-02-07 Tela Innovations, Inc. Cell circuit and layout with linear finfet structures
US7943967B2 (en) 2006-03-09 2011-05-17 Tela Innovations, Inc. Semiconductor device and associated layouts including diffusion contact placement restriction based on relation to linear conductive segments
US8245180B2 (en) 2006-03-09 2012-08-14 Tela Innovations, Inc. Methods for defining and using co-optimized nanopatterns for integrated circuit design and apparatus implementing same
US8225239B2 (en) 2006-03-09 2012-07-17 Tela Innovations, Inc. Methods for defining and utilizing sub-resolution features in linear topology
US20070218685A1 (en) 2006-03-17 2007-09-20 Swaminathan Sivakumar Method of forming trench contacts for MOS transistors
JP2007265179A (ja) 2006-03-29 2007-10-11 Fujitsu Ltd レイアウト検証方法、レイアウト検証装置
JP4882455B2 (ja) 2006-03-31 2012-02-22 富士通セミコンダクター株式会社 半導体集積回路のユニットセルおよびユニットセルを使用した配線方法および配線プログラム
US7437691B2 (en) 2006-04-11 2008-10-14 International Business Machines Corporation VLSI artwork legalization for hierarchical designs with multiple grid constraints
US7484197B2 (en) * 2006-04-14 2009-01-27 International Business Machines Corporation Minimum layout perturbation-based artwork legalization with grid constraints for hierarchical designs
US7509622B2 (en) * 2006-04-17 2009-03-24 Synopsys, Inc. Dummy filling technique for improved planarization of chip surface topography
JP5579959B2 (ja) 2006-04-18 2014-08-27 ピーエスフォー ルクスコ エスエイアールエル 半導体装置
US7407890B2 (en) 2006-04-21 2008-08-05 International Business Machines Corporation Patterning sub-lithographic features with variable widths
US7355906B2 (en) 2006-05-24 2008-04-08 International Business Machines Corporation SRAM cell design to improve stability
US7941776B2 (en) 2006-05-26 2011-05-10 Open-Silicon Inc. Method of IC design optimization via creation of design-specific cells from post-layout patterns
WO2007149004A1 (en) 2006-06-13 2007-12-27 Freescale Semiconductor, Inc. Methods and apparatus for simulating distributed effects
US7317339B1 (en) 2006-06-16 2008-01-08 Via Technologies, Inc. N-domino register with accelerated non-discharge path
US7459792B2 (en) * 2006-06-19 2008-12-02 Taiwan Semiconductor Manufacturing Co., Ltd. Via layout with via groups placed in interlocked arrangement
US7992117B2 (en) 2006-06-20 2011-08-02 Adtran, Inc. System and method for designing a common centroid layout for an integrated circuit
JP2008004790A (ja) 2006-06-23 2008-01-10 Oki Electric Ind Co Ltd スタンダードセル
JP2008004796A (ja) 2006-06-23 2008-01-10 Matsushita Electric Ind Co Ltd 半導体装置および回路素子レイアウト方法
US7444609B2 (en) * 2006-06-29 2008-10-28 International Business Machines Corporation Method of optimizing customizable filler cells in an integrated circuit physical design process
US7763932B2 (en) 2006-06-29 2010-07-27 International Business Machines Corporation Multi-bit high-density memory device and architecture and method of fabricating multi-bit high-density memory devices
US7739627B2 (en) 2006-07-05 2010-06-15 Chew Marko P System and method of maximizing integrated circuit manufacturing yield with context-dependent yield cells
JP2008027940A (ja) 2006-07-18 2008-02-07 Matsushita Electric Ind Co Ltd 半導体集積回路の設計方法および回路シミュレーション方法
DE102006037162B4 (de) * 2006-08-01 2008-08-21 Qimonda Ag Verfahren und Vorrichtung und deren Verwendung zur Prüfung des Layouts einer elektronischen Schaltung
US7966579B2 (en) 2006-08-04 2011-06-21 Infineon Technologies Ag Methods of optical proximity correction
JP5080578B2 (ja) 2006-08-04 2012-11-21 サガンテック イスラエル リミテッド 回路レイアウトを所定のグリッドに適応させるための方法及びシステム
US7873929B2 (en) 2006-08-14 2011-01-18 The Regents Of The University Of California Method, apparatus and system for designing an integrated circuit including generating at least one auxiliary pattern for cell-based optical proximity correction
US7886262B2 (en) * 2006-08-15 2011-02-08 Chew Marko P System and method of maximizing integrated circuit manufacturing yield with fabrication process simulation driven layout optimization
TW200811704A (en) 2006-08-31 2008-03-01 Univ Nat Yunlin Sci & Tech Full adder of complementary type carry logic voltage compensator
KR100773353B1 (ko) 2006-09-26 2007-11-05 삼성전자주식회사 기판 플러그를 가지는 반도체 장치들 및 그의 형성방법들
US7434185B2 (en) 2006-09-27 2008-10-07 International Business Machines Corporation Method and apparatus for parallel data preparation and processing of integrated circuit graphical design data
JP4362785B2 (ja) 2006-09-28 2009-11-11 エルピーダメモリ株式会社 半導体装置の製造方法
US20080082952A1 (en) 2006-09-29 2008-04-03 Texas Instruments Incorporated Method of inclusion of sub-resolution assist feature(s)
US7592247B2 (en) 2006-10-04 2009-09-22 International Business Machines Corporation Sub-lithographic local interconnects, and methods for forming same
JP4814044B2 (ja) * 2006-10-05 2011-11-09 ルネサスエレクトロニクス株式会社 パターン設計方法
JP2008103610A (ja) 2006-10-20 2008-05-01 Matsushita Electric Ind Co Ltd 半導体集積回路の配線構造およびその設計方法と設計装置
US8230379B2 (en) 2006-10-20 2012-07-24 Kabushiki Kaisha Toshiba Layout generating method for semiconductor integrated circuits
US7624369B2 (en) 2006-10-31 2009-11-24 International Business Machines Corporation Closed-loop design for manufacturability process
US7774739B2 (en) 2006-11-30 2010-08-10 Texas Instruments Incorporated Methods for adjusting shifter width of an alternating phase shifter having variable width
US7802219B2 (en) 2006-11-30 2010-09-21 Cadence Design Systems, Inc. Flat placement of cells on non-integer multiple height rows in a digital integrated circuit layout
US8378407B2 (en) 2006-12-07 2013-02-19 Tower Semiconductor, Ltd. Floating gate inverter type memory cell and array
US8156450B2 (en) 2006-12-18 2012-04-10 Cadence Design Systems, Inc. Method and system for mask optimization
US7814447B2 (en) 2006-12-29 2010-10-12 Cadence Design Systems, Inc. Supplant design rules in electronic designs
US8178905B2 (en) 2007-01-12 2012-05-15 Panasonic Corporation Layout structure of semiconductor device
US7535751B2 (en) * 2007-02-12 2009-05-19 Taiwan Semioconductor Manufacturing Co., Ltd. Dual-port SRAM device
JP5217180B2 (ja) 2007-02-20 2013-06-19 富士通セミコンダクター株式会社 静電放電保護装置の製造方法
US8667443B2 (en) 2007-03-05 2014-03-04 Tela Innovations, Inc. Integrated circuit cell library for multiple patterning
US20080216207A1 (en) 2007-03-09 2008-09-11 Shen-Hai Tsai Finger pressing massage glove
KR100911187B1 (ko) * 2007-03-13 2009-08-06 주식회사 하이닉스반도체 래치 구조 및 그것을 포함하는 비트라인 센스앰프 구조
US7575973B2 (en) 2007-03-27 2009-08-18 Sandisk 3D Llc Method of making three dimensional NAND memory
US7543252B2 (en) 2007-03-28 2009-06-02 International Business Machines Corporation Migration of integrated circuit layout for alternating phase shift masks
US7791109B2 (en) 2007-03-29 2010-09-07 International Business Machines Corporation Metal silicide alloy local interconnect
US7757196B2 (en) 2007-04-04 2010-07-13 Cisco Technology, Inc. Optimizing application specific integrated circuit pinouts for high density interconnect printed circuit boards
US7723786B2 (en) 2007-04-11 2010-05-25 Ronald Kakoschke Apparatus of memory array using FinFETs
US7964267B1 (en) 2007-04-13 2011-06-21 Bae Systems Tensylon H.P.M., Inc. Ballistic-resistant panel including high modulus ultra high molecular weight polyethylene tape
US7453125B1 (en) 2007-04-24 2008-11-18 Infineon Technologies Ag Double mesh finfet
JP4461154B2 (ja) 2007-05-15 2010-05-12 株式会社東芝 半導体装置
US20080283910A1 (en) 2007-05-15 2008-11-20 Qimonda Ag Integrated circuit and method of forming an integrated circuit
US7911830B2 (en) 2007-05-17 2011-03-22 Integrated Magnetoelectronics Scalable nonvolatile memory
JP4445521B2 (ja) 2007-06-15 2010-04-07 株式会社東芝 半導体装置
US7898040B2 (en) 2007-06-18 2011-03-01 Infineon Technologies Ag Dual gate FinFET
US7923337B2 (en) 2007-06-20 2011-04-12 International Business Machines Corporation Fin field effect transistor devices with self-aligned source and drain regions
US7759194B2 (en) * 2008-07-25 2010-07-20 Semiconductor Manufacturing International (Shanghai) Corporation Electrically programmable device with embedded EEPROM and method for making thereof
JP2009025914A (ja) * 2007-07-17 2009-02-05 Nec Electronics Corp 半導体集積回路の設計方法及び設計プログラム
US7625790B2 (en) 2007-07-26 2009-12-01 International Business Machines Corporation FinFET with sublithographic fin width
US7700466B2 (en) 2007-07-26 2010-04-20 International Business Machines Corporation Tunneling effect transistor with self-aligned gate
US7562326B2 (en) 2007-08-09 2009-07-14 United Microelectronics Corp. Method of generating a standard cell layout and transferring the standard cell layout to a substrate
US20090057780A1 (en) 2007-08-27 2009-03-05 International Business Machines Corporation Finfet structure including multiple semiconductor fin channel heights
US8156451B2 (en) * 2007-09-14 2012-04-10 Renesas Electronics Corporation Method of manufacturing photomask
KR100905157B1 (ko) 2007-09-18 2009-06-29 주식회사 하이닉스반도체 반도체 소자의 미세 패턴 형성 방법
JP2009088085A (ja) 2007-09-28 2009-04-23 Tokyo Electron Ltd 半導体装置の製造方法、半導体装置の製造装置、制御プログラム及びプログラム記憶媒体
US20090101940A1 (en) * 2007-10-19 2009-04-23 Barrows Corey K Dual gate fet structures for flexible gate array design methodologies
US8042070B2 (en) * 2007-10-23 2011-10-18 International Business Machines Corporation Methods and system for analysis and management of parametric yield
JP2009130238A (ja) 2007-11-27 2009-06-11 Fujitsu Microelectronics Ltd 半導体装置
JP5193582B2 (ja) 2007-12-12 2013-05-08 株式会社東芝 半導体装置の製造方法
WO2009078069A1 (ja) 2007-12-14 2009-06-25 Fujitsu Limited 半導体装置
FR2925510A1 (fr) * 2007-12-20 2009-06-26 Bluestar Silicones France Soc Composition organopolysiloxanique vulcanisable a temperature ambiante en elastomere et nouveaux catalyseurs de polycondensation d'organopolysiloxanes.
JP2009152368A (ja) 2007-12-20 2009-07-09 Hitachi Ltd 半導体装置およびその製造方法
US7825437B2 (en) 2007-12-28 2010-11-02 Intel Corporation Unity beta ratio tri-gate transistor static random access memory (SRAM)
PL2235453T3 (pl) 2007-12-31 2017-02-28 Arçelik Anonim Sirketi Urządzenie chłodzące
US7983071B2 (en) 2008-01-04 2011-07-19 Texas Instruments Incorporated Dual node access storage cell having buffer circuits
US7934173B2 (en) 2008-01-14 2011-04-26 Taiwan Semiconductor Manufacturing Company, Ltd. Reverse dummy insertion algorithm
US7926001B2 (en) 2008-01-16 2011-04-12 Cadence Design Systems, Inc. Uniformity for semiconductor patterning operations
US9083341B2 (en) 2008-01-17 2015-07-14 Robust Chip Inc. Soft error resilient circuit design method and logic cells
US7984395B2 (en) 2008-01-17 2011-07-19 Synopsys, Inc. Hierarchical compression for metal one logic layer
US8453094B2 (en) 2008-01-31 2013-05-28 Tela Innovations, Inc. Enforcement of semiconductor structure regularity for localized transistors and interconnect
US8866254B2 (en) 2008-02-19 2014-10-21 Micron Technology, Inc. Devices including fin transistors robust to gate shorts and methods of making the same
US7962878B2 (en) 2008-02-26 2011-06-14 Infineon Technologies Ag Method of making an integrated circuit using pre-defined interconnect wiring
US8423947B2 (en) 2008-03-13 2013-04-16 International Business Machines Corporation Gridded glyph geometric objects (L3GO) design method
US7939443B2 (en) 2008-03-27 2011-05-10 Tela Innovations, Inc. Methods for multi-wire routing and apparatus implementing same
US8173544B2 (en) 2008-05-02 2012-05-08 Texas Instruments Incorporated Integrated circuit having interleaved gridded features, mask set and method for printing
US7958465B2 (en) 2008-05-08 2011-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. Dummy pattern design for reducing device performance drift
EP2117045A1 (en) 2008-05-09 2009-11-11 Imec Design Methodology for MuGFET ESD Protection Devices
US7917877B2 (en) 2008-05-09 2011-03-29 Cadence Design Systems, Inc. System and method for circuit schematic generation
US7830025B2 (en) 2008-05-19 2010-11-09 United Microelectronics Corp. Contact layout structure
US7853915B2 (en) 2008-06-24 2010-12-14 Synopsys, Inc. Interconnect-driven physical synthesis using persistent virtual routing
MY152456A (en) 2008-07-16 2014-09-30 Tela Innovations Inc Methods for cell phasing and placement in dynamic array architecture and implementation of the same
US8136072B2 (en) 2008-11-03 2012-03-13 Arm Limited Standard cell placement
US8363455B2 (en) 2008-12-04 2013-01-29 David Rennie Eight transistor soft error robust storage cell
JP2010141047A (ja) 2008-12-10 2010-06-24 Renesas Technology Corp 半導体集積回路装置および半導体集積回路装置の製造方法
US20100187609A1 (en) 2009-01-27 2010-07-29 Synopsys, Inc. Boosting transistor performance with non-rectangular channels
US8116121B2 (en) 2009-03-06 2012-02-14 Kabushiki Kaisha Toshiba Semiconductor device and manufacturing methods with using non-planar type of transistors
EP2248161B1 (en) 2009-03-06 2019-05-01 Kaixin Inc. Leadless integrated circuit package having high density contacts
US8184472B2 (en) 2009-03-13 2012-05-22 International Business Machines Corporation Split-gate DRAM with lateral control-gate MuGFET
US8004042B2 (en) 2009-03-20 2011-08-23 Taiwan Semiconductor Manufacturing Company, Ltd. Static random access memory (SRAM) cell and method for forming same
US8053299B2 (en) 2009-04-17 2011-11-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabrication of a FinFET element
US8076236B2 (en) 2009-06-01 2011-12-13 Globalfoundries Inc. SRAM bit cell with self-aligned bidirectional local interconnects
US8782586B2 (en) 2009-07-16 2014-07-15 Cadence Design Systems, Inc. Method, system, and program product for routing an integrated circuit to be manufactured by doubled patterning
US8294212B2 (en) 2009-09-18 2012-10-23 Taiwan Semiconductor Manufacturing Company, Ltd. Methods and apparatus for SRAM bit cell with low standby current, low supply voltage and high speed
WO2011096055A1 (ja) 2010-02-03 2011-08-11 ルネサスエレクトロニクス株式会社 半導体装置
US8675397B2 (en) 2010-06-25 2014-03-18 Taiwan Semiconductor Manufacturing Company, Ltd. Cell structure for dual-port SRAM
US8860107B2 (en) 2010-06-03 2014-10-14 International Business Machines Corporation FinFET-compatible metal-insulator-metal capacitor
US8839162B2 (en) 2010-07-14 2014-09-16 International Business Machines Corporation Specifying circuit level connectivity during circuit design synthesis
US8796759B2 (en) * 2010-07-15 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. Fin-like field effect transistor (FinFET) device and method of manufacturing same
US9613844B2 (en) * 2010-11-18 2017-04-04 Monolithic 3D Inc. 3D semiconductor device having two layers of transistors
US9159627B2 (en) 2010-11-12 2015-10-13 Tela Innovations, Inc. Methods for linewidth modification and apparatus implementing the same
US8418111B2 (en) 2010-11-24 2013-04-09 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for achieving multiple patterning technology compliant design layout
US8402397B2 (en) 2011-07-26 2013-03-19 Mentor Graphics Corporation Hotspot detection based on machine learning
JP6094023B2 (ja) 2011-09-12 2017-03-15 富士通セミコンダクター株式会社 半導体装置の製造方法
US8689164B2 (en) 2011-10-18 2014-04-01 National Taiwan University Method of analytical placement with weighted-average wirelength model
US9006841B2 (en) 2011-12-30 2015-04-14 Stmicroelectronics International N.V. Dual port SRAM having reduced cell size and rectangular shape
FR2996950B1 (fr) 2012-10-11 2016-01-01 Dolphin Integration Sa Réseau de mémoire base sur des bascules

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0822489A (ja) * 1994-07-07 1996-01-23 Mitsubishi Electric Corp 集積回路のレイアウトパターン生成装置
JPH0851194A (ja) * 1994-08-09 1996-02-20 Mitsubishi Electric Corp 半導体集積回路、半導体集積回路の製造方法及びセルの配置方法
JP2002319665A (ja) * 2000-09-21 2002-10-31 Matsushita Electric Ind Co Ltd Cmos型基本セル及びこれを使用した半導体集積回路の製造方法
WO2007103587A2 (en) * 2006-03-09 2007-09-13 Tela Innovations, Inc. Dynamic array architecture

Also Published As

Publication number Publication date
US20130193524A1 (en) 2013-08-01
JP2016208047A (ja) 2016-12-08
US20100187618A1 (en) 2010-07-29
TW201735325A (zh) 2017-10-01
US20100187634A1 (en) 2010-07-29
US20100187621A1 (en) 2010-07-29
JP6462838B2 (ja) 2019-01-30
US9871056B2 (en) 2018-01-16
US20100252890A1 (en) 2010-10-07
US8847331B2 (en) 2014-09-30
US20100187627A1 (en) 2010-07-29
US20100187619A1 (en) 2010-07-29
US20100252893A1 (en) 2010-10-07
US8866197B2 (en) 2014-10-21
SG10201604836UA (en) 2016-08-30
JP5977806B2 (ja) 2016-08-24
US9536899B2 (en) 2017-01-03
US20100237427A1 (en) 2010-09-23
MY151273A (en) 2014-04-30
US8742463B2 (en) 2014-06-03
US20090224317A1 (en) 2009-09-10
US20100237426A1 (en) 2010-09-23
US8853794B2 (en) 2014-10-07
WO2009114680A2 (en) 2009-09-17
US20130207196A1 (en) 2013-08-15
US7956421B2 (en) 2011-06-07
US20100252889A1 (en) 2010-10-07
JP5628050B2 (ja) 2014-11-19
US10727252B2 (en) 2020-07-28
US20180175061A1 (en) 2018-06-21
US8395224B2 (en) 2013-03-12
MY170141A (en) 2019-07-08
US8835989B2 (en) 2014-09-16
US8405163B2 (en) 2013-03-26
TW200950064A (en) 2009-12-01
US20150187769A1 (en) 2015-07-02
US20160079276A1 (en) 2016-03-17
US8785979B2 (en) 2014-07-22
US8735995B2 (en) 2014-05-27
SG189680A1 (en) 2013-05-31
US8729643B2 (en) 2014-05-20
US8680583B2 (en) 2014-03-25
TWI599019B (zh) 2017-09-11
TWI511274B (zh) 2015-12-01
US20170170194A1 (en) 2017-06-15
US20130200469A1 (en) 2013-08-08
US8581304B2 (en) 2013-11-12
US10651200B2 (en) 2020-05-12
US20100237428A1 (en) 2010-09-23
TW201351616A (zh) 2013-12-16
US8552509B2 (en) 2013-10-08
US20100187624A1 (en) 2010-07-29
US8558322B2 (en) 2013-10-15
US8735944B2 (en) 2014-05-27
US20100187615A1 (en) 2010-07-29
JP2019057736A (ja) 2019-04-11
US8853793B2 (en) 2014-10-07
US8552508B2 (en) 2013-10-08
US20100187625A1 (en) 2010-07-29
US20100187626A1 (en) 2010-07-29
JP2015057856A (ja) 2015-03-26
US20130146988A1 (en) 2013-06-13
JP5730424B2 (ja) 2015-06-10
US8264044B2 (en) 2012-09-11
WO2009114680A3 (en) 2009-12-17
US20140291730A1 (en) 2014-10-02
US20130214361A1 (en) 2013-08-22
US20100187630A1 (en) 2010-07-29
US20130200463A1 (en) 2013-08-08
US8729606B2 (en) 2014-05-20
US8669595B2 (en) 2014-03-11
US20100187620A1 (en) 2010-07-29
US8564071B2 (en) 2013-10-22
US8258581B2 (en) 2012-09-04
US8569841B2 (en) 2013-10-29
US20100252892A1 (en) 2010-10-07
US20130200464A1 (en) 2013-08-08
US20140210015A1 (en) 2014-07-31
US20100187623A1 (en) 2010-07-29
US20100187631A1 (en) 2010-07-29
US20200295044A1 (en) 2020-09-17
US8592872B2 (en) 2013-11-26
US20130200465A1 (en) 2013-08-08
US20100187616A1 (en) 2010-07-29
US9213792B2 (en) 2015-12-15
US8575706B2 (en) 2013-11-05
US20130207197A1 (en) 2013-08-15
US8816402B2 (en) 2014-08-26
US20100187628A1 (en) 2010-07-29
US20130207198A1 (en) 2013-08-15
US20100187622A1 (en) 2010-07-29
US20100237430A1 (en) 2010-09-23
US10658385B2 (en) 2020-05-19
US20100187617A1 (en) 2010-07-29
US20100252891A1 (en) 2010-10-07
JP2020150276A (ja) 2020-09-17
US8872283B2 (en) 2014-10-28
US8581303B2 (en) 2013-11-12
US9081931B2 (en) 2015-07-14
US8742462B2 (en) 2014-06-03
US9117050B2 (en) 2015-08-25
JP2014158036A (ja) 2014-08-28
US20100187633A1 (en) 2010-07-29
US8836045B2 (en) 2014-09-16
US10020321B2 (en) 2018-07-10
US9245081B2 (en) 2016-01-26
US9208279B2 (en) 2015-12-08
US20100237429A1 (en) 2010-09-23
US8264049B2 (en) 2012-09-11
US8669594B2 (en) 2014-03-11
US20100258879A1 (en) 2010-10-14
US8772839B2 (en) 2014-07-08
TW201545317A (zh) 2015-12-01
US20130119476A1 (en) 2013-05-16
US20100187632A1 (en) 2010-07-29
US8587034B2 (en) 2013-11-19
US8405162B2 (en) 2013-03-26
US20140367799A1 (en) 2014-12-18
US8785978B2 (en) 2014-07-22
TW201631742A (zh) 2016-09-01
US8274099B2 (en) 2012-09-25
US8847329B2 (en) 2014-09-30
US20120306025A1 (en) 2012-12-06
JP2011515841A (ja) 2011-05-19
US8058691B2 (en) 2011-11-15
TWI416710B (zh) 2013-11-21
US20140239408A1 (en) 2014-08-28
TWI546939B (zh) 2016-08-21

Similar Documents

Publication Publication Date Title
JP6462838B2 (ja) 制限付きゲートレベルレイアウトアーキテクチャにおける交差結合トランジスタレイアウト
KR20120028315A (ko) Xor 및 xnor 로직을 위한 회로 및 레이아웃

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20181122

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20181128

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20181227

R150 Certificate of patent or registration of utility model

Ref document number: 6462838

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

LAPS Cancellation because of no payment of annual fees