US3089507A
(en)
|
|
1963-05-14 |
|
Air eject system control valve |
US2161626A
(en)
|
1937-09-25 |
1939-06-06 |
Walworth Patents Inc |
Locking device
|
US2745640A
(en)
|
1953-09-24 |
1956-05-15 |
American Viscose Corp |
Heat exchanging apparatus
|
US3094396A
(en)
|
1959-07-07 |
1963-06-18 |
Continental Can Co |
Method of and apparatus for curing internal coatings on can bodies
|
US2990045A
(en)
|
1959-09-18 |
1961-06-27 |
Lipe Rollway Corp |
Thermally responsive transmission for automobile fan
|
US3232437A
(en)
|
1963-03-13 |
1966-02-01 |
Champlon Lab Inc |
Spin-on filter cartridge
|
US4393013A
(en)
|
1970-05-20 |
1983-07-12 |
J. C. Schumacher Company |
Vapor mass flow control system
|
US3833492A
(en)
|
1971-09-22 |
1974-09-03 |
Pollution Control Ind Inc |
Method of producing ozone
|
US3862397A
(en)
|
1972-03-24 |
1975-01-21 |
Applied Materials Tech |
Cool wall radiantly heated reactor
|
DE7242602U
(zh)
|
1972-11-20 |
1976-04-29 |
Hoogovens Ijmuiden B.V., Ijmuiden (Niederlande) |
|
US3854443A
(en)
|
1973-12-19 |
1974-12-17 |
Intel Corp |
Gas reactor for depositing thin films
|
US3887790A
(en)
|
1974-10-07 |
1975-06-03 |
Vernon H Ferguson |
Wrap-around electric resistance heater
|
SE393967B
(sv)
|
1974-11-29 |
1977-05-31 |
Sateko Oy |
Forfarande och for utforande av stroleggning mellan lagren i ett virkespaket
|
US4054071A
(en)
|
1975-06-17 |
1977-10-18 |
Aetna-Standard Engineering Company |
Flying saw with movable work shifter
|
DE2610556C2
(de)
|
1976-03-12 |
1978-02-02 |
Siemens AG, 1000 Berlin und 8000 München |
Vorrichtung zum Verteilen strömender Medien über einen Strömungsquerschnitt
|
US4194536A
(en)
|
1976-12-09 |
1980-03-25 |
Eaton Corporation |
Composite tubing product
|
US4181330A
(en)
|
1977-03-22 |
1980-01-01 |
Noriatsu Kojima |
Horn shaped multi-inlet pipe fitting
|
US4164959A
(en)
|
1977-04-15 |
1979-08-21 |
The Salk Institute For Biological Studies |
Metering valve
|
US4176630A
(en)
|
1977-06-01 |
1979-12-04 |
Dynair Limited |
Automatic control valves
|
US4145699A
(en)
|
1977-12-07 |
1979-03-20 |
Bell Telephone Laboratories, Incorporated |
Superconducting junctions utilizing a binary semiconductor barrier
|
US4389973A
(en)
|
1980-03-18 |
1983-06-28 |
Oy Lohja Ab |
Apparatus for performing growth of compound thin films
|
US4322592A
(en)
|
1980-08-22 |
1982-03-30 |
Rca Corporation |
Susceptor for heating semiconductor substrates
|
US4479831A
(en)
|
1980-09-15 |
1984-10-30 |
Burroughs Corporation |
Method of making low resistance polysilicon gate transistors and low resistance interconnections therefor via gas deposited in-situ doped amorphous layer and heat-treatment
|
US4436674A
(en)
|
1981-07-30 |
1984-03-13 |
J.C. Schumacher Co. |
Vapor mass flow control system
|
US4414492A
(en)
|
1982-02-02 |
1983-11-08 |
Intent Patent A.G. |
Electronic ballast system
|
US4401507A
(en)
|
1982-07-14 |
1983-08-30 |
Advanced Semiconductor Materials/Am. |
Method and apparatus for achieving spatially uniform externally excited non-thermal chemical reactions
|
US4512113A
(en)
|
1982-09-23 |
1985-04-23 |
Budinger William D |
Workpiece holder for polishing operation
|
US4499354A
(en)
|
1982-10-06 |
1985-02-12 |
General Instrument Corp. |
Susceptor for radiant absorption heater system
|
US4570328A
(en)
|
1983-03-07 |
1986-02-18 |
Motorola, Inc. |
Method of producing titanium nitride MOS device gate electrode
|
JPS6050923A
(ja)
|
1983-08-31 |
1985-03-22 |
Hitachi Ltd |
プラズマ表面処理方法
|
US4735259A
(en)
|
1984-02-21 |
1988-04-05 |
Hewlett-Packard Company |
Heated transfer line for capillary tubing
|
USD288556S
(en)
|
1984-02-21 |
1987-03-03 |
Pace, Incorporated |
Ornamental design for a frame of circuit elements utilized to replace damaged elements on printed circuit boards
|
JPH0752718B2
(ja)
|
1984-11-26 |
1995-06-05 |
株式会社半導体エネルギー研究所 |
薄膜形成方法
|
US4653541A
(en)
|
1985-06-26 |
1987-03-31 |
Parker Hannifin Corporation |
Dual wall safety tube
|
US4789294A
(en)
|
1985-08-30 |
1988-12-06 |
Canon Kabushiki Kaisha |
Wafer handling apparatus and method
|
KR940000915B1
(ko)
|
1986-01-31 |
1994-02-04 |
가부시기가이샤 히다찌세이사꾸쇼 |
표면 처리방법
|
US4654226A
(en)
|
1986-03-03 |
1987-03-31 |
The University Of Delaware |
Apparatus and method for photochemical vapor deposition
|
US4722298A
(en)
|
1986-05-19 |
1988-02-02 |
Machine Technology, Inc. |
Modular processing apparatus for processing semiconductor wafers
|
US4718637A
(en)
|
1986-07-02 |
1988-01-12 |
Mdc Vacuum Products Corporation |
High vacuum gate valve having improved metal vacuum joint
|
US5183511A
(en)
|
1986-07-23 |
1993-02-02 |
Semiconductor Energy Laboratory Co., Ltd. |
Photo CVD apparatus with a glow discharge system
|
US4681134A
(en)
|
1986-07-23 |
1987-07-21 |
Paris Sr Raymond L |
Valve lock
|
US4882199A
(en)
|
1986-08-15 |
1989-11-21 |
Massachusetts Institute Of Technology |
Method of forming a metal coating on a substrate
|
US4753192A
(en)
|
1987-01-08 |
1988-06-28 |
Btu Engineering Corporation |
Movable core fast cool-down furnace
|
US4976996A
(en)
|
1987-02-17 |
1990-12-11 |
Lam Research Corporation |
Chemical vapor deposition reactor and method of use thereof
|
US4821674A
(en)
|
1987-03-31 |
1989-04-18 |
Deboer Wiebe B |
Rotatable substrate supporting mechanism with temperature sensing device for use in chemical vapor deposition equipment
|
US4827430A
(en)
|
1987-05-11 |
1989-05-02 |
Baxter International Inc. |
Flow measurement system
|
US4780169A
(en)
|
1987-05-11 |
1988-10-25 |
Tegal Corporation |
Non-uniform gas inlet for dry etching apparatus
|
US5221556A
(en)
|
1987-06-24 |
1993-06-22 |
Epsilon Technology, Inc. |
Gas injectors for reaction chambers in CVD systems
|
NO161941C
(no)
|
1987-06-25 |
1991-04-30 |
Kvaerner Eng |
Fremgangsmaate ved og anlegg for transport av hydrokarboner over lang avstand fra en hydrokarbonkilde til havs.
|
US5062386A
(en)
|
1987-07-27 |
1991-11-05 |
Epitaxy Systems, Inc. |
Induction heated pancake epitaxial reactor
|
USD327534S
(en)
|
1987-07-30 |
1992-06-30 |
CLM Investments, Inc. |
Floor drain strainer
|
US4854263B1
(en)
|
1987-08-14 |
1997-06-17 |
Applied Materials Inc |
Inlet manifold and methods for increasing gas dissociation and for PECVD of dielectric films
|
US4756794A
(en)
|
1987-08-31 |
1988-07-12 |
The United States Of America As Represented By The Secretary Of The Navy |
Atomic layer etching
|
JPH0648217B2
(ja)
|
1987-12-24 |
1994-06-22 |
川惣電機工業株式会社 |
溶融金属の連続測温装置
|
US5028366A
(en)
|
1988-01-12 |
1991-07-02 |
Air Products And Chemicals, Inc. |
Water based mold release compositions for making molded polyurethane foam
|
FR2628985B1
(fr)
|
1988-03-22 |
1990-12-28 |
Labo Electronique Physique |
Reacteur d'epitaxie a paroi protegee contre les depots
|
US4978567A
(en)
|
1988-03-31 |
1990-12-18 |
Materials Technology Corporation, Subsidiary Of The Carbon/Graphite Group, Inc. |
Wafer holding fixture for chemical reaction processes in rapid thermal processing equipment and method for making same
|
JP2859632B2
(ja)
|
1988-04-14 |
1999-02-17 |
キヤノン株式会社 |
成膜装置及び成膜方法
|
US4857382A
(en)
|
1988-04-26 |
1989-08-15 |
General Electric Company |
Apparatus and method for photoetching of polyimides, polycarbonates and polyetherimides
|
US5178682A
(en)
|
1988-06-21 |
1993-01-12 |
Mitsubishi Denki Kabushiki Kaisha |
Method for forming a thin layer on a semiconductor substrate and apparatus therefor
|
US4986215A
(en)
|
1988-09-01 |
1991-01-22 |
Kyushu Electronic Metal Co., Ltd. |
Susceptor for vapor-phase growth system
|
JP2918892B2
(ja)
|
1988-10-14 |
1999-07-12 |
株式会社日立製作所 |
プラズマエッチング処理方法
|
US4837185A
(en)
|
1988-10-26 |
1989-06-06 |
Intel Corporation |
Pulsed dual radio frequency CVD process
|
US5119760A
(en)
|
1988-12-27 |
1992-06-09 |
Symetrix Corporation |
Methods and apparatus for material deposition
|
JPH0834187B2
(ja)
|
1989-01-13 |
1996-03-29 |
東芝セラミックス株式会社 |
サセプタ
|
US5194401A
(en)
|
1989-04-18 |
1993-03-16 |
Applied Materials, Inc. |
Thermally processing semiconductor wafers at non-ambient pressures
|
JP2543224B2
(ja)
|
1989-04-25 |
1996-10-16 |
松下電子工業株式会社 |
半導体装置とその製造方法
|
US5192717A
(en)
|
1989-04-28 |
1993-03-09 |
Canon Kabushiki Kaisha |
Process for the formation of a polycrystalline semiconductor film by microwave plasma chemical vapor deposition method
|
US5360269A
(en)
|
1989-05-10 |
1994-11-01 |
Tokyo Kogyo Kabushiki Kaisha |
Immersion-type temperature measuring apparatus using thermocouple
|
US4987856A
(en)
|
1989-05-22 |
1991-01-29 |
Advanced Semiconductor Materials America, Inc. |
High throughput multi station processor for multiple single wafers
|
JP2890494B2
(ja)
|
1989-07-11 |
1999-05-17 |
セイコーエプソン株式会社 |
プラズマ薄膜の製造方法
|
US5060322A
(en)
|
1989-07-27 |
1991-10-29 |
Delepine Jean C |
Shower room and ceiling element, especially for a shower room
|
US5013691A
(en)
|
1989-07-31 |
1991-05-07 |
At&T Bell Laboratories |
Anisotropic deposition of silicon dioxide
|
JPH03277774A
(ja)
|
1990-03-27 |
1991-12-09 |
Semiconductor Energy Lab Co Ltd |
光気相反応装置
|
DE4011933C2
(de)
|
1990-04-12 |
1996-11-21 |
Balzers Hochvakuum |
Verfahren zur reaktiven Oberflächenbehandlung eines Werkstückes sowie Behandlungskammer hierfür
|
US5130003A
(en)
|
1990-06-14 |
1992-07-14 |
Conrad Richard H |
method of powering corona discharge in ozone generators
|
US5225366A
(en)
|
1990-06-22 |
1993-07-06 |
The United States Of America As Represented By The Secretary Of The Navy |
Apparatus for and a method of growing thin films of elemental semiconductors
|
KR0176715B1
(ko)
|
1990-07-30 |
1999-04-15 |
오가 노리오 |
드라이에칭방법
|
JPH04115531A
(ja)
|
1990-09-05 |
1992-04-16 |
Mitsubishi Electric Corp |
化学気相成長装置
|
US5167716A
(en)
|
1990-09-28 |
1992-12-01 |
Gasonics, Inc. |
Method and apparatus for batch processing a semiconductor wafer
|
JP2780866B2
(ja)
|
1990-10-11 |
1998-07-30 |
大日本スクリーン製造 株式会社 |
光照射加熱基板の温度測定装置
|
TW214599B
(zh)
|
1990-10-15 |
1993-10-11 |
Seiko Epson Corp |
|
JPH05136218A
(ja)
|
1991-02-19 |
1993-06-01 |
Tokyo Electron Yamanashi Kk |
検査装置
|
JP2740050B2
(ja)
|
1991-03-19 |
1998-04-15 |
株式会社東芝 |
溝埋込み配線形成方法
|
JP3323530B2
(ja)
|
1991-04-04 |
2002-09-09 |
株式会社日立製作所 |
半導体装置の製造方法
|
US5116018A
(en)
|
1991-04-12 |
1992-05-26 |
Automax, Inc. |
Lockout modules
|
US5243195A
(en)
|
1991-04-25 |
1993-09-07 |
Nikon Corporation |
Projection exposure apparatus having an off-axis alignment system and method of alignment therefor
|
US5104514A
(en)
|
1991-05-16 |
1992-04-14 |
The United States Of America As Represented By The Secretary Of The Navy |
Protective coating system for aluminum
|
JP3040212B2
(ja)
|
1991-09-05 |
2000-05-15 |
株式会社東芝 |
気相成長装置
|
EP0533568A1
(en)
|
1991-09-17 |
1993-03-24 |
Sumitomo Electric Industries, Ltd. |
Superconducting thin film formed of oxide superconductor material, superconducting device utilizing the superconducting thin film and method for manufacturing thereof
|
US5199603A
(en)
|
1991-11-26 |
1993-04-06 |
Prescott Norman F |
Delivery system for organometallic compounds
|
US5414221A
(en)
|
1991-12-31 |
1995-05-09 |
Intel Corporation |
Embedded ground plane and shielding structures using sidewall insulators in high frequency circuits having vias
|
US5455069A
(en)
|
1992-06-01 |
1995-10-03 |
Motorola, Inc. |
Method of improving layer uniformity in a CVD reactor
|
KR100293830B1
(ko)
|
1992-06-22 |
2001-09-17 |
리차드 에이치. 로브그렌 |
플라즈마 처리 쳄버내의 잔류물 제거를 위한 플라즈마 정결방법
|
US5534072A
(en)
|
1992-06-24 |
1996-07-09 |
Anelva Corporation |
Integrated module multi-chamber CVD processing system and its method for processing subtrates
|
JP3148004B2
(ja)
|
1992-07-06 |
2001-03-19 |
株式会社東芝 |
光cvd装置及びこれを用いた半導体装置の製造方法
|
US5601641A
(en)
|
1992-07-21 |
1997-02-11 |
Tse Industries, Inc. |
Mold release composition with polybutadiene and method of coating a mold core
|
KR100304127B1
(ko)
|
1992-07-29 |
2001-11-30 |
이노마다 시게오 |
가반식 밀폐 컨테이너를 사용한 전자기판 처리시스템과 그의 장치
|
US5271967A
(en)
|
1992-08-21 |
1993-12-21 |
General Motors Corporation |
Method and apparatus for application of thermal spray coatings to engine blocks
|
US5326427A
(en)
|
1992-09-11 |
1994-07-05 |
Lsi Logic Corporation |
Method of selectively etching titanium-containing materials on a semiconductor wafer using remote plasma generation
|
JPH06295862A
(ja)
|
1992-11-20 |
1994-10-21 |
Mitsubishi Electric Corp |
化合物半導体製造装置及び有機金属材料容器
|
IT1257434B
(it)
|
1992-12-04 |
1996-01-17 |
Cselt Centro Studi Lab Telecom |
Generatore di vapori per impianti di deposizione chimica da fase vapore
|
KR100238629B1
(ko)
|
1992-12-17 |
2000-01-15 |
히가시 데쓰로 |
정전척을 가지는 재치대 및 이것을 이용한 플라즈마 처리장치
|
US5453124A
(en)
|
1992-12-30 |
1995-09-26 |
Texas Instruments Incorporated |
Programmable multizone gas injector for single-wafer semiconductor processing equipment
|
US5444217A
(en)
|
1993-01-21 |
1995-08-22 |
Moore Epitaxial Inc. |
Rapid thermal processing apparatus for processing semiconductor wafers
|
US5421893A
(en)
|
1993-02-26 |
1995-06-06 |
Applied Materials, Inc. |
Susceptor drive and wafer displacement mechanism
|
DE4311197A1
(de)
|
1993-04-05 |
1994-10-06 |
Patent Treuhand Ges Fuer Elektrische Gluehlampen Mbh |
Verfahren zum Betreiben einer inkohärent strahlenden Lichtquelle
|
JPH06310438A
(ja)
|
1993-04-22 |
1994-11-04 |
Mitsubishi Electric Corp |
化合物半導体気相成長用基板ホルダおよび化合物半導体気相成長装置
|
US5404082A
(en)
|
1993-04-23 |
1995-04-04 |
North American Philips Corporation |
High frequency inverter with power-line-controlled frequency modulation
|
US5354580A
(en)
|
1993-06-08 |
1994-10-11 |
Cvd Incorporated |
Triangular deposition chamber for a vapor deposition system
|
JPH0799162A
(ja)
|
1993-06-21 |
1995-04-11 |
Hitachi Ltd |
Cvdリアクタ装置
|
DE69415408T2
(de)
|
1993-06-28 |
1999-06-10 |
Canon K.K., Tokio/Tokyo |
Wärmeerzeugender, TaNO.8 enthaltender Widerstand, Substrat mit diesem wärmeerzeugenden Widerstand für Flüssigkeitsstrahlkopf, Flüssigkeitsstrahlkopf mit diesem Substrat, und Gerät für einen Flüssigkeitsstrahl mit diesem Flüssigkeitsstrahlkopf
|
US5997768A
(en)
|
1993-06-29 |
1999-12-07 |
Ciba Specialty Chemicals Corporation |
Pelletization of metal soap powders
|
JP3667781B2
(ja)
|
1993-07-16 |
2005-07-06 |
株式会社日立製作所 |
エンジンシステムの診断装置
|
US5415753A
(en)
|
1993-07-22 |
1995-05-16 |
Materials Research Corporation |
Stationary aperture plate for reactive sputter deposition
|
US6122036A
(en)
|
1993-10-21 |
2000-09-19 |
Nikon Corporation |
Projection exposure apparatus and method
|
US5413813A
(en)
|
1993-11-23 |
1995-05-09 |
Enichem S.P.A. |
CVD of silicon-based ceramic materials on internal surface of a reactor
|
US5616947A
(en)
|
1994-02-01 |
1997-04-01 |
Matsushita Electric Industrial Co., Ltd. |
Semiconductor device having an MIS structure
|
US5681779A
(en)
|
1994-02-04 |
1997-10-28 |
Lsi Logic Corporation |
Method of doping metal layers for electromigration resistance
|
US5589002A
(en)
|
1994-03-24 |
1996-12-31 |
Applied Materials, Inc. |
Gas distribution plate for semiconductor wafer processing apparatus with means for inhibiting arcing
|
JP3211548B2
(ja)
|
1994-03-30 |
2001-09-25 |
ウシオ電機株式会社 |
誘電体バリア放電蛍光ランプ
|
JPH07283149A
(ja)
|
1994-04-04 |
1995-10-27 |
Nissin Electric Co Ltd |
薄膜気相成長装置
|
US5531835A
(en)
|
1994-05-18 |
1996-07-02 |
Applied Materials, Inc. |
Patterned susceptor to reduce electrostatic force in a CVD chamber
|
KR100306527B1
(ko)
|
1994-06-15 |
2002-06-26 |
구사마 사부로 |
박막반도체장치의제조방법,박막반도체장치
|
US5504042A
(en)
|
1994-06-23 |
1996-04-02 |
Texas Instruments Incorporated |
Porous dielectric material with improved pore surface properties for electronics applications
|
JP2709568B2
(ja)
|
1994-06-30 |
1998-02-04 |
日本プレシジョン・サーキッツ株式会社 |
ダウンフロー型スピンドライヤ
|
US5838029A
(en)
|
1994-08-22 |
1998-11-17 |
Rohm Co., Ltd. |
GaN-type light emitting device formed on a silicon substrate
|
US5730801A
(en)
|
1994-08-23 |
1998-03-24 |
Applied Materials, Inc. |
Compartnetalized substrate processing chamber
|
JP3632256B2
(ja)
|
1994-09-30 |
2005-03-23 |
株式会社デンソー |
窒化シリコン膜を有する半導体装置の製造方法
|
JP2845163B2
(ja)
|
1994-10-27 |
1999-01-13 |
日本電気株式会社 |
プラズマ処理方法及びその装置
|
US6699530B2
(en)
|
1995-07-06 |
2004-03-02 |
Applied Materials, Inc. |
Method for constructing a film on a semiconductor wafer
|
FI97730C
(fi)
|
1994-11-28 |
1997-02-10 |
Mikrokemia Oy |
Laitteisto ohutkalvojen valmistamiseksi
|
JPH08181135A
(ja)
|
1994-12-22 |
1996-07-12 |
Sharp Corp |
半導体装置の製造方法
|
JP3151118B2
(ja)
|
1995-03-01 |
2001-04-03 |
東京エレクトロン株式会社 |
熱処理装置
|
US5518549A
(en)
|
1995-04-18 |
1996-05-21 |
Memc Electronic Materials, Inc. |
Susceptor and baffle therefor
|
JP3360098B2
(ja)
|
1995-04-20 |
2002-12-24 |
東京エレクトロン株式会社 |
処理装置のシャワーヘッド構造
|
US5852879A
(en)
|
1995-04-26 |
1998-12-29 |
Schumaier; Daniel R. |
Moisture sensitive item drying appliance
|
SE506163C2
(sv)
|
1995-04-27 |
1997-11-17 |
Ericsson Telefon Ab L M |
Anordning vid ett kiselsubstrat med ett urtag för upptagande av ett element jämte förfarande för framställande av en dylik anordning
|
US5683517A
(en)
|
1995-06-07 |
1997-11-04 |
Applied Materials, Inc. |
Plasma reactor with programmable reactant gas distribution
|
US6190634B1
(en)
|
1995-06-07 |
2001-02-20 |
President And Fellows Of Harvard College |
Carbide nanomaterials
|
JPH08335558A
(ja)
|
1995-06-08 |
1996-12-17 |
Nissin Electric Co Ltd |
薄膜気相成長装置
|
JP3380091B2
(ja)
|
1995-06-09 |
2003-02-24 |
株式会社荏原製作所 |
反応ガス噴射ヘッド及び薄膜気相成長装置
|
US20020114886A1
(en)
|
1995-07-06 |
2002-08-22 |
Applied Materials, Inc. |
Method of tisin deposition using a chemical vapor deposition process
|
NO953217L
(no)
|
1995-08-16 |
1997-02-17 |
Aker Eng As |
Metode og innretning ved rörbunter
|
US6113702A
(en)
|
1995-09-01 |
2000-09-05 |
Asm America, Inc. |
Wafer support system
|
US5997588A
(en)
|
1995-10-13 |
1999-12-07 |
Advanced Semiconductor Materials America, Inc. |
Semiconductor processing system with gas curtain
|
US5801104A
(en)
|
1995-10-24 |
1998-09-01 |
Micron Technology, Inc. |
Uniform dielectric film deposition on textured surfaces
|
KR100201386B1
(ko)
|
1995-10-28 |
1999-06-15 |
구본준 |
화학기상증착장비의 반응가스 분사장치
|
US5736314A
(en)
|
1995-11-16 |
1998-04-07 |
Microfab Technologies, Inc. |
Inline thermo-cycler
|
US5796074A
(en)
|
1995-11-28 |
1998-08-18 |
Applied Materials, Inc. |
Wafer heater assembly
|
JPH09172055A
(ja)
|
1995-12-19 |
1997-06-30 |
Fujitsu Ltd |
静電チャック及びウエハの吸着方法
|
KR100267418B1
(ko)
|
1995-12-28 |
2000-10-16 |
엔도 마코토 |
플라스마처리방법및플라스마처리장치
|
US5679215A
(en)
|
1996-01-02 |
1997-10-21 |
Lam Research Corporation |
Method of in situ cleaning a vacuum plasma processing chamber
|
US6017818A
(en)
|
1996-01-22 |
2000-01-25 |
Texas Instruments Incorporated |
Process for fabricating conformal Ti-Si-N and Ti-B-N based barrier films with low defect density
|
US5632919A
(en)
|
1996-01-25 |
1997-05-27 |
T.G.M., Inc. |
Temperature controlled insulation system
|
SE9600705D0
(sv)
|
1996-02-26 |
1996-02-26 |
Abb Research Ltd |
A susceptor for a device for epitaxially growing objects and such a device
|
US5837320A
(en)
|
1996-02-27 |
1998-11-17 |
The University Of New Mexico |
Chemical vapor deposition of metal sulfide films from metal thiocarboxylate complexes with monodenate or multidentate ligands
|
US5732744A
(en)
|
1996-03-08 |
1998-03-31 |
Control Systems, Inc. |
Method and apparatus for aligning and supporting semiconductor process gas delivery and regulation components
|
DE19609678C2
(de)
|
1996-03-12 |
2003-04-17 |
Infineon Technologies Ag |
Speicherzellenanordnung mit streifenförmigen, parallel verlaufenden Gräben und vertikalen MOS-Transistoren und Verfahren zu deren Herstellung
|
USD380527S
(en)
|
1996-03-19 |
1997-07-01 |
Cherle Velez |
Sink drain shield
|
US5819434A
(en)
|
1996-04-25 |
1998-10-13 |
Applied Materials, Inc. |
Etch enhancement using an improved gas distribution plate
|
US5920798A
(en)
|
1996-05-28 |
1999-07-06 |
Matsushita Battery Industrial Co., Ltd. |
Method of preparing a semiconductor layer for an optical transforming device
|
US6342277B1
(en)
|
1996-08-16 |
2002-01-29 |
Licensee For Microelectronics: Asm America, Inc. |
Sequential chemical vapor deposition
|
US5993916A
(en)
|
1996-07-12 |
1999-11-30 |
Applied Materials, Inc. |
Method for substrate processing with improved throughput and yield
|
US5827757A
(en)
|
1996-07-16 |
1998-10-27 |
Direct Radiography Corp. |
Fabrication of large area x-ray image capturing element
|
US5781693A
(en)
|
1996-07-24 |
1998-07-14 |
Applied Materials, Inc. |
Gas introduction showerhead for an RTP chamber with upper and lower transparent plates and gas flow therebetween
|
US5724748A
(en)
|
1996-07-24 |
1998-03-10 |
Brooks; Ray G. |
Apparatus for packaging contaminant-sensitive articles and resulting package
|
KR0183912B1
(ko)
|
1996-08-08 |
1999-05-01 |
김광호 |
다중 반응 챔버에 연결된 펌핑 설비 및 이를 사용하는 방법
|
US5916365A
(en)
|
1996-08-16 |
1999-06-29 |
Sherman; Arthur |
Sequential chemical vapor deposition
|
JP3122618B2
(ja)
|
1996-08-23 |
2001-01-09 |
東京エレクトロン株式会社 |
プラズマ処理装置
|
US6048154A
(en)
|
1996-10-02 |
2000-04-11 |
Applied Materials, Inc. |
High vacuum dual stage load lock and method for loading and unloading wafers using a high vacuum dual stage load lock
|
US5928389A
(en)
|
1996-10-21 |
1999-07-27 |
Applied Materials, Inc. |
Method and apparatus for priority based scheduling of wafer processing within a multiple chamber semiconductor wafer processing tool
|
US6347636B1
(en)
|
1996-11-13 |
2002-02-19 |
Applied Materials, Inc. |
Methods and apparatus for gettering fluorine from chamber material surfaces
|
US5855681A
(en)
|
1996-11-18 |
1999-01-05 |
Applied Materials, Inc. |
Ultra high throughput wafer vacuum processing system
|
US5836483A
(en)
|
1997-02-05 |
1998-11-17 |
Aerotech Dental Systems, Inc. |
Self-regulating fluid dispensing cap with safety pressure relief valve for dental/medical unit fluid bottles
|
US6367410B1
(en)
|
1996-12-16 |
2002-04-09 |
Applied Materials, Inc. |
Closed-loop dome thermal control apparatus for a semiconductor wafer processing system
|
US5953635A
(en)
|
1996-12-19 |
1999-09-14 |
Intel Corporation |
Interlayer dielectric with a composite dielectric stack
|
US6035101A
(en)
|
1997-02-12 |
2000-03-07 |
Applied Materials, Inc. |
High temperature multi-layered alloy heater assembly and related methods
|
US6461982B2
(en)
|
1997-02-27 |
2002-10-08 |
Micron Technology, Inc. |
Methods for forming a dielectric film
|
JPH10261620A
(ja)
|
1997-03-19 |
1998-09-29 |
Hitachi Ltd |
表面処理装置
|
JP3752578B2
(ja)
|
1997-04-21 |
2006-03-08 |
株式会社フジキン |
流体制御器用加熱装置
|
US6026762A
(en)
|
1997-04-23 |
2000-02-22 |
Applied Materials, Inc. |
Apparatus for improved remote microwave plasma source for use with substrate processing systems
|
JP3230051B2
(ja)
|
1997-05-16 |
2001-11-19 |
東京エレクトロン株式会社 |
乾燥処理方法及びその装置
|
JPH1144799A
(ja)
|
1997-05-27 |
1999-02-16 |
Ushio Inc |
光路分割型紫外線照射装置
|
US5937323A
(en)
|
1997-06-03 |
1999-08-10 |
Applied Materials, Inc. |
Sequencing of the recipe steps for the optimal low-k HDP-CVD processing
|
US6201999B1
(en)
|
1997-06-09 |
2001-03-13 |
Applied Materials, Inc. |
Method and apparatus for automatically generating schedules for wafer processing within a multichamber semiconductor wafer processing tool
|
US5968275A
(en)
|
1997-06-25 |
1999-10-19 |
Lam Research Corporation |
Methods and apparatus for passivating a substrate in a plasma reactor
|
US6531193B2
(en)
|
1997-07-07 |
2003-03-11 |
The Penn State Research Foundation |
Low temperature, high quality silicon dioxide thin films deposited using tetramethylsilane (TMS) for stress control and coverage applications
|
US6576064B2
(en)
|
1997-07-10 |
2003-06-10 |
Sandia Corporation |
Support apparatus for semiconductor wafer processing
|
US6312525B1
(en)
|
1997-07-11 |
2001-11-06 |
Applied Materials, Inc. |
Modular architecture for semiconductor wafer fabrication equipment
|
US6024799A
(en)
|
1997-07-11 |
2000-02-15 |
Applied Materials, Inc. |
Chemical vapor deposition manifold
|
US6083321A
(en)
|
1997-07-11 |
2000-07-04 |
Applied Materials, Inc. |
Fluid delivery system and method
|
US5975492A
(en)
|
1997-07-14 |
1999-11-02 |
Brenes; Arthur |
Bellows driver slot valve
|
US6099596A
(en)
|
1997-07-23 |
2000-08-08 |
Applied Materials, Inc. |
Wafer out-of-pocket detection tool
|
US6013553A
(en)
|
1997-07-24 |
2000-01-11 |
Texas Instruments Incorporated |
Zirconium and/or hafnium oxynitride gate dielectric
|
US6287965B1
(en)
|
1997-07-28 |
2001-09-11 |
Samsung Electronics Co, Ltd. |
Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
|
KR100385946B1
(ko)
|
1999-12-08 |
2003-06-02 |
삼성전자주식회사 |
원자층 증착법을 이용한 금속층 형성방법 및 그 금속층을장벽금속층, 커패시터의 상부전극, 또는 하부전극으로구비한 반도체 소자
|
US7393561B2
(en)
|
1997-08-11 |
2008-07-01 |
Applied Materials, Inc. |
Method and apparatus for layer by layer deposition of thin films
|
JP3317209B2
(ja)
|
1997-08-12 |
2002-08-26 |
東京エレクトロンエイ・ティー株式会社 |
プラズマ処理装置及びプラズマ処理方法
|
US6242359B1
(en)
|
1997-08-20 |
2001-06-05 |
Air Liquide America Corporation |
Plasma cleaning and etching methods using non-global-warming compounds
|
US6161500A
(en)
|
1997-09-30 |
2000-12-19 |
Tokyo Electron Limited |
Apparatus and method for preventing the premature mixture of reactant gases in CVD and PECVD reactions
|
US6624064B1
(en)
|
1997-10-10 |
2003-09-23 |
Applied Materials, Inc. |
Chamber seasoning method to improve adhesion of F-containing dielectric film to metal for VLSI application
|
US5908672A
(en)
|
1997-10-15 |
1999-06-01 |
Applied Materials, Inc. |
Method and apparatus for depositing a planarized passivation layer
|
KR20010031714A
(ko)
|
1997-11-03 |
2001-04-16 |
러셀 엔. 페어뱅크스, 쥬니어 |
수명이 긴 고온 공정 챔버
|
JP3050193B2
(ja)
|
1997-11-12 |
2000-06-12 |
日本電気株式会社 |
半導体装置及びその製造方法
|
US6068441A
(en)
|
1997-11-21 |
2000-05-30 |
Asm America, Inc. |
Substrate transfer system for semiconductor processing equipment
|
EP2099061A3
(en)
|
1997-11-28 |
2013-06-12 |
Mattson Technology, Inc. |
Systems and methods for low contamination, high throughput handling of workpieces for vacuum processing
|
US6248168B1
(en)
|
1997-12-15 |
2001-06-19 |
Tokyo Electron Limited |
Spin coating apparatus including aging unit and solvent replacement unit
|
KR100249391B1
(ko)
|
1997-12-30 |
2000-03-15 |
김영환 |
가열장치
|
USD409894S
(en)
|
1997-12-30 |
1999-05-18 |
Mcclurg Ben B |
Sheet rock plug
|
US6125789A
(en)
|
1998-01-30 |
2000-10-03 |
Applied Materials, Inc. |
Increasing the sensitivity of an in-situ particle monitor
|
US6383955B1
(en)
|
1998-02-05 |
2002-05-07 |
Asm Japan K.K. |
Silicone polymer insulation film on semiconductor substrate and method for forming the film
|
TW437017B
(en)
|
1998-02-05 |
2001-05-28 |
Asm Japan Kk |
Silicone polymer insulation film on semiconductor substrate and method for formation thereof
|
US6413583B1
(en)
|
1998-02-11 |
2002-07-02 |
Applied Materials, Inc. |
Formation of a liquid-like silica layer by reaction of an organosilicon compound and a hydroxyl forming compound
|
US6303523B2
(en)
|
1998-02-11 |
2001-10-16 |
Applied Materials, Inc. |
Plasma processes for depositing low dielectric constant films
|
US6050506A
(en)
|
1998-02-13 |
2000-04-18 |
Applied Materials, Inc. |
Pattern of apertures in a showerhead for chemical vapor deposition
|
US6072163A
(en)
|
1998-03-05 |
2000-06-06 |
Fsi International Inc. |
Combination bake/chill apparatus incorporating low thermal mass, thermally conductive bakeplate
|
US6015465A
(en)
|
1998-04-08 |
2000-01-18 |
Applied Materials, Inc. |
Temperature control system for semiconductor process chamber
|
US6218288B1
(en)
|
1998-05-11 |
2001-04-17 |
Micron Technology, Inc. |
Multiple step methods for forming conformal layers
|
KR100309918B1
(ko)
|
1998-05-16 |
2001-12-17 |
윤종용 |
광시야각액정표시장치및그제조방법
|
JP3208376B2
(ja)
|
1998-05-20 |
2001-09-10 |
株式会社半導体プロセス研究所 |
成膜方法及び半導体装置の製造方法
|
JPH11343571A
(ja)
|
1998-05-29 |
1999-12-14 |
Ngk Insulators Ltd |
サセプター
|
JPH11354637A
(ja)
|
1998-06-11 |
1999-12-24 |
Oki Electric Ind Co Ltd |
配線の接続構造及び配線の接続部の形成方法
|
US6148761A
(en)
|
1998-06-16 |
2000-11-21 |
Applied Materials, Inc. |
Dual channel gas distribution plate
|
US6302964B1
(en)
|
1998-06-16 |
2001-10-16 |
Applied Materials, Inc. |
One-piece dual gas faceplate for a showerhead in a semiconductor wafer processing system
|
US6086677A
(en)
|
1998-06-16 |
2000-07-11 |
Applied Materials, Inc. |
Dual gas faceplate for a showerhead in a semiconductor wafer processing system
|
JP2963443B1
(ja)
|
1998-06-19 |
1999-10-18 |
キヤノン販売株式会社 |
半導体装置の製造装置
|
KR20000002833A
(ko)
|
1998-06-23 |
2000-01-15 |
윤종용 |
반도체 웨이퍼 보트
|
US6232248B1
(en)
|
1998-07-03 |
2001-05-15 |
Tokyo Electron Limited |
Single-substrate-heat-processing method for performing reformation and crystallization
|
JP2000040728A
(ja)
|
1998-07-22 |
2000-02-08 |
Nippon Asm Kk |
ウェハ搬送機構
|
US20010001384A1
(en)
|
1998-07-29 |
2001-05-24 |
Takeshi Arai |
Silicon epitaxial wafer and production method therefor
|
US6190732B1
(en)
|
1998-09-03 |
2001-02-20 |
Cvc Products, Inc. |
Method and system for dispensing process gas for fabricating a device on a substrate
|
USD451893S1
(en)
|
1998-10-15 |
2001-12-11 |
Meto International Gmbh |
Arrangement of aluminum foil coils forming an inductor of a resonant frequency identification element
|
US6454860B2
(en)
|
1998-10-27 |
2002-09-24 |
Applied Materials, Inc. |
Deposition reactor having vaporizing, mixing and cleaning capabilities
|
JP2000150617A
(ja)
|
1998-11-17 |
2000-05-30 |
Tokyo Electron Ltd |
搬送装置
|
JP2000183346A
(ja)
|
1998-12-15 |
2000-06-30 |
Toshiba Corp |
半導体装置及びその製造方法
|
US6129954A
(en)
|
1998-12-22 |
2000-10-10 |
General Electric Company |
Method for thermally spraying crack-free mullite coatings on ceramic-based substrates
|
US6137240A
(en)
|
1998-12-31 |
2000-10-24 |
Lumion Corporation |
Universal ballast control circuit
|
KR100331544B1
(ko)
|
1999-01-18 |
2002-04-06 |
윤종용 |
반응챔버에 가스를 유입하는 방법 및 이에 사용되는 샤워헤드
|
TW455912B
(en)
|
1999-01-22 |
2001-09-21 |
Sony Corp |
Method and apparatus for film deposition
|
US6044860A
(en)
|
1999-02-01 |
2000-04-04 |
Spx Corporation |
Adjustable lockout device for knife gate valves
|
US6374831B1
(en)
|
1999-02-04 |
2002-04-23 |
Applied Materials, Inc. |
Accelerated plasma clean
|
IT1308606B1
(it)
|
1999-02-12 |
2002-01-08 |
Lpe Spa |
Dispositivo per maneggiare substrati mediante un istema autolivellante a depressione in reattori epistassiali ad induzione con suscettore
|
WO2000047404A1
(en)
|
1999-02-12 |
2000-08-17 |
Gelest, Inc. |
Chemical vapor deposition of tungsten nitride
|
US6250250B1
(en)
|
1999-03-18 |
2001-06-26 |
Yuri Maishev |
Multiple-cell source of uniform plasma
|
JP3250154B2
(ja)
|
1999-03-31 |
2002-01-28 |
株式会社スーパーシリコン研究所 |
半導体ウエハ製造装置
|
JP3398936B2
(ja)
|
1999-04-09 |
2003-04-21 |
日本エー・エス・エム株式会社 |
半導体処理装置
|
US6326597B1
(en)
|
1999-04-15 |
2001-12-04 |
Applied Materials, Inc. |
Temperature control system for process chamber
|
KR100347379B1
(ko)
|
1999-05-01 |
2002-08-07 |
주식회사 피케이엘 |
복수매 기판의 박막 증착 공정이 가능한 원자층 증착장치
|
JP3072989B1
(ja)
|
1999-05-14 |
2000-08-07 |
日本エー・エス・エム株式会社 |
半導体基板上に薄膜を形成する成膜装置における成膜方法
|
JP4294791B2
(ja)
|
1999-05-17 |
2009-07-15 |
アプライド マテリアルズ インコーポレイテッド |
半導体製造装置
|
JP3668079B2
(ja)
|
1999-05-31 |
2005-07-06 |
忠弘 大見 |
プラズマプロセス装置
|
US6656281B1
(en)
|
1999-06-09 |
2003-12-02 |
Tokyo Electron Limited |
Substrate processing apparatus and substrate processing method
|
US6281098B1
(en)
|
1999-06-15 |
2001-08-28 |
Midwest Research Institute |
Process for Polycrystalline film silicon growth
|
US6821571B2
(en)
|
1999-06-18 |
2004-11-23 |
Applied Materials Inc. |
Plasma treatment to enhance adhesion and to minimize oxidation of carbon-containing layers
|
FR2795745B1
(fr)
|
1999-06-30 |
2001-08-03 |
Saint Gobain Vitrage |
Procede de depot d'une couche a base de tungstene et/ou de molybdene sur un substrat verrier, ceramique ou vitroceramique, et substrat ainsi revetu
|
JP3252835B2
(ja)
|
1999-07-02 |
2002-02-04 |
松下電器産業株式会社 |
半導体装置およびその製造方法
|
JP2001023955A
(ja)
|
1999-07-07 |
2001-01-26 |
Mitsubishi Electric Corp |
プラズマ処理装置
|
US6368988B1
(en)
|
1999-07-16 |
2002-04-09 |
Micron Technology, Inc. |
Combined gate cap or digit line and spacer deposition using HDP
|
EP1077479A1
(en)
|
1999-08-17 |
2001-02-21 |
Applied Materials, Inc. |
Post-deposition treatment to enchance properties of Si-O-C low K film
|
US6579833B1
(en)
|
1999-09-01 |
2003-06-17 |
The Board Of Trustees Of The University Of Illinois |
Process for converting a metal carbide to carbon by etching in halogens
|
US6429146B2
(en)
|
1999-09-02 |
2002-08-06 |
Micron Technology, Inc. |
Wafer planarization using a uniform layer of material and method and apparatus for forming uniform layer of material used in semiconductor processing
|
JP2001077088A
(ja)
|
1999-09-02 |
2001-03-23 |
Tokyo Electron Ltd |
プラズマ処理装置
|
US6511539B1
(en)
|
1999-09-08 |
2003-01-28 |
Asm America, Inc. |
Apparatus and method for growth of a thin film
|
US6355153B1
(en)
|
1999-09-17 |
2002-03-12 |
Nutool, Inc. |
Chip interconnect and packaging deposition methods and structures
|
US6420792B1
(en)
|
1999-09-24 |
2002-07-16 |
Texas Instruments Incorporated |
Semiconductor wafer edge marking
|
US6740853B1
(en)
|
1999-09-29 |
2004-05-25 |
Tokyo Electron Limited |
Multi-zone resistance heater
|
US6475276B1
(en)
|
1999-10-15 |
2002-11-05 |
Asm Microchemistry Oy |
Production of elemental thin films using a boron-containing reducing agent
|
JP4209057B2
(ja)
|
1999-12-01 |
2009-01-14 |
東京エレクトロン株式会社 |
セラミックスヒーターならびにそれを用いた基板処理装置および基板処理方法
|
KR100369324B1
(ko)
|
1999-12-02 |
2003-01-24 |
한국전자통신연구원 |
평면형 마이크로 공동구조 제조 방법
|
FI118804B
(fi)
|
1999-12-03 |
2008-03-31 |
Asm Int |
Menetelmä oksidikalvojen kasvattamiseksi
|
JP3810604B2
(ja)
|
1999-12-21 |
2006-08-16 |
Smc株式会社 |
ゲートバルブ
|
JP2001176952A
(ja)
|
1999-12-21 |
2001-06-29 |
Toshiba Mach Co Ltd |
ウェーハ位置ずれ検出装置
|
US6576062B2
(en)
|
2000-01-06 |
2003-06-10 |
Tokyo Electron Limited |
Film forming apparatus and film forming method
|
US6541367B1
(en)
|
2000-01-18 |
2003-04-01 |
Applied Materials, Inc. |
Very low dielectric constant plasma-enhanced CVD films
|
KR100767762B1
(ko)
|
2000-01-18 |
2007-10-17 |
에이에스엠 저펜 가부시기가이샤 |
자가 세정을 위한 원격 플라즈마 소스를 구비한 cvd 반도체 공정장치
|
US6475930B1
(en)
|
2000-01-31 |
2002-11-05 |
Motorola, Inc. |
UV cure process and tool for low k film formation
|
US6436819B1
(en)
|
2000-02-01 |
2002-08-20 |
Applied Materials, Inc. |
Nitrogen treatment of a metal nitride/metal stack
|
US6191399B1
(en)
|
2000-02-01 |
2001-02-20 |
Asm America, Inc. |
System of controlling the temperature of a processing chamber
|
US6521046B2
(en)
|
2000-02-04 |
2003-02-18 |
Kabushiki Kaisha Kobe Seiko Sho |
Chamber material made of Al alloy and heater block
|
US6372583B1
(en)
|
2000-02-09 |
2002-04-16 |
Intel Corporation |
Process for making semiconductor device with epitaxially grown source and drain
|
US6407435B1
(en)
|
2000-02-11 |
2002-06-18 |
Sharp Laboratories Of America, Inc. |
Multilayer dielectric stack and method
|
US6573030B1
(en)
|
2000-02-17 |
2003-06-03 |
Applied Materials, Inc. |
Method for depositing an amorphous carbon layer
|
TW476996B
(en)
|
2000-02-28 |
2002-02-21 |
Mitsubishi Material Silicon |
Semiconductor manufacturing method and semiconductor manufacturing apparatus
|
US6846711B2
(en)
|
2000-03-02 |
2005-01-25 |
Tokyo Electron Limited |
Method of making a metal oxide capacitor, including a barrier film
|
AU2001245388A1
(en)
|
2000-03-07 |
2001-09-17 |
Asm America, Inc. |
Graded thin films
|
US6759098B2
(en)
|
2000-03-20 |
2004-07-06 |
Axcelis Technologies, Inc. |
Plasma curing of MSQ-based porous low-k film materials
|
US6913796B2
(en)
|
2000-03-20 |
2005-07-05 |
Axcelis Technologies, Inc. |
Plasma curing process for porous low-k materials
|
US6576300B1
(en)
|
2000-03-20 |
2003-06-10 |
Dow Corning Corporation |
High modulus, low dielectric constant coatings
|
US6558755B2
(en)
|
2000-03-20 |
2003-05-06 |
Dow Corning Corporation |
Plasma curing process for porous silica thin film
|
US6598559B1
(en)
|
2000-03-24 |
2003-07-29 |
Applied Materials, Inc. |
Temperature controlled chamber
|
JP2001342570A
(ja)
|
2000-03-30 |
2001-12-14 |
Hitachi Kokusai Electric Inc |
半導体装置の製造方法および半導体製造装置
|
AU2001247685A1
(en)
|
2000-03-30 |
2001-10-15 |
Tokyo Electron Limited |
Method of and apparatus for tunable gas injection in a plasma processing system
|
JP2001345263A
(ja)
|
2000-03-31 |
2001-12-14 |
Nikon Corp |
露光装置及び露光方法、並びにデバイス製造方法
|
FI117979B
(fi)
|
2000-04-14 |
2007-05-15 |
Asm Int |
Menetelmä oksidiohutkalvojen valmistamiseksi
|
US6329297B1
(en)
|
2000-04-21 |
2001-12-11 |
Applied Materials, Inc. |
Dilute remote plasma clean
|
US6635117B1
(en)
|
2000-04-26 |
2003-10-21 |
Axcelis Technologies, Inc. |
Actively-cooled distribution plate for reducing reactive gas temperature in a plasma processing system
|
US6387207B1
(en)
|
2000-04-28 |
2002-05-14 |
Applied Materials, Inc. |
Integration of remote plasma generator with semiconductor processing chamber
|
KR100367662B1
(ko)
|
2000-05-02 |
2003-01-10 |
주식회사 셈테크놀러지 |
하이퍼서멀 중성입자 발생 장치 및 이를 채용하는 중성입자 처리 장치
|
DE10021871A1
(de)
|
2000-05-05 |
2001-11-15 |
Infineon Technologies Ag |
Verfahren zum Herstellen einer Barriereschicht in einem elektronischen Bauelement und Verfahren zum Herstellen eines elektronischen Bauelements mit einer Barriereschicht
|
JP3448737B2
(ja)
|
2000-05-25 |
2003-09-22 |
住友重機械工業株式会社 |
ウエハーチャック用冷却板及びウエハーチャック
|
EP2293322A1
(en)
|
2000-06-08 |
2011-03-09 |
Genitech, Inc. |
Method for forming a metal nitride layer
|
KR100406173B1
(ko)
|
2000-06-13 |
2003-11-19 |
주식회사 하이닉스반도체 |
촉매 분사 수단을 구비한 히터 블록
|
US6863019B2
(en)
|
2000-06-13 |
2005-03-08 |
Applied Materials, Inc. |
Semiconductor device fabrication chamber cleaning method and apparatus with recirculation of cleaning gas
|
US6461435B1
(en)
|
2000-06-22 |
2002-10-08 |
Applied Materials, Inc. |
Showerhead with reduced contact area
|
KR100467366B1
(ko)
|
2000-06-30 |
2005-01-24 |
주식회사 하이닉스반도체 |
원자층 증착법을 이용한 지르코늄산화막 형성방법
|
US6874480B1
(en)
|
2000-07-03 |
2005-04-05 |
Combustion Dynamics Corp. |
Flow meter
|
AU2001288225A1
(en)
|
2000-07-24 |
2002-02-05 |
The University Of Maryland College Park |
Spatially programmable microelectronics process equipment using segmented gas injection showerhead with exhaust gas recirculation
|
US7223676B2
(en)
|
2002-06-05 |
2007-05-29 |
Applied Materials, Inc. |
Very low temperature CVD process with independently variable conformality, stress and composition of the CVD layer
|
US7166524B2
(en)
|
2000-08-11 |
2007-01-23 |
Applied Materials, Inc. |
Method for ion implanting insulator material to reduce dielectric constant
|
US6784108B1
(en)
|
2000-08-31 |
2004-08-31 |
Micron Technology, Inc. |
Gas pulsing for etch profile control
|
USD449873S1
(en)
|
2000-09-22 |
2001-10-30 |
James Bronson |
Garbage disposal strainer and splash guard
|
US6494065B2
(en)
|
2000-09-26 |
2002-12-17 |
Babbitt Steam Specialty Company |
Valve lockout/tag out system
|
US6370796B1
(en)
|
2000-09-29 |
2002-04-16 |
Sony Corporation |
Heater block cooling system for wafer processing apparatus
|
AU146326S
(en)
|
2000-09-29 |
2001-12-18 |
American Standard Int Inc |
Faucet
|
US6578893B2
(en)
|
2000-10-02 |
2003-06-17 |
Ajs Automation, Inc. |
Apparatus and methods for handling semiconductor wafers
|
JP2002110570A
(ja)
|
2000-10-04 |
2002-04-12 |
Asm Japan Kk |
半導体製造装置用ガスラインシステム
|
US6660660B2
(en)
|
2000-10-10 |
2003-12-09 |
Asm International, Nv. |
Methods for making a dielectric stack in an integrated circuit
|
US7204887B2
(en)
|
2000-10-16 |
2007-04-17 |
Nippon Steel Corporation |
Wafer holding, wafer support member, wafer boat and heat treatment furnace
|
FI118014B
(fi)
|
2000-10-23 |
2007-05-31 |
Asm Int |
Menetelmä alumiinioksidiohutkalvojen valmistamiseksi matalissa lämpötiloissa
|
JP4156788B2
(ja)
|
2000-10-23 |
2008-09-24 |
日本碍子株式会社 |
半導体製造装置用サセプター
|
US6824665B2
(en)
|
2000-10-25 |
2004-11-30 |
Shipley Company, L.L.C. |
Seed layer deposition
|
US6688784B1
(en)
|
2000-10-25 |
2004-02-10 |
Advanced Micro Devices, Inc. |
Parallel plate development with multiple holes in top plate for control of developer flow and pressure
|
US6445574B1
(en)
|
2000-10-30 |
2002-09-03 |
Motorola, Inc. |
Electronic device
|
JP2002158178A
(ja)
|
2000-11-21 |
2002-05-31 |
Hitachi Kokusai Electric Inc |
基板処理装置および半導体装置の製造方法
|
US6689220B1
(en)
|
2000-11-22 |
2004-02-10 |
Simplus Systems Corporation |
Plasma enhanced pulsed layer deposition
|
US6613695B2
(en)
|
2000-11-24 |
2003-09-02 |
Asm America, Inc. |
Surface preparation prior to deposition
|
US20020064592A1
(en)
|
2000-11-29 |
2002-05-30 |
Madhav Datta |
Electroless method of seed layer depostion, repair, and fabrication of Cu interconnects
|
US7208428B2
(en)
|
2000-12-05 |
2007-04-24 |
Tokyo Electron Limited |
Method and apparatus for treating article to be treated
|
KR100385947B1
(ko)
|
2000-12-06 |
2003-06-02 |
삼성전자주식회사 |
원자층 증착 방법에 의한 박막 형성 방법
|
US6413321B1
(en)
|
2000-12-07 |
2002-07-02 |
Applied Materials, Inc. |
Method and apparatus for reducing particle contamination on wafer backside during CVD process
|
US20020076507A1
(en)
|
2000-12-15 |
2002-06-20 |
Chiang Tony P. |
Process sequence for atomic layer deposition
|
JP5068402B2
(ja)
|
2000-12-28 |
2012-11-07 |
公益財団法人国際科学振興財団 |
誘電体膜およびその形成方法、半導体装置、不揮発性半導体メモリ装置、および半導体装置の製造方法
|
US6398184B1
(en)
|
2000-12-29 |
2002-06-04 |
General Signal Corporation |
Lock device and lock method for knife gate valves
|
US7172497B2
(en)
|
2001-01-05 |
2007-02-06 |
Asm Nutool, Inc. |
Fabrication of semiconductor interconnect structures
|
US6583048B2
(en)
|
2001-01-17 |
2003-06-24 |
Air Products And Chemicals, Inc. |
Organosilicon precursors for interlayer dielectric films with low dielectric constants
|
US7087482B2
(en)
|
2001-01-19 |
2006-08-08 |
Samsung Electronics Co., Ltd. |
Method of forming material using atomic layer deposition and method of forming capacitor of semiconductor device using the same
|
JP4644943B2
(ja)
|
2001-01-23 |
2011-03-09 |
東京エレクトロン株式会社 |
処理装置
|
JP3626933B2
(ja)
|
2001-02-08 |
2005-03-09 |
東京エレクトロン株式会社 |
基板載置台の製造方法
|
US6589868B2
(en)
|
2001-02-08 |
2003-07-08 |
Applied Materials, Inc. |
Si seasoning to reduce particles, extend clean frequency, block mobile ions and increase chamber throughput
|
EP1421607A2
(en)
|
2001-02-12 |
2004-05-26 |
ASM America, Inc. |
Improved process for deposition of semiconductor films
|
US20020108670A1
(en)
|
2001-02-12 |
2002-08-15 |
Baker John Eric |
High purity chemical container with external level sensor and removable dip tube
|
US6613656B2
(en)
|
2001-02-13 |
2003-09-02 |
Micron Technology, Inc. |
Sequential pulse deposition
|
US6632478B2
(en)
|
2001-02-22 |
2003-10-14 |
Applied Materials, Inc. |
Process for forming a low dielectric constant carbon-containing film
|
JP4487135B2
(ja)
|
2001-03-05 |
2010-06-23 |
東京エレクトロン株式会社 |
流体制御装置
|
US7563715B2
(en)
|
2005-12-05 |
2009-07-21 |
Asm International N.V. |
Method of producing thin films
|
US6447651B1
(en)
|
2001-03-07 |
2002-09-10 |
Applied Materials, Inc. |
High-permeability magnetic shield for improved process uniformity in nonmagnetized plasma process chambers
|
US7186648B1
(en)
|
2001-03-13 |
2007-03-06 |
Novellus Systems, Inc. |
Barrier first method for single damascene trench applications
|
US6716571B2
(en)
|
2001-03-28 |
2004-04-06 |
Advanced Micro Devices, Inc. |
Selective photoresist hardening to facilitate lateral trimming
|
US6723654B2
(en)
|
2001-03-30 |
2004-04-20 |
Taiwan Semiconductor Manufacturing Co., Ltd |
Method and apparatus for in-situ descum/hot bake/dry etch photoresist/polyimide layer
|
US6448192B1
(en)
|
2001-04-16 |
2002-09-10 |
Motorola, Inc. |
Method for forming a high dielectric constant material
|
US6521295B1
(en)
|
2001-04-17 |
2003-02-18 |
Pilkington North America, Inc. |
Chemical vapor deposition of antimony-doped metal oxide and the coated article made thereby
|
US6482331B2
(en)
|
2001-04-18 |
2002-11-19 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Method for preventing contamination in a plasma process chamber
|
US6753507B2
(en)
|
2001-04-27 |
2004-06-22 |
Kyocera Corporation |
Wafer heating apparatus
|
US20030019428A1
(en)
|
2001-04-28 |
2003-01-30 |
Applied Materials, Inc. |
Chemical vapor deposition chamber
|
US6847014B1
(en)
|
2001-04-30 |
2005-01-25 |
Lam Research Corporation |
Method and apparatus for controlling the spatial temperature distribution across the surface of a workpiece support
|
US6528430B2
(en)
|
2001-05-01 |
2003-03-04 |
Samsung Electronics Co., Ltd. |
Method of forming silicon containing thin films by atomic layer deposition utilizing Si2C16 and NH3
|
US6864041B2
(en)
|
2001-05-02 |
2005-03-08 |
International Business Machines Corporation |
Gate linewidth tailoring and critical dimension control for sub-100 nm devices using plasma etching
|
US6627268B1
(en)
|
2001-05-03 |
2003-09-30 |
Novellus Systems, Inc. |
Sequential ion, UV, and electron induced chemical vapor deposition
|
US6596653B2
(en)
|
2001-05-11 |
2003-07-22 |
Applied Materials, Inc. |
Hydrogen assisted undoped silicon oxide deposition process for HDP-CVD
|
JP2002343790A
(ja)
|
2001-05-21 |
2002-11-29 |
Nec Corp |
金属化合物薄膜の気相堆積方法及び半導体装置の製造方法
|
US6528767B2
(en)
|
2001-05-22 |
2003-03-04 |
Applied Materials, Inc. |
Pre-heating and load lock pedestal material for high temperature CVD liquid crystal and flat panel display applications
|
US7037574B2
(en)
|
2001-05-23 |
2006-05-02 |
Veeco Instruments, Inc. |
Atomic layer deposition for fabricating thin films
|
GB0113735D0
(en)
|
2001-06-05 |
2001-07-25 |
Holset Engineering Co |
Mixing fluid streams
|
US6472266B1
(en)
|
2001-06-18 |
2002-10-29 |
Taiwan Semiconductor Manufacturing Company |
Method to reduce bit line capacitance in cub drams
|
US6391803B1
(en)
|
2001-06-20 |
2002-05-21 |
Samsung Electronics Co., Ltd. |
Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane
|
US6709989B2
(en)
|
2001-06-21 |
2004-03-23 |
Motorola, Inc. |
Method for fabricating a semiconductor structure including a metal oxide interface with silicon
|
US6420279B1
(en)
|
2001-06-28 |
2002-07-16 |
Sharp Laboratories Of America, Inc. |
Methods of using atomic layer deposition to deposit a high dielectric constant material on a substrate
|
JP3708031B2
(ja)
|
2001-06-29 |
2005-10-19 |
株式会社日立製作所 |
プラズマ処理装置および処理方法
|
US20030003696A1
(en)
|
2001-06-29 |
2003-01-02 |
Avgerinos Gelatos |
Method and apparatus for tuning a plurality of processing chambers
|
TW539822B
(en)
|
2001-07-03 |
2003-07-01 |
Asm Inc |
Source chemical container assembly
|
CN1277293C
(zh)
|
2001-07-10 |
2006-09-27 |
东京毅力科创株式会社 |
干蚀刻方法
|
KR100400044B1
(ko)
|
2001-07-16 |
2003-09-29 |
삼성전자주식회사 |
간격 조절 장치를 가지는 웨이퍼 처리 장치의 샤워 헤드
|
US6677254B2
(en)
|
2001-07-23 |
2004-01-13 |
Applied Materials, Inc. |
Processes for making a barrier between a dielectric and a conductor and products produced therefrom
|
US6638839B2
(en)
|
2001-07-26 |
2003-10-28 |
The University Of Toledo |
Hot-filament chemical vapor deposition chamber and process with multiple gas inlets
|
WO2003012843A1
(fr)
|
2001-07-31 |
2003-02-13 |
L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude |
Procede et appareil de nettoyage et procede et appareil de gravure
|
US6531412B2
(en)
|
2001-08-10 |
2003-03-11 |
International Business Machines Corporation |
Method for low temperature chemical vapor deposition of low-k films using selected cyclosiloxane and ozone gases for semiconductor applications
|
JP2003059999A
(ja)
|
2001-08-14 |
2003-02-28 |
Tokyo Electron Ltd |
処理システム
|
US6820570B2
(en)
|
2001-08-15 |
2004-11-23 |
Nobel Biocare Services Ag |
Atomic layer deposition reactor
|
JP2003060076A
(ja)
|
2001-08-21 |
2003-02-28 |
Nec Corp |
半導体装置及びその製造方法
|
US6521547B1
(en)
|
2001-09-07 |
2003-02-18 |
United Microelectronics Corp. |
Method of repairing a low dielectric constant material layer
|
US6756318B2
(en)
|
2001-09-10 |
2004-06-29 |
Tegal Corporation |
Nanolayer thick film processing system and method
|
US6541370B1
(en)
|
2001-09-17 |
2003-04-01 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Composite microelectronic dielectric layer with inhibited crack susceptibility
|
US6607976B2
(en)
|
2001-09-25 |
2003-08-19 |
Applied Materials, Inc. |
Copper interconnect barrier layer structure and formation method
|
US20030059535A1
(en)
|
2001-09-25 |
2003-03-27 |
Lee Luo |
Cycling deposition of low temperature films in a cold wall single wafer process chamber
|
US6960537B2
(en)
|
2001-10-02 |
2005-11-01 |
Asm America, Inc. |
Incorporation of nitrogen into high k dielectric film
|
US6720259B2
(en)
|
2001-10-02 |
2004-04-13 |
Genus, Inc. |
Passivation method for improved uniformity and repeatability for atomic layer deposition and chemical vapor deposition
|
KR100431658B1
(ko)
|
2001-10-05 |
2004-05-17 |
삼성전자주식회사 |
기판 가열 장치 및 이를 갖는 장치
|
TW563176B
(en)
|
2001-10-26 |
2003-11-21 |
Applied Materials Inc |
Gas delivery apparatus for atomic layer deposition
|
US20080102203A1
(en)
|
2001-10-26 |
2008-05-01 |
Dien-Yeh Wu |
Vortex chamber lids for atomic layer deposition
|
US6916398B2
(en)
|
2001-10-26 |
2005-07-12 |
Applied Materials, Inc. |
Gas delivery apparatus and method for atomic layer deposition
|
US7780785B2
(en)
|
2001-10-26 |
2010-08-24 |
Applied Materials, Inc. |
Gas delivery apparatus for atomic layer deposition
|
US6902624B2
(en)
|
2001-10-29 |
2005-06-07 |
Genus, Inc. |
Massively parallel atomic layer deposition/chemical vapor deposition system
|
KR100760291B1
(ko)
|
2001-11-08 |
2007-09-19 |
에이에스엠지니텍코리아 주식회사 |
박막 형성 방법
|
KR20030039247A
(ko)
|
2001-11-12 |
2003-05-17 |
주성엔지니어링(주) |
서셉터
|
GB2395493B
(en)
|
2001-11-16 |
2005-03-09 |
Trikon Holdings Ltd |
Forming low K dielectric layers
|
US6926774B2
(en)
|
2001-11-21 |
2005-08-09 |
Applied Materials, Inc. |
Piezoelectric vaporizer
|
USD461233S1
(en)
|
2001-11-29 |
2002-08-06 |
James Michael Whalen |
Marine deck drain strainer
|
KR100446619B1
(ko)
|
2001-12-14 |
2004-09-04 |
삼성전자주식회사 |
유도 결합 플라즈마 장치
|
US20030116087A1
(en)
|
2001-12-21 |
2003-06-26 |
Nguyen Anh N. |
Chamber hardware design for titanium nitride atomic layer deposition
|
JP3891267B2
(ja)
|
2001-12-25 |
2007-03-14 |
キヤノンアネルバ株式会社 |
シリコン酸化膜作製方法
|
CN100373559C
(zh)
|
2002-01-15 |
2008-03-05 |
东京毅力科创株式会社 |
形成含硅绝缘膜的cvd方法和装置
|
CN1643179B
(zh)
|
2002-01-17 |
2010-05-26 |
松德沃技术公司 |
Ald装置和方法
|
US6760981B2
(en)
|
2002-01-18 |
2004-07-13 |
Speedline Technologies, Inc. |
Compact convection drying chamber for drying printed circuit boards and other electronic assemblies by enhanced evaporation
|
US6998014B2
(en)
|
2002-01-26 |
2006-02-14 |
Applied Materials, Inc. |
Apparatus and method for plasma assisted deposition
|
US20030141820A1
(en)
|
2002-01-30 |
2003-07-31 |
Applied Materials, Inc. |
Method and apparatus for substrate processing
|
US6899507B2
(en)
|
2002-02-08 |
2005-05-31 |
Asm Japan K.K. |
Semiconductor processing apparatus comprising chamber partitioned into reaction and transfer sections
|
US6734090B2
(en)
|
2002-02-20 |
2004-05-11 |
International Business Machines Corporation |
Method of making an edge seal for a semiconductor device
|
DE10207131B4
(de)
|
2002-02-20 |
2007-12-20 |
Infineon Technologies Ag |
Verfahren zur Bildung einer Hartmaske in einer Schicht auf einer flachen Scheibe
|
US6787185B2
(en)
|
2002-02-25 |
2004-09-07 |
Micron Technology, Inc. |
Deposition methods for improved delivery of metastable species
|
US20030170583A1
(en)
|
2002-03-01 |
2003-09-11 |
Hitachi Kokusai Electric Inc. |
Heat treatment apparatus and a method for fabricating substrates
|
KR100449028B1
(ko)
|
2002-03-05 |
2004-09-16 |
삼성전자주식회사 |
원자층 증착법을 이용한 박막 형성방법
|
EP1485513A2
(en)
|
2002-03-08 |
2004-12-15 |
Sundew Technologies, LLC |
Ald method and apparatus
|
JP2003264186A
(ja)
|
2002-03-11 |
2003-09-19 |
Asm Japan Kk |
Cvd装置処理室のクリーニング方法
|
US6835039B2
(en)
|
2002-03-15 |
2004-12-28 |
Asm International N.V. |
Method and apparatus for batch processing of wafers in a furnace
|
US6825134B2
(en)
|
2002-03-26 |
2004-11-30 |
Applied Materials, Inc. |
Deposition of film layers by alternately pulsing a precursor and high frequency power in a continuous gas flow
|
US6800134B2
(en)
|
2002-03-26 |
2004-10-05 |
Micron Technology, Inc. |
Chemical vapor deposition methods and atomic layer deposition methods
|
JP4099092B2
(ja)
|
2002-03-26 |
2008-06-11 |
東京エレクトロン株式会社 |
基板処理装置および基板処理方法、高速ロータリバルブ
|
JP4128383B2
(ja)
|
2002-03-27 |
2008-07-30 |
東京エレクトロン株式会社 |
処理装置及び処理方法
|
WO2003083167A1
(en)
|
2002-03-28 |
2003-10-09 |
President And Fellows Of Harvard College |
Vapor deposition of silicon dioxide nanolaminates
|
JP4106948B2
(ja)
|
2002-03-29 |
2008-06-25 |
東京エレクトロン株式会社 |
被処理体の跳上り検出装置、被処理体の跳上り検出方法、プラズマ処理装置及びプラズマ処理方法
|
US6594550B1
(en)
|
2002-03-29 |
2003-07-15 |
Asm America, Inc. |
Method and system for using a buffer to track robotic movement
|
US20030188685A1
(en)
|
2002-04-08 |
2003-10-09 |
Applied Materials, Inc. |
Laser drilled surfaces for substrate processing chambers
|
US7988833B2
(en)
|
2002-04-12 |
2011-08-02 |
Schneider Electric USA, Inc. |
System and method for detecting non-cathode arcing in a plasma generation apparatus
|
US6710312B2
(en)
|
2002-04-12 |
2004-03-23 |
B H Thermal Corporation |
Heating jacket assembly with field replaceable thermostat
|
US8293001B2
(en)
|
2002-04-17 |
2012-10-23 |
Air Products And Chemicals, Inc. |
Porogens, porogenated precursors and methods for using the same to provide porous organosilica glass films with low dielectric constants
|
US6846515B2
(en)
|
2002-04-17 |
2005-01-25 |
Air Products And Chemicals, Inc. |
Methods for using porogens and/or porogenated precursors to provide porous organosilica glass films with low dielectric constants
|
KR100439948B1
(ko)
|
2002-04-19 |
2004-07-12 |
주식회사 아이피에스 |
리모트 플라즈마 ald 장치 및 이를 이용한 ald 박막증착방법
|
US7045430B2
(en)
|
2002-05-02 |
2006-05-16 |
Micron Technology Inc. |
Atomic layer-deposited LaAlO3 films for gate dielectrics
|
US7589029B2
(en)
|
2002-05-02 |
2009-09-15 |
Micron Technology, Inc. |
Atomic layer deposition and conversion
|
JP2003324072A
(ja)
|
2002-05-07 |
2003-11-14 |
Nec Electronics Corp |
半導体製造装置
|
US7122844B2
(en)
|
2002-05-13 |
2006-10-17 |
Cree, Inc. |
Susceptor for MOCVD reactor
|
US6682973B1
(en)
|
2002-05-16 |
2004-01-27 |
Advanced Micro Devices, Inc. |
Formation of well-controlled thin SiO, SiN, SiON layer for multilayer high-K dielectric applications
|
KR100466818B1
(ko)
|
2002-05-17 |
2005-01-24 |
주식회사 하이닉스반도체 |
반도체 소자의 절연막 형성 방법
|
US6902656B2
(en)
|
2002-05-24 |
2005-06-07 |
Dalsa Semiconductor Inc. |
Fabrication of microstructures with vacuum-sealed cavity
|
US7195693B2
(en)
|
2002-06-05 |
2007-03-27 |
Advanced Thermal Sciences |
Lateral temperature equalizing system for large area surfaces during processing
|
US20060014384A1
(en)
|
2002-06-05 |
2006-01-19 |
Jong-Cheol Lee |
Method of forming a layer and forming a capacitor of a semiconductor device having the same layer
|
US7135421B2
(en)
|
2002-06-05 |
2006-11-14 |
Micron Technology, Inc. |
Atomic layer-deposited hafnium aluminum oxide
|
US6849464B2
(en)
|
2002-06-10 |
2005-02-01 |
Micron Technology, Inc. |
Method of fabricating a multilayer dielectric tunnel barrier structure
|
AU2003242104A1
(en)
|
2002-06-10 |
2003-12-22 |
Tokyo Electron Limited |
Processing device and processing method
|
JP2004014952A
(ja)
|
2002-06-10 |
2004-01-15 |
Tokyo Electron Ltd |
処理装置および処理方法
|
US6858547B2
(en)
|
2002-06-14 |
2005-02-22 |
Applied Materials, Inc. |
System and method for forming a gate dielectric
|
US7067439B2
(en)
|
2002-06-14 |
2006-06-27 |
Applied Materials, Inc. |
ALD metal oxide deposition process using direct oxidation
|
US7601225B2
(en)
|
2002-06-17 |
2009-10-13 |
Asm International N.V. |
System for controlling the sublimation of reactants
|
KR100455297B1
(ko)
|
2002-06-19 |
2004-11-06 |
삼성전자주식회사 |
무기물 나노튜브 제조방법
|
TWI278532B
(en)
|
2002-06-23 |
2007-04-11 |
Asml Us Inc |
Method for energy-assisted atomic layer deposition and removal
|
US6552209B1
(en)
|
2002-06-24 |
2003-04-22 |
Air Products And Chemicals, Inc. |
Preparation of metal imino/amino complexes for metal oxide and metal nitride thin films
|
US20040018750A1
(en)
|
2002-07-02 |
2004-01-29 |
Sophie Auguste J.L. |
Method for deposition of nitrogen doped silicon carbide films
|
US6821347B2
(en)
|
2002-07-08 |
2004-11-23 |
Micron Technology, Inc. |
Apparatus and method for depositing materials onto microelectronic workpieces
|
US6976822B2
(en)
|
2002-07-16 |
2005-12-20 |
Semitool, Inc. |
End-effectors and transfer devices for handling microelectronic workpieces
|
US7186385B2
(en)
|
2002-07-17 |
2007-03-06 |
Applied Materials, Inc. |
Apparatus for providing gas to a processing chamber
|
US7357138B2
(en)
|
2002-07-18 |
2008-04-15 |
Air Products And Chemicals, Inc. |
Method for etching high dielectric constant materials and for cleaning deposition chambers for high dielectric constant materials
|
KR100447284B1
(ko)
|
2002-07-19 |
2004-09-07 |
삼성전자주식회사 |
화학기상증착 챔버의 세정 방법
|
WO2004010467A2
(en)
|
2002-07-19 |
2004-01-29 |
Aviza Technology, Inc. |
Low temperature dielectric deposition using aminosilane and ozone
|
TW200427858A
(en)
|
2002-07-19 |
2004-12-16 |
Asml Us Inc |
Atomic layer deposition of high k dielectric films
|
US7297641B2
(en)
|
2002-07-19 |
2007-11-20 |
Asm America, Inc. |
Method to form ultra high quality silicon-containing compound layers
|
AU2003268000A1
(en)
|
2002-07-19 |
2004-02-09 |
Mykrolis Corporation |
Liquid flow controller and precision dispense apparatus and system
|
US6921062B2
(en)
|
2002-07-23 |
2005-07-26 |
Advanced Technology Materials, Inc. |
Vaporizer delivery ampoule
|
US7223323B2
(en)
|
2002-07-24 |
2007-05-29 |
Applied Materials, Inc. |
Multi-chemistry plating system
|
KR100464855B1
(ko)
|
2002-07-26 |
2005-01-06 |
삼성전자주식회사 |
박막 형성 방법과, 이를 이용한 커패시터 형성 방법 및트랜지스터 형성 방법
|
JP4585852B2
(ja)
|
2002-07-30 |
2010-11-24 |
エーエスエム アメリカ インコーポレイテッド |
基板処理システム、基板処理方法及び昇華装置
|
US7504006B2
(en)
|
2002-08-01 |
2009-03-17 |
Applied Materials, Inc. |
Self-ionized and capacitively-coupled plasma for sputtering and resputtering
|
DE10235427A1
(de)
|
2002-08-02 |
2004-02-12 |
Eos Gmbh Electro Optical Systems |
Vorrichtung und Verfahren zum Herstellen von dreidimensionalen Objekten mittels eines generativen Fertigungsverfahrens
|
US7153542B2
(en)
|
2002-08-06 |
2006-12-26 |
Tegal Corporation |
Assembly line processing method
|
KR100480610B1
(ko)
|
2002-08-09 |
2005-03-31 |
삼성전자주식회사 |
실리콘 산화막을 이용한 미세 패턴 형성방법
|
JP4034145B2
(ja)
|
2002-08-09 |
2008-01-16 |
住友大阪セメント株式会社 |
サセプタ装置
|
TW200408015A
(en)
|
2002-08-18 |
2004-05-16 |
Asml Us Inc |
Atomic layer deposition of high K metal silicates
|
TW200408323A
(en)
|
2002-08-18 |
2004-05-16 |
Asml Us Inc |
Atomic layer deposition of high k metal oxides
|
US6649921B1
(en)
|
2002-08-19 |
2003-11-18 |
Fusion Uv Systems, Inc. |
Apparatus and method providing substantially two-dimensionally uniform irradiation
|
US6927140B2
(en)
|
2002-08-21 |
2005-08-09 |
Intel Corporation |
Method for fabricating a bipolar transistor base
|
US20040036129A1
(en)
|
2002-08-22 |
2004-02-26 |
Micron Technology, Inc. |
Atomic layer deposition of CMOS gates with variable work functions
|
US6967154B2
(en)
|
2002-08-26 |
2005-11-22 |
Micron Technology, Inc. |
Enhanced atomic layer deposition
|
US6794284B2
(en)
|
2002-08-28 |
2004-09-21 |
Micron Technology, Inc. |
Systems and methods for forming refractory metal nitride layers using disilazanes
|
JP2004091848A
(ja)
|
2002-08-30 |
2004-03-25 |
Tokyo Electron Ltd |
薄膜形成装置の原料ガス供給系および薄膜形成装置
|
US6884066B2
(en)
|
2002-09-10 |
2005-04-26 |
Fsi International, Inc. |
Thermal process station with heated lid
|
US6936086B2
(en)
|
2002-09-11 |
2005-08-30 |
Planar Systems, Inc. |
High conductivity particle filter
|
US20040050325A1
(en)
|
2002-09-12 |
2004-03-18 |
Samoilov Arkadii V. |
Apparatus and method for delivering process gas to a substrate processing system
|
US7011299B2
(en)
|
2002-09-16 |
2006-03-14 |
Matheson Tri-Gas, Inc. |
Liquid vapor delivery system and method of maintaining a constant level of fluid therein
|
KR100497748B1
(ko)
|
2002-09-17 |
2005-06-29 |
주식회사 무한 |
반도체소자 제조용 원자층 증착 장치 및 원자층 증착 방법
|
US7411352B2
(en)
|
2002-09-19 |
2008-08-12 |
Applied Process Technologies, Inc. |
Dual plasma beam sources and method
|
JP2004128019A
(ja)
|
2002-09-30 |
2004-04-22 |
Applied Materials Inc |
プラズマ処理方法及び装置
|
JP2004127957A
(ja)
|
2002-09-30 |
2004-04-22 |
Fujitsu Ltd |
半導体装置の製造方法と半導体装置
|
JP2004134553A
(ja)
|
2002-10-10 |
2004-04-30 |
Sony Corp |
レジストパターンの形成方法及び半導体装置の製造方法
|
US6905737B2
(en)
|
2002-10-11 |
2005-06-14 |
Applied Materials, Inc. |
Method of delivering activated species for rapid cyclical deposition
|
EP1408140A1
(en)
|
2002-10-11 |
2004-04-14 |
STMicroelectronics S.r.l. |
A high-density plasma process for depositing a layer of Silicon Nitride
|
KR100460841B1
(ko)
|
2002-10-22 |
2004-12-09 |
한국전자통신연구원 |
플라즈마 인가 원자층 증착법을 통한 질소첨가 산화물박막의 형성방법
|
KR100520902B1
(ko)
|
2002-11-20 |
2005-10-12 |
주식회사 아이피에스 |
알루미늄 화합물을 이용한 박막증착방법
|
KR100496265B1
(ko)
|
2002-11-29 |
2005-06-17 |
한국전자통신연구원 |
반도체 소자의 박막 형성방법
|
TW200410337A
(en)
|
2002-12-02 |
2004-06-16 |
Au Optronics Corp |
Dry cleaning method for plasma reaction chamber
|
US6858524B2
(en)
|
2002-12-03 |
2005-02-22 |
Asm International, Nv |
Method of depositing barrier layer for metal gates
|
US7122414B2
(en)
|
2002-12-03 |
2006-10-17 |
Asm International, Inc. |
Method to fabricate dual metal CMOS devices
|
US6895158B2
(en)
|
2002-12-09 |
2005-05-17 |
Eastman Kodak Company |
Waveguide and method of smoothing optical surfaces
|
US7092287B2
(en)
|
2002-12-18 |
2006-08-15 |
Asm International N.V. |
Method of fabricating silicon nitride nanodots
|
AU2003301074A1
(en)
|
2002-12-20 |
2004-07-22 |
Brooks Automation, Inc. |
System and method for on-the-fly eccentricity recognition
|
JP2004207564A
(ja)
|
2002-12-26 |
2004-07-22 |
Fujitsu Ltd |
半導体装置の製造方法と半導体装置
|
USD486891S1
(en)
|
2003-01-21 |
2004-02-17 |
Richard W. Cronce, Jr. |
Vent pipe protective cover
|
USD497977S1
(en)
|
2003-01-22 |
2004-11-02 |
Tour & Andersson Ab |
Sealing ring membrane
|
US7122222B2
(en)
|
2003-01-23 |
2006-10-17 |
Air Products And Chemicals, Inc. |
Precursors for depositing silicon containing films and processes thereof
|
US20040144980A1
(en)
|
2003-01-27 |
2004-07-29 |
Ahn Kie Y. |
Atomic layer deposition of metal oxynitride layers as gate dielectrics and semiconductor device structures utilizing metal oxynitride layers
|
US7163721B2
(en)
|
2003-02-04 |
2007-01-16 |
Tegal Corporation |
Method to plasma deposit on organic polymer dielectric film
|
US7129165B2
(en)
|
2003-02-04 |
2006-10-31 |
Asm Nutool, Inc. |
Method and structure to improve reliability of copper interconnects
|
CN100429753C
(zh)
|
2003-02-06 |
2008-10-29 |
东京毅力科创株式会社 |
等离子体处理方法、半导体基板以及等离子体处理装置
|
US6876017B2
(en)
|
2003-02-08 |
2005-04-05 |
Intel Corporation |
Polymer sacrificial light absorbing structure and method
|
TWI338323B
(en)
|
2003-02-17 |
2011-03-01 |
Nikon Corp |
Stage device, exposure device and manufacguring method of devices
|
US6930059B2
(en)
|
2003-02-27 |
2005-08-16 |
Sharp Laboratories Of America, Inc. |
Method for depositing a nanolaminate film by atomic layer deposition
|
US20040168627A1
(en)
|
2003-02-27 |
2004-09-02 |
Sharp Laboratories Of America, Inc. |
Atomic layer deposition of oxide film
|
US7091453B2
(en)
|
2003-02-27 |
2006-08-15 |
Dainippon Screen Mfg. Co., Ltd. |
Heat treatment apparatus by means of light irradiation
|
US7192892B2
(en)
|
2003-03-04 |
2007-03-20 |
Micron Technology, Inc. |
Atomic layer deposited dielectric layers
|
US7098149B2
(en)
|
2003-03-04 |
2006-08-29 |
Air Products And Chemicals, Inc. |
Mechanical enhancement of dense and porous organosilicate materials by UV exposure
|
JP2004273766A
(ja)
|
2003-03-07 |
2004-09-30 |
Watanabe Shoko:Kk |
気化装置及びそれを用いた成膜装置並びに気化方法及び成膜方法
|
JP4369203B2
(ja)
|
2003-03-24 |
2009-11-18 |
信越化学工業株式会社 |
反射防止膜材料、反射防止膜を有する基板及びパターン形成方法
|
JP2004294638A
(ja)
|
2003-03-26 |
2004-10-21 |
Tokyo Ohka Kogyo Co Ltd |
ネガ型レジスト材料およびレジストパターン形成方法
|
JP4363401B2
(ja)
|
2003-03-26 |
2009-11-11 |
信越半導体株式会社 |
熱処理用ウェーハ支持具及び熱処理装置
|
US7208389B1
(en)
|
2003-03-31 |
2007-04-24 |
Novellus Systems, Inc. |
Method of porogen removal from porous low-k films using UV radiation
|
US20040198069A1
(en)
|
2003-04-04 |
2004-10-07 |
Applied Materials, Inc. |
Method for hafnium nitride deposition
|
US7037376B2
(en)
|
2003-04-11 |
2006-05-02 |
Applied Materials Inc. |
Backflush chamber clean
|
US6942753B2
(en)
|
2003-04-16 |
2005-09-13 |
Applied Materials, Inc. |
Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition
|
US7077973B2
(en)
|
2003-04-18 |
2006-07-18 |
Applied Materials, Inc. |
Methods for substrate orientation
|
TW200506093A
(en)
|
2003-04-21 |
2005-02-16 |
Aviza Tech Inc |
System and method for forming multi-component films
|
US7183186B2
(en)
|
2003-04-22 |
2007-02-27 |
Micro Technology, Inc. |
Atomic layer deposited ZrTiO4 films
|
US7221553B2
(en)
|
2003-04-22 |
2007-05-22 |
Applied Materials, Inc. |
Substrate support having heat transfer system
|
US6953608B2
(en)
|
2003-04-23 |
2005-10-11 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Solution for FSG induced metal corrosion & metal peeling defects with extra bias liner and smooth RF bias ramp up
|
US20040211357A1
(en)
|
2003-04-24 |
2004-10-28 |
Gadgil Pradad N. |
Method of manufacturing a gap-filled structure of a semiconductor device
|
US20040261712A1
(en)
|
2003-04-25 |
2004-12-30 |
Daisuke Hayashi |
Plasma processing apparatus
|
US7601223B2
(en)
|
2003-04-29 |
2009-10-13 |
Asm International N.V. |
Showerhead assembly and ALD methods
|
US7033113B2
(en)
|
2003-05-01 |
2006-04-25 |
Shell Oil Company |
Mid-line connector and method for pipe-in-pipe electrical heating
|
US6939817B2
(en)
|
2003-05-08 |
2005-09-06 |
Micron Technology, Inc. |
Removal of carbon from an insulative layer using ozone
|
US7265061B1
(en)
|
2003-05-09 |
2007-09-04 |
Novellus Systems, Inc. |
Method and apparatus for UV exposure of low dielectric constant materials for porogen removal and improved mechanical properties
|
EP1623454A2
(en)
|
2003-05-09 |
2006-02-08 |
ASM America, Inc. |
Reactor surface passivation through chemical deactivation
|
USD505590S1
(en)
*
|
2003-05-22 |
2005-05-31 |
Kraft Foods Holdings, Inc. |
Susceptor tray
|
US8512798B2
(en)
|
2003-06-05 |
2013-08-20 |
Superpower, Inc. |
Plasma assisted metalorganic chemical vapor deposition (MOCVD) system
|
US7141500B2
(en)
|
2003-06-05 |
2006-11-28 |
American Air Liquide, Inc. |
Methods for forming aluminum containing films utilizing amino aluminum precursors
|
US7589003B2
(en)
|
2003-06-13 |
2009-09-15 |
Arizona Board Of Regents, Acting For And On Behalf Of Arizona State University, A Corporate Body Organized Under Arizona Law |
GeSn alloys and ordered phases with direct tunable bandgaps grown directly on silicon
|
US7598513B2
(en)
|
2003-06-13 |
2009-10-06 |
Arizona Board Of Regents, Acting For And On Behalf Of Arizona State University, A Corporate Body Organized Under Arizona Law |
SixSnyGe1-x-y and related alloy heterostructures based on Si, Ge and Sn
|
WO2004114368A2
(en)
|
2003-06-13 |
2004-12-29 |
Arizona Board Of Regents, A Body Corporate Of The State Of Arizona Acting For And On Behalf Of Arizona State University |
METHOD FOR PREPARING GE1-x-ySnxEy (E=P, As, Sb) SEMICONDUCTORS AND RELATED Si-Ge-Sn-E AND Si-Ge-E ANALOGS
|
US7192824B2
(en)
|
2003-06-24 |
2007-03-20 |
Micron Technology, Inc. |
Lanthanide oxide / hafnium oxide dielectric layers
|
KR20050001793A
(ko)
|
2003-06-26 |
2005-01-07 |
삼성전자주식회사 |
단원자층 증착 공정의 실시간 분석 방법
|
US7547363B2
(en)
|
2003-07-08 |
2009-06-16 |
Tosoh Finechem Corporation |
Solid organometallic compound-filled container and filling method thereof
|
US7055875B2
(en)
|
2003-07-11 |
2006-06-06 |
Asyst Technologies, Inc. |
Ultra low contact area end effector
|
JP4298421B2
(ja)
|
2003-07-23 |
2009-07-22 |
エスペック株式会社 |
サーマルプレートおよび試験装置
|
US6909839B2
(en)
|
2003-07-23 |
2005-06-21 |
Advanced Technology Materials, Inc. |
Delivery systems for efficient vaporization of precursor source material
|
US7399388B2
(en)
|
2003-07-25 |
2008-07-15 |
Applied Materials, Inc. |
Sequential gas flow oxide deposition technique
|
US7122481B2
(en)
|
2003-07-25 |
2006-10-17 |
Intel Corporation |
Sealing porous dielectrics with silane coupling reagents
|
WO2005017963A2
(en)
|
2003-08-04 |
2005-02-24 |
Asm America, Inc. |
Surface preparation prior to deposition on germanium
|
EP1661161A2
(en)
|
2003-08-07 |
2006-05-31 |
Sundew Technologies, LLC |
Perimeter partition-valve with protected seals
|
KR100536604B1
(ko)
|
2003-08-14 |
2005-12-14 |
삼성전자주식회사 |
고밀도 플라즈마 증착법을 이용한 갭필 방법
|
JP2005072405A
(ja)
|
2003-08-27 |
2005-03-17 |
Sony Corp |
薄膜の形成方法および半導体装置の製造方法
|
US8152922B2
(en)
|
2003-08-29 |
2012-04-10 |
Asm America, Inc. |
Gas mixer and manifold assembly for ALD reactor
|
KR20060064067A
(ko)
|
2003-09-03 |
2006-06-12 |
동경 엘렉트론 주식회사 |
가스 처리 장치 및 처리 가스 토출 기구의 방열 방법
|
US7335277B2
(en)
|
2003-09-08 |
2008-02-26 |
Hitachi High-Technologies Corporation |
Vacuum processing apparatus
|
US7235482B2
(en)
|
2003-09-08 |
2007-06-26 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Method of manufacturing a contact interconnection layer containing a metal and nitrogen by atomic layer deposition for deep sub-micron semiconductor technology
|
US7414281B1
(en)
|
2003-09-09 |
2008-08-19 |
Spansion Llc |
Flash memory with high-K dielectric material between substrate and gate
|
KR100551138B1
(ko)
|
2003-09-09 |
2006-02-10 |
어댑티브프라즈마테크놀로지 주식회사 |
균일한 플라즈마 발생을 위한 적응형 플라즈마 소스
|
US7132201B2
(en)
|
2003-09-12 |
2006-11-07 |
Micron Technology, Inc. |
Transparent amorphous carbon structure in semiconductor devices
|
US6911399B2
(en)
|
2003-09-19 |
2005-06-28 |
Applied Materials, Inc. |
Method of controlling critical dimension microloading of photoresist trimming process by selective sidewall polymer deposition
|
CN101914760B
(zh)
|
2003-09-19 |
2012-08-29 |
株式会社日立国际电气 |
半导体装置的制造方法及衬底处理装置
|
JP4524554B2
(ja)
|
2003-09-25 |
2010-08-18 |
信越化学工業株式会社 |
γ,δ−不飽和カルボン酸及びそのシリルエステルの製造方法、カルボキシル基を有する有機ケイ素化合物及びその製造方法
|
US7156380B2
(en)
|
2003-09-29 |
2007-01-02 |
Asm International, N.V. |
Safe liquid source containers
|
US7205247B2
(en)
|
2003-09-30 |
2007-04-17 |
Aviza Technology, Inc. |
Atomic layer deposition of hafnium-based high-k dielectric
|
US20050069651A1
(en)
|
2003-09-30 |
2005-03-31 |
Tokyo Electron Limited |
Plasma processing system
|
US6875677B1
(en)
|
2003-09-30 |
2005-04-05 |
Sharp Laboratories Of America, Inc. |
Method to control the interfacial layer for deposition of high dielectric constant films
|
US6974781B2
(en)
|
2003-10-20 |
2005-12-13 |
Asm International N.V. |
Reactor precoating for reduced stress and uniform CVD
|
WO2005042160A2
(en)
|
2003-10-29 |
2005-05-12 |
Asm America, Inc. |
Reaction system for growing a thin film
|
US7329947B2
(en)
|
2003-11-07 |
2008-02-12 |
Sumitomo Mitsubishi Silicon Corporation |
Heat treatment jig for semiconductor substrate
|
US8313277B2
(en)
|
2003-11-10 |
2012-11-20 |
Brooks Automation, Inc. |
Semiconductor manufacturing process modules
|
US7071118B2
(en)
|
2003-11-12 |
2006-07-04 |
Veeco Instruments, Inc. |
Method and apparatus for fabricating a conformal thin film on a substrate
|
US20050153571A1
(en)
|
2003-11-17 |
2005-07-14 |
Yoshihide Senzaki |
Nitridation of high-k dielectric films
|
KR100550641B1
(ko)
|
2003-11-22 |
2006-02-09 |
주식회사 하이닉스반도체 |
산화하프늄과 산화알루미늄이 혼합된 유전막 및 그 제조방법
|
US20050120805A1
(en)
|
2003-12-04 |
2005-06-09 |
John Lane |
Method and apparatus for substrate temperature control
|
JP4725085B2
(ja)
|
2003-12-04 |
2011-07-13 |
株式会社豊田中央研究所 |
非晶質炭素、非晶質炭素被膜部材および非晶質炭素膜の成膜方法
|
US7143897B1
(en)
|
2003-12-09 |
2006-12-05 |
H20 International, Inc. |
Water filter
|
US7431966B2
(en)
|
2003-12-09 |
2008-10-07 |
Micron Technology, Inc. |
Atomic layer deposition method of depositing an oxide on a substrate
|
KR100549273B1
(ko)
|
2004-01-15 |
2006-02-03 |
주식회사 테라세미콘 |
반도체 제조장치의 기판홀더
|
JP4513329B2
(ja)
|
2004-01-16 |
2010-07-28 |
東京エレクトロン株式会社 |
処理装置
|
US7071051B1
(en)
|
2004-01-20 |
2006-07-04 |
Advanced Micro Devices, Inc. |
Method for forming a thin, high quality buffer layer in a field effect transistor and related structure
|
US7354847B2
(en)
|
2004-01-26 |
2008-04-08 |
Taiwan Semiconductor Manufacturing Company |
Method of trimming technology
|
KR101118863B1
(ko)
|
2004-01-30 |
2012-03-19 |
도쿄엘렉트론가부시키가이샤 |
유체 간극을 갖는 기판 홀더 및 그 기판 홀더를 제조하는방법
|
DE102004005385A1
(de)
|
2004-02-03 |
2005-10-20 |
Infineon Technologies Ag |
Verwendung von gelösten Hafniumalkoxiden bzw. Zirkoniumalkoxiden als Precursoren für Hafniumoxid- und Hafniumoxynitridschichten bzw. Zirkoniumoxid- und Zirkoniumoxynitridschichten
|
US20050181535A1
(en)
|
2004-02-17 |
2005-08-18 |
Yun Sun J. |
Method of fabricating passivation layer for organic devices
|
US7088003B2
(en)
|
2004-02-19 |
2006-08-08 |
International Business Machines Corporation |
Structures and methods for integration of ultralow-k dielectrics with improved reliability
|
US20050187647A1
(en)
|
2004-02-19 |
2005-08-25 |
Kuo-Hua Wang |
Intelligent full automation controlled flow for a semiconductor furnace tool
|
US20060062910A1
(en)
|
2004-03-01 |
2006-03-23 |
Meiere Scott H |
Low zirconium, hafnium-containing compositions, processes for the preparation thereof and methods of use thereof
|
US20050214458A1
(en)
|
2004-03-01 |
2005-09-29 |
Meiere Scott H |
Low zirconium hafnium halide compositions
|
JP4879159B2
(ja)
|
2004-03-05 |
2012-02-22 |
アプライド マテリアルズ インコーポレイテッド |
アモルファス炭素膜堆積のためのcvdプロセス
|
US7079740B2
(en)
|
2004-03-12 |
2006-07-18 |
Applied Materials, Inc. |
Use of amorphous carbon film as a hardmask in the fabrication of optical waveguides
|
KR100538096B1
(ko)
|
2004-03-16 |
2005-12-21 |
삼성전자주식회사 |
원자층 증착 방법을 이용하는 커패시터 형성 방법
|
US7524735B1
(en)
|
2004-03-25 |
2009-04-28 |
Novellus Systems, Inc |
Flowable film dielectric gap fill process
|
US7582555B1
(en)
|
2005-12-29 |
2009-09-01 |
Novellus Systems, Inc. |
CVD flowable gap fill
|
US20050214457A1
(en)
|
2004-03-29 |
2005-09-29 |
Applied Materials, Inc. |
Deposition of low dielectric constant films by N2O addition
|
JPWO2005098922A1
(ja)
|
2004-03-31 |
2008-03-06 |
株式会社日立国際電気 |
半導体装置の製造方法
|
US20050221618A1
(en)
|
2004-03-31 |
2005-10-06 |
Amrhein Frederick J |
System for controlling a plenum output flow geometry
|
CN1292092C
(zh)
|
2004-04-01 |
2006-12-27 |
南昌大学 |
用于金属有机化学气相沉积设备的双层进气喷头
|
US7585371B2
(en)
|
2004-04-08 |
2009-09-08 |
Micron Technology, Inc. |
Substrate susceptors for receiving semiconductor substrates to be deposited upon
|
US20050227502A1
(en)
|
2004-04-12 |
2005-10-13 |
Applied Materials, Inc. |
Method for forming an ultra low dielectric film by forming an organosilicon matrix and large porogens as a template for increased porosity
|
US7273526B2
(en)
|
2004-04-15 |
2007-09-25 |
Asm Japan K.K. |
Thin-film deposition apparatus
|
US7785672B2
(en)
|
2004-04-20 |
2010-08-31 |
Applied Materials, Inc. |
Method of controlling the film properties of PECVD-deposited thin films
|
US8083853B2
(en)
|
2004-05-12 |
2011-12-27 |
Applied Materials, Inc. |
Plasma uniformity control by gas diffuser hole design
|
US20060019502A1
(en)
|
2004-07-23 |
2006-01-26 |
Park Beom S |
Method of controlling the film properties of a CVD-deposited silicon nitride film
|
WO2005104204A1
(ja)
|
2004-04-21 |
2005-11-03 |
Hitachi Kokusai Electric Inc. |
熱処理装置
|
USD553104S1
(en)
|
2004-04-21 |
2007-10-16 |
Tokyo Electron Limited |
Absorption board for an electric chuck used in semiconductor manufacture
|
US7708859B2
(en)
|
2004-04-30 |
2010-05-04 |
Lam Research Corporation |
Gas distribution system having fast gas switching capabilities
|
US7049247B2
(en)
|
2004-05-03 |
2006-05-23 |
International Business Machines Corporation |
Method for fabricating an ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device and electronic device made
|
JP2005322668A
(ja)
|
2004-05-06 |
2005-11-17 |
Renesas Technology Corp |
成膜装置および成膜方法
|
US8074599B2
(en)
|
2004-05-12 |
2011-12-13 |
Applied Materials, Inc. |
Plasma uniformity control by gas diffuser curvature
|
US8328939B2
(en)
|
2004-05-12 |
2012-12-11 |
Applied Materials, Inc. |
Diffuser plate with slit valve compensation
|
US20050252449A1
(en)
|
2004-05-12 |
2005-11-17 |
Nguyen Son T |
Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
|
US20060019033A1
(en)
|
2004-05-21 |
2006-01-26 |
Applied Materials, Inc. |
Plasma treatment of hafnium-containing materials
|
US8119210B2
(en)
|
2004-05-21 |
2012-02-21 |
Applied Materials, Inc. |
Formation of a silicon oxynitride layer on a high-k dielectric material
|
JP2005340251A
(ja)
|
2004-05-24 |
2005-12-08 |
Shin Etsu Chem Co Ltd |
プラズマ処理装置用のシャワープレート及びプラズマ処理装置
|
US7271093B2
(en)
|
2004-05-24 |
2007-09-18 |
Asm Japan K.K. |
Low-carbon-doped silicon oxide film and damascene structure using same
|
US20050266173A1
(en)
|
2004-05-26 |
2005-12-01 |
Tokyo Electron Limited |
Method and apparatus of distributed plasma processing system for conformal ion stimulated nanoscale deposition process
|
US7580388B2
(en)
|
2004-06-01 |
2009-08-25 |
Lg Electronics Inc. |
Method and apparatus for providing enhanced messages on common control channel in wireless communication system
|
US7651583B2
(en)
|
2004-06-04 |
2010-01-26 |
Tokyo Electron Limited |
Processing system and method for treating a substrate
|
US7037794B2
(en)
|
2004-06-09 |
2006-05-02 |
International Business Machines Corporation |
Raised STI process for multiple gate ox and sidewall protection on strained Si/SGOI structure with elevated source/drain
|
US7396743B2
(en)
|
2004-06-10 |
2008-07-08 |
Singh Kaushal K |
Low temperature epitaxial growth of silicon-containing films using UV radiation
|
KR100589062B1
(ko)
|
2004-06-10 |
2006-06-12 |
삼성전자주식회사 |
원자층 적층 방식의 박막 형성방법 및 이를 이용한 반도체소자의 커패시터 형성방법
|
US7132360B2
(en)
|
2004-06-10 |
2006-11-07 |
Freescale Semiconductor, Inc. |
Method for treating a semiconductor surface to form a metal-containing layer
|
JP4534619B2
(ja)
|
2004-06-21 |
2010-09-01 |
株式会社Sumco |
半導体シリコン基板用熱処理治具
|
CN101133475B
(zh)
|
2004-07-09 |
2012-02-01 |
皇家飞利浦电子股份有限公司 |
带有反射器的uvc/vuv电介质阻挡放电灯
|
US7094442B2
(en)
|
2004-07-13 |
2006-08-22 |
Applied Materials, Inc. |
Methods for the reduction and elimination of particulate contamination with CVD of amorphous carbon
|
US7422653B2
(en)
|
2004-07-13 |
2008-09-09 |
Applied Materials, Inc. |
Single-sided inflatable vertical slit valve
|
KR100578819B1
(ko)
|
2004-07-15 |
2006-05-11 |
삼성전자주식회사 |
원자층 적층 방법과 이를 이용한 게이트 구조물의 제조방법 및 커패시터의 제조 방법
|
US20060016783A1
(en)
|
2004-07-22 |
2006-01-26 |
Dingjun Wu |
Process for titanium nitride removal
|
US20060021703A1
(en)
|
2004-07-29 |
2006-02-02 |
Applied Materials, Inc. |
Dual gas faceplate for a showerhead in a semiconductor wafer processing system
|
ATE378443T1
(de)
|
2004-07-30 |
2007-11-15 |
Lpe Spa |
Epitaxiereaktor mit suszeptorgesteuerter positionierung
|
JP4718141B2
(ja)
|
2004-08-06 |
2011-07-06 |
東京エレクトロン株式会社 |
薄膜形成方法及び薄膜形成装置
|
US7470633B2
(en)
|
2004-08-09 |
2008-12-30 |
Asm Japan K.K. |
Method of forming a carbon polymer film using plasma CVD
|
KR101071136B1
(ko)
|
2004-08-27 |
2011-10-10 |
엘지디스플레이 주식회사 |
평판표시장치의 제조를 위한 기판의 박막처리장치
|
ITMI20041677A1
(it)
|
2004-08-30 |
2004-11-30 |
E T C Epitaxial Technology Ct |
Processo di pulitura e processo operativo per un reattore cvd.
|
US8158488B2
(en)
|
2004-08-31 |
2012-04-17 |
Micron Technology, Inc. |
Method of increasing deposition rate of silicon dioxide on a catalyst
|
DE102004042431B4
(de)
|
2004-08-31 |
2008-07-03 |
Schott Ag |
Verfahren und Vorrichtung zur Plasmabeschichtung von Werkstücken mit spektraler Auswertung der Prozessparameter und Verwendung der Vorrichtung
|
US7910288B2
(en)
|
2004-09-01 |
2011-03-22 |
Micron Technology, Inc. |
Mask material conversion
|
US7253084B2
(en)
|
2004-09-03 |
2007-08-07 |
Asm America, Inc. |
Deposition from liquid sources
|
JP2006108629A
(ja)
|
2004-09-10 |
2006-04-20 |
Toshiba Corp |
半導体装置の製造方法
|
US20060137609A1
(en)
|
2004-09-13 |
2006-06-29 |
Puchacz Jerzy P |
Multi-single wafer processing apparatus
|
US20060060930A1
(en)
|
2004-09-17 |
2006-03-23 |
Metz Matthew V |
Atomic layer deposition of high dielectric constant gate dielectrics
|
DE102005045081B4
(de)
|
2004-09-29 |
2011-07-07 |
Covalent Materials Corp. |
Suszeptor
|
US7241475B2
(en)
|
2004-09-30 |
2007-07-10 |
The Aerospace Corporation |
Method for producing carbon surface films by plasma exposure of a carbide compound
|
US6874247B1
(en)
|
2004-10-12 |
2005-04-05 |
Tsang-Hung Hsu |
Toothbrush dryer
|
US20060257563A1
(en)
|
2004-10-13 |
2006-11-16 |
Seok-Joo Doh |
Method of fabricating silicon-doped metal oxide layer using atomic layer deposition technique
|
US7780440B2
(en)
|
2004-10-19 |
2010-08-24 |
Canon Anelva Corporation |
Substrate supporting/transferring tray
|
US7790633B1
(en)
|
2004-10-26 |
2010-09-07 |
Novellus Systems, Inc. |
Sequential deposition/anneal film densification method
|
JP2006128188A
(ja)
|
2004-10-26 |
2006-05-18 |
Nikon Corp |
基板搬送装置、基板搬送方法および露光装置
|
US7163900B2
(en)
|
2004-11-01 |
2007-01-16 |
Infineon Technologies Ag |
Using polydentate ligands for sealing pores in low-k dielectrics
|
JP2006135161A
(ja)
|
2004-11-08 |
2006-05-25 |
Canon Inc |
絶縁膜の形成方法及び装置
|
JP4435666B2
(ja)
|
2004-11-09 |
2010-03-24 |
東京エレクトロン株式会社 |
プラズマ処理方法、成膜方法
|
US7678682B2
(en)
|
2004-11-12 |
2010-03-16 |
Axcelis Technologies, Inc. |
Ultraviolet assisted pore sealing of porous low k dielectric films
|
US7428958B2
(en)
|
2004-11-15 |
2008-09-30 |
Nikon Corporation |
Substrate conveyor apparatus, substrate conveyance method and exposure apparatus
|
KR100773755B1
(ko)
|
2004-11-18 |
2007-11-09 |
주식회사 아이피에스 |
플라즈마 ald 박막증착방법
|
TWI538013B
(zh)
|
2004-11-18 |
2016-06-11 |
尼康股份有限公司 |
A position measuring method, a position control method, a measuring method, a loading method, an exposure method and an exposure apparatus, and a device manufacturing method
|
US20060107898A1
(en)
|
2004-11-19 |
2006-05-25 |
Blomberg Tom E |
Method and apparatus for measuring consumption of reactants
|
CN100573803C
(zh)
|
2004-11-24 |
2009-12-23 |
Oc欧瑞康巴尔斯公司 |
用于非常大面积基片的真空处理室
|
US20060113806A1
(en)
|
2004-11-29 |
2006-06-01 |
Asm Japan K.K. |
Wafer transfer mechanism
|
US20060113675A1
(en)
|
2004-12-01 |
2006-06-01 |
Chung-Liang Chang |
Barrier material and process for Cu interconnect
|
US7235501B2
(en)
|
2004-12-13 |
2007-06-26 |
Micron Technology, Inc. |
Lanthanum hafnium oxide dielectrics
|
US7290813B2
(en)
|
2004-12-16 |
2007-11-06 |
Asyst Technologies, Inc. |
Active edge grip rest pad
|
US7396732B2
(en)
|
2004-12-17 |
2008-07-08 |
Interuniversitair Microelektronica Centrum Vzw (Imec) |
Formation of deep trench airgaps and related applications
|
US7396412B2
(en)
|
2004-12-22 |
2008-07-08 |
Sokudo Co., Ltd. |
Coat/develop module with shared dispense
|
JP4560681B2
(ja)
|
2004-12-24 |
2010-10-13 |
ミネベア株式会社 |
多灯式放電灯点灯装置
|
JP2006186271A
(ja)
|
2004-12-28 |
2006-07-13 |
Sharp Corp |
気相成長装置および成膜済基板の製造方法
|
WO2006073871A1
(en)
|
2004-12-30 |
2006-07-13 |
Applied Materials, Inc. |
Line edge roughness reduction compatible with trimming
|
US7846499B2
(en)
|
2004-12-30 |
2010-12-07 |
Asm International N.V. |
Method of pulsing vapor precursors in an ALD reactor
|
US7560395B2
(en)
|
2005-01-05 |
2009-07-14 |
Micron Technology, Inc. |
Atomic layer deposited hafnium tantalum oxide dielectrics
|
US7598516B2
(en)
|
2005-01-07 |
2009-10-06 |
International Business Machines Corporation |
Self-aligned process for nanotube/nanowire FETs
|
JP4934595B2
(ja)
|
2005-01-18 |
2012-05-16 |
エーエスエム アメリカ インコーポレイテッド |
薄膜成長用反応装置
|
US7964380B2
(en)
|
2005-01-21 |
2011-06-21 |
Argylia Technologies |
Nanoparticles for manipulation of biopolymers and methods of thereof
|
KR100640550B1
(ko)
|
2005-01-26 |
2006-10-31 |
주식회사 아이피에스 |
플라즈마 ald 박막증착방법
|
US7135402B2
(en)
|
2005-02-01 |
2006-11-14 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Sealing pores of low-k dielectrics using CxHy
|
US7298009B2
(en)
|
2005-02-01 |
2007-11-20 |
Infineon Technologies Ag |
Semiconductor method and device with mixed orientation substrate
|
EP1851794A1
(en)
|
2005-02-22 |
2007-11-07 |
ASM America, Inc. |
Plasma pre-treating surfaces for atomic layer deposition
|
JP4764028B2
(ja)
|
2005-02-28 |
2011-08-31 |
株式会社日立ハイテクノロジーズ |
プラズマ処理方法
|
US6972478B1
(en)
|
2005-03-07 |
2005-12-06 |
Advanced Micro Devices, Inc. |
Integrated circuit and method for its manufacture
|
US7629267B2
(en)
|
2005-03-07 |
2009-12-08 |
Asm International N.V. |
High stress nitride film and method for formation thereof
|
US7314835B2
(en)
|
2005-03-21 |
2008-01-01 |
Tokyo Electron Limited |
Plasma enhanced atomic layer deposition system and method
|
US7422636B2
(en)
|
2005-03-25 |
2008-09-09 |
Tokyo Electron Limited |
Plasma enhanced atomic layer deposition system having reduced contamination
|
US20060226117A1
(en)
|
2005-03-29 |
2006-10-12 |
Bertram Ronald T |
Phase change based heating element system and method
|
KR20080003387A
(ko)
|
2005-04-07 |
2008-01-07 |
에비자 테크놀로지, 인크. |
다중층, 다중성분 높은-k 막들 및 이들의 증착 방법
|
US7479198B2
(en)
|
2005-04-07 |
2009-01-20 |
Timothy D'Annunzio |
Methods for forming nanofiber adhesive structures
|
KR100640640B1
(ko)
|
2005-04-19 |
2006-10-31 |
삼성전자주식회사 |
미세 피치의 하드마스크를 이용한 반도체 소자의 미세 패턴형성 방법
|
JP4694878B2
(ja)
|
2005-04-20 |
2011-06-08 |
Okiセミコンダクタ株式会社 |
半導体製造装置および半導体装置の製造方法
|
US7160819B2
(en)
|
2005-04-25 |
2007-01-09 |
Sharp Laboratories Of America, Inc. |
Method to perform selective atomic layer deposition of zinc oxide
|
US8137465B1
(en)
|
2005-04-26 |
2012-03-20 |
Novellus Systems, Inc. |
Single-chamber sequential curing of semiconductor wafers
|
US7915173B2
(en)
|
2005-05-05 |
2011-03-29 |
Macronix International Co., Ltd. |
Shallow trench isolation structure having reduced dislocation density
|
US20060251827A1
(en)
|
2005-05-09 |
2006-11-09 |
Applied Materials, Inc. |
Tandem uv chamber for curing dielectric materials
|
US7875556B2
(en)
|
2005-05-16 |
2011-01-25 |
Air Products And Chemicals, Inc. |
Precursors for CVD silicon carbo-nitride and silicon nitride films
|
US7422775B2
(en)
|
2005-05-17 |
2008-09-09 |
Applied Materials, Inc. |
Process for low temperature plasma deposition of an optical absorption layer and high speed optical annealing
|
US20060260545A1
(en)
|
2005-05-17 |
2006-11-23 |
Kartik Ramaswamy |
Low temperature absorption layer deposition and high speed optical annealing system
|
US7312162B2
(en)
|
2005-05-17 |
2007-12-25 |
Applied Materials, Inc. |
Low temperature plasma deposition process for carbon layer deposition
|
US7109098B1
(en)
|
2005-05-17 |
2006-09-19 |
Applied Materials, Inc. |
Semiconductor junction formation process including low temperature plasma deposition of an optical absorption layer and high speed optical annealing
|
US7101763B1
(en)
|
2005-05-17 |
2006-09-05 |
International Business Machines Corporation |
Low capacitance junction-isolation for bulk FinFET technology
|
KR100731164B1
(ko)
|
2005-05-19 |
2007-06-20 |
주식회사 피에조닉스 |
샤워헤드를 구비한 화학기상 증착 방법 및 장치
|
US20070155138A1
(en)
|
2005-05-24 |
2007-07-05 |
Pierre Tomasini |
Apparatus and method for depositing silicon germanium films
|
US7732342B2
(en)
|
2005-05-26 |
2010-06-08 |
Applied Materials, Inc. |
Method to increase the compressive stress of PECVD silicon nitride films
|
US20090029564A1
(en)
|
2005-05-31 |
2009-01-29 |
Tokyo Electron Limited |
Plasma treatment apparatus and plasma treatment method
|
US8435905B2
(en)
|
2005-06-13 |
2013-05-07 |
Hitachi Kokusai Electric Inc. |
Manufacturing method of semiconductor device, and substrate processing apparatus
|
US20060278524A1
(en)
|
2005-06-14 |
2006-12-14 |
Stowell Michael W |
System and method for modulating power signals to control sputtering
|
JP4853857B2
(ja)
|
2005-06-15 |
2012-01-11 |
東京エレクトロン株式会社 |
基板の処理方法,コンピュータ読み取り可能な記録媒体及び基板処理装置
|
JP4728708B2
(ja)
|
2005-06-17 |
2011-07-20 |
日本電気株式会社 |
配線基板及びその製造方法
|
US7473655B2
(en)
|
2005-06-17 |
2009-01-06 |
Applied Materials, Inc. |
Method for silicon based dielectric chemical vapor deposition
|
JP4753173B2
(ja)
|
2005-06-17 |
2011-08-24 |
株式会社フジキン |
流体制御装置
|
JP2007005582A
(ja)
|
2005-06-24 |
2007-01-11 |
Asm Japan Kk |
基板搬送装置及びそれを搭載した半導体基板製造装置
|
US7575990B2
(en)
|
2005-07-01 |
2009-08-18 |
Macronix International Co., Ltd. |
Method of forming self-aligned contacts and local interconnects
|
KR20080028963A
(ko)
|
2005-07-08 |
2008-04-02 |
에비자 테크놀로지, 인크. |
실리콘 함유 필름의 증착 방법
|
CN101222983B
(zh)
|
2005-07-09 |
2012-09-05 |
康邦权 |
用于在常压等离子体中疏水和超疏水处理的表面涂覆方法
|
US20070010072A1
(en)
|
2005-07-09 |
2007-01-11 |
Aviza Technology, Inc. |
Uniform batch film deposition process and films so produced
|
US7762755B2
(en)
|
2005-07-11 |
2010-07-27 |
Brooks Automation, Inc. |
Equipment storage for substrate processing apparatus
|
US7925378B2
(en)
|
2005-07-11 |
2011-04-12 |
Brooks Automation, Inc. |
Process apparatus with on-the-fly workpiece centering
|
US7314838B2
(en)
|
2005-07-21 |
2008-01-01 |
Taiwan Semiconductor Manufacturing Co., Ltd. |
Method for forming a high density dielectric film by chemical vapor deposition
|
JP2007035747A
(ja)
|
2005-07-25 |
2007-02-08 |
Sumitomo Electric Ind Ltd |
ウェハ保持体およびそれを搭載したウェハプローバ
|
JP2007035899A
(ja)
|
2005-07-27 |
2007-02-08 |
Sumitomo Electric Ind Ltd |
ウエハプローバ用ウエハ保持体及びそれを搭載したウエハプローバ
|
TWI313486B
(en)
|
2005-07-28 |
2009-08-11 |
Nuflare Technology Inc |
Position measurement apparatus and method and writing apparatus and method
|
US20070028842A1
(en)
|
2005-08-02 |
2007-02-08 |
Makoto Inagawa |
Vacuum chamber bottom
|
US20090045829A1
(en)
|
2005-08-04 |
2009-02-19 |
Sumitomo Electric Industries, Ltd. |
Wafer holder for wafer prober and wafer prober equipped with same
|
US20070037412A1
(en)
|
2005-08-05 |
2007-02-15 |
Tokyo Electron Limited |
In-situ atomic layer deposition
|
US7335611B2
(en)
|
2005-08-08 |
2008-02-26 |
Applied Materials, Inc. |
Copper conductor annealing process employing high speed optical annealing with a low temperature-deposited optical absorber layer
|
US7323401B2
(en)
|
2005-08-08 |
2008-01-29 |
Applied Materials, Inc. |
Semiconductor substrate process using a low temperature deposited carbon-containing hard mask
|
US7312148B2
(en)
|
2005-08-08 |
2007-12-25 |
Applied Materials, Inc. |
Copper barrier reflow process employing high speed optical annealing
|
US7429532B2
(en)
|
2005-08-08 |
2008-09-30 |
Applied Materials, Inc. |
Semiconductor substrate process using an optically writable carbon-containing mask
|
JP4727667B2
(ja)
|
2005-08-16 |
2011-07-20 |
株式会社日立国際電気 |
薄膜形成方法および半導体デバイスの製造方法
|
US7718225B2
(en)
|
2005-08-17 |
2010-05-18 |
Applied Materials, Inc. |
Method to control semiconductor film deposition characteristics
|
USD557226S1
(en)
|
2005-08-25 |
2007-12-11 |
Hitachi High-Technologies Corporation |
Electrode cover for a plasma processing apparatus
|
US7402534B2
(en)
|
2005-08-26 |
2008-07-22 |
Applied Materials, Inc. |
Pretreatment processes within a batch ALD reactor
|
US7393736B2
(en)
|
2005-08-29 |
2008-07-01 |
Micron Technology, Inc. |
Atomic layer deposition of Zrx Hfy Sn1-x-y O2 films as high k gate dielectrics
|
JP4815600B2
(ja)
|
2005-09-06 |
2011-11-16 |
株式会社テラセミコン |
多結晶シリコン薄膜製造方法及びその製造装置
|
US20070065578A1
(en)
|
2005-09-21 |
2007-03-22 |
Applied Materials, Inc. |
Treatment processes for a batch ALD reactor
|
JP2007088113A
(ja)
|
2005-09-21 |
2007-04-05 |
Sony Corp |
半導体装置の製造方法
|
US7691204B2
(en)
|
2005-09-30 |
2010-04-06 |
Applied Materials, Inc. |
Film formation apparatus and methods including temperature and emissivity/pattern compensation
|
US7785658B2
(en)
|
2005-10-07 |
2010-08-31 |
Asm Japan K.K. |
Method for forming metal wiring structure
|
KR101153118B1
(ko)
|
2005-10-12 |
2012-06-07 |
파나소닉 주식회사 |
플라즈마 처리장치 및 플라즈마 처리방법
|
US7294581B2
(en)
|
2005-10-17 |
2007-11-13 |
Applied Materials, Inc. |
Method for fabricating silicon nitride spacer structures
|
US7691205B2
(en)
|
2005-10-18 |
2010-04-06 |
Asm Japan K.K. |
Substrate-supporting device
|
US7638951B2
(en)
|
2005-10-27 |
2009-12-29 |
Luxim Corporation |
Plasma lamp with stable feedback amplification and method therefor
|
US7994721B2
(en)
|
2005-10-27 |
2011-08-09 |
Luxim Corporation |
Plasma lamp and methods using a waveguide body and protruding bulb
|
US7906910B2
(en)
|
2005-10-27 |
2011-03-15 |
Luxim Corporation |
Plasma lamp with conductive material positioned relative to RF feed
|
DE102005051994B4
(de)
|
2005-10-31 |
2011-12-01 |
Globalfoundries Inc. |
Verformungsverfahrenstechnik in Transistoren auf Siliziumbasis unter Anwendung eingebetteter Halbleiterschichten mit Atomen mit einem großen kovalenten Radius
|
US7695808B2
(en)
|
2005-11-07 |
2010-04-13 |
3M Innovative Properties Company |
Thermal transfer coating
|
JP4940635B2
(ja)
|
2005-11-14 |
2012-05-30 |
東京エレクトロン株式会社 |
加熱装置、熱処理装置及び記憶媒体
|
GB2432363B
(en)
|
2005-11-16 |
2010-06-23 |
Epichem Ltd |
Hafnocene and zirconocene precursors, and use thereof in atomic layer deposition
|
KR100660890B1
(ko)
|
2005-11-16 |
2006-12-26 |
삼성전자주식회사 |
Ald를 이용한 이산화실리콘막 형성 방법
|
US20070116873A1
(en)
|
2005-11-18 |
2007-05-24 |
Tokyo Electron Limited |
Apparatus for thermal and plasma enhanced vapor deposition and method of operating
|
US7629277B2
(en)
|
2005-11-23 |
2009-12-08 |
Honeywell International Inc. |
Frag shield
|
US20070125762A1
(en)
|
2005-12-01 |
2007-06-07 |
Applied Materials, Inc. |
Multi-zone resistive heater
|
US7862683B2
(en)
|
2005-12-02 |
2011-01-04 |
Tokyo Electron Limited |
Chamber dry cleaning
|
US8003919B2
(en)
|
2005-12-06 |
2011-08-23 |
Dainippon Screen Mfg. Co., Ltd. |
Substrate heat treatment apparatus
|
JP4666496B2
(ja)
|
2005-12-07 |
2011-04-06 |
大日本スクリーン製造株式会社 |
基板熱処理装置
|
US7592251B2
(en)
|
2005-12-08 |
2009-09-22 |
Micron Technology, Inc. |
Hafnium tantalum titanium oxide films
|
US7381644B1
(en)
|
2005-12-23 |
2008-06-03 |
Novellus Systems, Inc. |
Pulsed PECVD method for modulating hydrogen content in hard mask
|
JP4629574B2
(ja)
|
2005-12-27 |
2011-02-09 |
日本発條株式会社 |
基板支持装置と、その製造方法
|
KR101296911B1
(ko)
|
2005-12-28 |
2013-08-14 |
엘지디스플레이 주식회사 |
평판표시소자의 제조장치 및 그의 정전기량 검출장치 및검출방법
|
TWI284390B
(en)
|
2006-01-10 |
2007-07-21 |
Ind Tech Res Inst |
Manufacturing method of charge store device
|
US8088248B2
(en)
|
2006-01-11 |
2012-01-03 |
Lam Research Corporation |
Gas switching section including valves having different flow coefficients for gas distribution system
|
JP2007191792A
(ja)
|
2006-01-19 |
2007-08-02 |
Atto Co Ltd |
ガス分離型シャワーヘッド
|
WO2007084493A2
(en)
|
2006-01-19 |
2007-07-26 |
Asm America, Inc. |
High temperature ald inlet manifold
|
US20070173071A1
(en)
|
2006-01-20 |
2007-07-26 |
International Business Machines Corporation |
SiCOH dielectric
|
US20080254220A1
(en)
|
2006-01-20 |
2008-10-16 |
Tokyo Electron Limited |
Plasma processing apparatus
|
US8673413B2
(en)
|
2006-01-27 |
2014-03-18 |
Tosoh Finechem Corporation |
Method for packing solid organometallic compound and packed container
|
JP4854317B2
(ja)
|
2006-01-31 |
2012-01-18 |
東京エレクトロン株式会社 |
基板処理方法
|
US8057603B2
(en)
|
2006-02-13 |
2011-11-15 |
Tokyo Electron Limited |
Method of cleaning substrate processing chamber, storage medium, and substrate processing chamber
|
US20070207275A1
(en)
|
2006-02-21 |
2007-09-06 |
Applied Materials, Inc. |
Enhancement of remote plasma source clean for dielectric films
|
US7740705B2
(en)
|
2006-03-08 |
2010-06-22 |
Tokyo Electron Limited |
Exhaust apparatus configured to reduce particle contamination in a deposition system
|
US7794546B2
(en)
|
2006-03-08 |
2010-09-14 |
Tokyo Electron Limited |
Sealing device and method for a processing system
|
US7460003B2
(en)
|
2006-03-09 |
2008-12-02 |
International Business Machines Corporation |
Electronic fuse with conformal fuse element formed over a freestanding dielectric spacer
|
US7494882B2
(en)
|
2006-03-10 |
2009-02-24 |
Texas Instruments Incorporated |
Manufacturing a semiconductive device using a controlled atomic layer removal process
|
KR20070093493A
(ko)
|
2006-03-14 |
2007-09-19 |
엘지이노텍 주식회사 |
서셉터 및 반도체 제조장치
|
US20070218200A1
(en)
|
2006-03-16 |
2007-09-20 |
Kenji Suzuki |
Method and apparatus for reducing particle formation in a vapor distribution system
|
US8268078B2
(en)
|
2006-03-16 |
2012-09-18 |
Tokyo Electron Limited |
Method and apparatus for reducing particle contamination in a deposition system
|
US7566891B2
(en)
|
2006-03-17 |
2009-07-28 |
Applied Materials, Inc. |
Apparatus and method for treating a substrate with UV radiation using primary and secondary reflectors
|
US7410915B2
(en)
|
2006-03-23 |
2008-08-12 |
Asm Japan K.K. |
Method of forming carbon polymer film using plasma CVD
|
US20070234955A1
(en)
|
2006-03-29 |
2007-10-11 |
Tokyo Electron Limited |
Method and apparatus for reducing carbon monoxide poisoning at the peripheral edge of a substrate in a thin film deposition system
|
JP2007266464A
(ja)
|
2006-03-29 |
2007-10-11 |
Hitachi Ltd |
半導体集積回路装置の製造方法
|
US8951478B2
(en)
|
2006-03-30 |
2015-02-10 |
Applied Materials, Inc. |
Ampoule with a thermally conductive coating
|
US20070287301A1
(en)
|
2006-03-31 |
2007-12-13 |
Huiwen Xu |
Method to minimize wet etch undercuts and provide pore sealing of extreme low k (k<2.5) dielectrics
|
US20070237697A1
(en)
|
2006-03-31 |
2007-10-11 |
Tokyo Electron Limited |
Method of forming mixed rare earth oxide and aluminate films by atomic layer deposition
|
US7780865B2
(en)
|
2006-03-31 |
2010-08-24 |
Applied Materials, Inc. |
Method to improve the step coverage and pattern loading for dielectric films
|
US8097300B2
(en)
|
2006-03-31 |
2012-01-17 |
Tokyo Electron Limited |
Method of forming mixed rare earth oxynitride and aluminum oxynitride films by atomic layer deposition
|
US7396491B2
(en)
|
2006-04-06 |
2008-07-08 |
Osram Sylvania Inc. |
UV-emitting phosphor and lamp containing same
|
US7902074B2
(en)
|
2006-04-07 |
2011-03-08 |
Micron Technology, Inc. |
Simplified pitch doubling process flow
|
US20070248767A1
(en)
|
2006-04-19 |
2007-10-25 |
Asm Japan K.K. |
Method of self-cleaning of carbon-based film
|
US7410852B2
(en)
|
2006-04-21 |
2008-08-12 |
International Business Machines Corporation |
Opto-thermal annealing methods for forming metal gate and fully silicided gate field effect transistors
|
FR2900276B1
(fr)
|
2006-04-25 |
2008-09-12 |
St Microelectronics Sa |
Depot peald d'un materiau a base de silicium
|
US8231799B2
(en)
|
2006-04-28 |
2012-07-31 |
Applied Materials, Inc. |
Plasma reactor apparatus with multiple gas injection zones having time-changing separate configurable gas compositions for each zone
|
US7537804B2
(en)
|
2006-04-28 |
2009-05-26 |
Micron Technology, Inc. |
ALD methods in which two or more different precursors are utilized with one or more reactants to form materials over substrates
|
US7875312B2
(en)
|
2006-05-23 |
2011-01-25 |
Air Products And Chemicals, Inc. |
Process for producing silicon oxide films for organoaminosilane precursors
|
US7825038B2
(en)
|
2006-05-30 |
2010-11-02 |
Applied Materials, Inc. |
Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
|
US7790634B2
(en)
|
2006-05-30 |
2010-09-07 |
Applied Materials, Inc |
Method for depositing and curing low-k films for gapfill and conformal film applications
|
JP2007324350A
(ja)
|
2006-05-31 |
2007-12-13 |
Tokyo Electron Ltd |
熱処理方法および熱処理装置、ならびに基板処理装置
|
WO2007140813A1
(en)
|
2006-06-02 |
2007-12-13 |
L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude |
Method of forming high-k dielectric films based on novel titanium, zirconium, and hafnium precursors and their use for semiconductor manufacturing
|
US20070277735A1
(en)
|
2006-06-02 |
2007-12-06 |
Nima Mokhlesi |
Systems for Atomic Layer Deposition of Oxides Using Krypton as an Ion Generating Feeding Gas
|
US8278176B2
(en)
|
2006-06-07 |
2012-10-02 |
Asm America, Inc. |
Selective epitaxial formation of semiconductor films
|
US20080018004A1
(en)
|
2006-06-09 |
2008-01-24 |
Air Products And Chemicals, Inc. |
High Flow GaCl3 Delivery
|
US7691757B2
(en)
|
2006-06-22 |
2010-04-06 |
Asm International N.V. |
Deposition of complex nitride films
|
US7554103B2
(en)
|
2006-06-26 |
2009-06-30 |
Applied Materials, Inc. |
Increased tool utilization/reduction in MWBC for UV curing chamber
|
US20080153311A1
(en)
|
2006-06-28 |
2008-06-26 |
Deenesh Padhi |
Method for depositing an amorphous carbon film with improved density and step coverage
|
US7867578B2
(en)
|
2006-06-28 |
2011-01-11 |
Applied Materials, Inc. |
Method for depositing an amorphous carbon film with improved density and step coverage
|
US7416989B1
(en)
|
2006-06-30 |
2008-08-26 |
Novellus Systems, Inc. |
Adsorption based material removal process
|
WO2008004278A1
(fr)
|
2006-07-04 |
2008-01-10 |
Toshiba Mitsubishi-Electric Industrial Systems Corporation |
Procédé et dispositif de concentration / dilution de gaz spécifique
|
JP4193883B2
(ja)
|
2006-07-05 |
2008-12-10 |
住友電気工業株式会社 |
有機金属気相成長装置
|
KR100799735B1
(ko)
|
2006-07-10 |
2008-02-01 |
삼성전자주식회사 |
금속 산화물 형성 방법 및 이를 수행하기 위한 장치
|
KR100791334B1
(ko)
|
2006-07-26 |
2008-01-07 |
삼성전자주식회사 |
원자층 증착법을 이용한 금속 산화막 형성 방법
|
FR2904328B1
(fr)
|
2006-07-27 |
2008-10-24 |
St Microelectronics Sa |
Depot par adsorption sous un champ electrique
|
US7749879B2
(en)
|
2006-08-03 |
2010-07-06 |
Micron Technology, Inc. |
ALD of silicon films on germanium
|
US8080282B2
(en)
|
2006-08-08 |
2011-12-20 |
Asm Japan K.K. |
Method for forming silicon carbide film containing oxygen
|
US7514375B1
(en)
|
2006-08-08 |
2009-04-07 |
Novellus Systems, Inc. |
Pulsed bias having high pulse frequency for filling gaps with dielectric material
|
GB0615722D0
(en)
|
2006-08-08 |
2006-09-20 |
Boc Group Plc |
Apparatus for conveying a waste stream
|
TW200814131A
(en)
|
2006-08-11 |
2008-03-16 |
Schott Ag |
External electrode fluorescent lamp with optimized operating efficiency
|
US20110027999A1
(en)
|
2006-08-16 |
2011-02-03 |
Freescale Semiconductor, Inc. |
Etch method in the manufacture of an integrated circuit
|
JP4961895B2
(ja)
|
2006-08-25 |
2012-06-27 |
東京エレクトロン株式会社 |
ウェハ搬送装置、ウェハ搬送方法及び記憶媒体
|
US7690881B2
(en)
|
2006-08-30 |
2010-04-06 |
Asm Japan K.K. |
Substrate-processing apparatus with buffer mechanism and substrate-transferring apparatus
|
KR100753020B1
(ko)
|
2006-08-30 |
2007-08-30 |
한국화학연구원 |
원자층 증착법을 이용한 비휘발성 부유 게이트 메모리소자를 위한 나노적층체의 제조방법
|
US7611980B2
(en)
|
2006-08-30 |
2009-11-03 |
Micron Technology, Inc. |
Single spacer process for multiplying pitch by a factor greater than two and related intermediate IC structures
|
US7544604B2
(en)
|
2006-08-31 |
2009-06-09 |
Micron Technology, Inc. |
Tantalum lanthanide oxynitride films
|
JP4943780B2
(ja)
|
2006-08-31 |
2012-05-30 |
株式会社日立ハイテクノロジーズ |
プラズマ処理装置およびプラズマ処理方法
|
US20080057659A1
(en)
|
2006-08-31 |
2008-03-06 |
Micron Technology, Inc. |
Hafnium aluminium oxynitride high-K dielectric and metal gates
|
US20080241805A1
(en)
|
2006-08-31 |
2008-10-02 |
Q-Track Corporation |
System and method for simulated dosimetry using a real time locating system
|
US7605030B2
(en)
|
2006-08-31 |
2009-10-20 |
Micron Technology, Inc. |
Hafnium tantalum oxynitride high-k dielectric and metal gates
|
JP4762835B2
(ja)
|
2006-09-07 |
2011-08-31 |
東京エレクトロン株式会社 |
基板処理方法、基板処理装置、プログラムおよびプログラム記録媒体
|
USD613829S1
(en)
|
2006-09-13 |
2010-04-13 |
Hayward Industries, Inc. |
Circular suction outlet assembly cover
|
US7789965B2
(en)
|
2006-09-19 |
2010-09-07 |
Asm Japan K.K. |
Method of cleaning UV irradiation chamber
|
US7976898B2
(en)
|
2006-09-20 |
2011-07-12 |
Asm Genitech Korea Ltd. |
Atomic layer deposition apparatus
|
JP2008074963A
(ja)
|
2006-09-21 |
2008-04-03 |
Fujifilm Corp |
組成物、膜、およびその製造方法
|
US7718553B2
(en)
|
2006-09-21 |
2010-05-18 |
Asm Japan K.K. |
Method for forming insulation film having high density
|
US7723648B2
(en)
|
2006-09-25 |
2010-05-25 |
Tokyo Electron Limited |
Temperature controlled substrate holder with non-uniform insulation layer for a substrate processing system
|
US8137048B2
(en)
|
2006-09-27 |
2012-03-20 |
Vserv Technologies |
Wafer processing system with dual wafer robots capable of asynchronous motion
|
US7476291B2
(en)
|
2006-09-28 |
2009-01-13 |
Lam Research Corporation |
High chamber temperature process and chamber design for photo-resist stripping and post-metal etch passivation
|
TWI462179B
(zh)
|
2006-09-28 |
2014-11-21 |
Tokyo Electron Ltd |
用以形成氧化矽膜之成膜方法與裝置
|
US7767262B2
(en)
|
2006-09-29 |
2010-08-03 |
Tokyo Electron Limited |
Nitrogen profile engineering in nitrided high dielectric constant films
|
DE102006046374B4
(de)
|
2006-09-29 |
2010-11-11 |
Advanced Micro Devices, Inc., Sunnyvale |
Verfahren zum Reduzieren der Lackvergiftung während des Strukturierens von Siliziumnitridschichten in einem Halbleiterbauelement
|
USD593969S1
(en)
|
2006-10-10 |
2009-06-09 |
Tokyo Electron Limited |
Processing chamber for manufacturing semiconductors
|
US8986456B2
(en)
|
2006-10-10 |
2015-03-24 |
Asm America, Inc. |
Precursor delivery system
|
KR101480971B1
(ko)
|
2006-10-10 |
2015-01-09 |
에이에스엠 아메리카, 인코포레이티드 |
전구체 전달 시스템
|
CN100451163C
(zh)
|
2006-10-18 |
2009-01-14 |
中微半导体设备(上海)有限公司 |
用于半导体工艺件处理反应器的气体分布装置及其反应器
|
JP2008108991A
(ja)
|
2006-10-27 |
2008-05-08 |
Daihen Corp |
ワーク保持機構
|
US7851232B2
(en)
|
2006-10-30 |
2010-12-14 |
Novellus Systems, Inc. |
UV treatment for carbon-containing low-k dielectric repair in semiconductor processing
|
US7888273B1
(en)
|
2006-11-01 |
2011-02-15 |
Novellus Systems, Inc. |
Density gradient-free gap fill
|
US7611751B2
(en)
|
2006-11-01 |
2009-11-03 |
Asm America, Inc. |
Vapor deposition of metal carbide films
|
US7727864B2
(en)
|
2006-11-01 |
2010-06-01 |
Asm America, Inc. |
Controlled composition using plasma-enhanced atomic layer deposition
|
US7955516B2
(en)
|
2006-11-02 |
2011-06-07 |
Applied Materials, Inc. |
Etching of nano-imprint templates using an etch reactor
|
JP2008117903A
(ja)
|
2006-11-02 |
2008-05-22 |
Toshiba Corp |
半導体装置の製造方法
|
WO2008056295A1
(en)
|
2006-11-09 |
2008-05-15 |
Nxp B.V. |
A semiconductor device and a method of manufacturing thereof
|
US7776395B2
(en)
|
2006-11-14 |
2010-08-17 |
Applied Materials, Inc. |
Method of depositing catalyst assisted silicates of high-k materials
|
US20080179104A1
(en)
|
2006-11-14 |
2008-07-31 |
Smith International, Inc. |
Nano-reinforced wc-co for improved properties
|
US7749574B2
(en)
|
2006-11-14 |
2010-07-06 |
Applied Materials, Inc. |
Low temperature ALD SiO2
|
US7671134B2
(en)
|
2006-11-15 |
2010-03-02 |
Brady Worldwide, Inc. |
Compositions with improved adhesion to low surface energy substrates
|
US7976634B2
(en)
|
2006-11-21 |
2011-07-12 |
Applied Materials, Inc. |
Independent radiant gas preheating for precursor disassociation control and gas reaction kinetics in low temperature CVD systems
|
US20080124946A1
(en)
|
2006-11-28 |
2008-05-29 |
Air Products And Chemicals, Inc. |
Organosilane compounds for modifying dielectrical properties of silicon oxide and silicon nitride films
|
US7807575B2
(en)
|
2006-11-29 |
2010-10-05 |
Micron Technology, Inc. |
Methods to reduce the critical dimension of semiconductor devices
|
US20080178805A1
(en)
|
2006-12-05 |
2008-07-31 |
Applied Materials, Inc. |
Mid-chamber gas distribution plate, tuned plasma flow control grid and electrode
|
EP2089897A2
(en)
|
2006-12-07 |
2009-08-19 |
Innovalight, Inc. |
Methods for creating a densified group iv semiconductor nanoparticle thin film
|
US20080142483A1
(en)
|
2006-12-07 |
2008-06-19 |
Applied Materials, Inc. |
Multi-step dep-etch-dep high density plasma chemical vapor deposition processes for dielectric gapfills
|
US7960236B2
(en)
|
2006-12-12 |
2011-06-14 |
Applied Materials, Inc. |
Phosphorus containing Si epitaxial layers in N-type source/drain junctions
|
JP4553891B2
(ja)
|
2006-12-27 |
2010-09-29 |
シャープ株式会社 |
半導体層製造方法
|
DE102007003416A1
(de)
|
2007-01-16 |
2008-07-17 |
Hansgrohe Ag |
Duschvorrichtung
|
DE102007002962B3
(de)
|
2007-01-19 |
2008-07-31 |
Qimonda Ag |
Verfahren zum Herstellen einer dielektrischen Schicht und zum Herstellen eines Kondensators
|
WO2008143716A2
(en)
|
2007-01-22 |
2008-11-27 |
Innovalight, Inc. |
In situ modification of group iv nanoparticles using gas phase nanoparticle reactors
|
JP5109376B2
(ja)
|
2007-01-22 |
2012-12-26 |
東京エレクトロン株式会社 |
加熱装置、加熱方法及び記憶媒体
|
US7550090B2
(en)
|
2007-01-23 |
2009-06-23 |
Applied Materials, Inc. |
Oxygen plasma clean to remove carbon species deposited on a glass dome surface
|
US20080173239A1
(en)
|
2007-01-24 |
2008-07-24 |
Yuri Makarov |
Method, system, and apparatus for the growth of SiC and related or similar material, by chemical vapor deposition, using precursors in modified cold-wall reactor
|
US7833353B2
(en)
|
2007-01-24 |
2010-11-16 |
Asm Japan K.K. |
Liquid material vaporization apparatus for semiconductor processing apparatus
|
US20080179715A1
(en)
|
2007-01-30 |
2008-07-31 |
Micron Technology, Inc. |
Shallow trench isolation using atomic layer deposition during fabrication of a semiconductor device
|
JP2008192643A
(ja)
|
2007-01-31 |
2008-08-21 |
Tokyo Electron Ltd |
基板処理装置
|
US7500397B2
(en)
|
2007-02-15 |
2009-03-10 |
Air Products And Chemicals, Inc. |
Activated chemical process for enhancing material properties of dielectric films
|
JP2008202107A
(ja)
|
2007-02-21 |
2008-09-04 |
Hitachi Kokusai Electric Inc |
基板処理装置
|
JP4805862B2
(ja)
|
2007-02-21 |
2011-11-02 |
富士通セミコンダクター株式会社 |
基板処理装置、基板処理方法、及び半導体装置の製造方法
|
US20080207007A1
(en)
|
2007-02-27 |
2008-08-28 |
Air Products And Chemicals, Inc. |
Plasma Enhanced Cyclic Chemical Vapor Deposition of Silicon-Containing Films
|
DE102007009914B4
(de)
|
2007-02-28 |
2010-04-22 |
Advanced Micro Devices, Inc., Sunnyvale |
Halbleiterbauelement in Form eines Feldeffekttransistors mit einem Zwischenschichtdielektrikumsmaterial mit erhöhter innerer Verspannung und Verfahren zur Herstellung desselben
|
US20080216077A1
(en)
|
2007-03-02 |
2008-09-04 |
Applied Materials, Inc. |
Software sequencer for integrated substrate processing system
|
US20080220619A1
(en)
|
2007-03-09 |
2008-09-11 |
Asm Japan K.K. |
Method for increasing mechanical strength of dielectric film by using sequential combination of two types of uv irradiation
|
US7833913B2
(en)
|
2007-03-20 |
2010-11-16 |
Tokyo Electron Limited |
Method of forming crystallographically stabilized doped hafnium zirconium based films
|
US7763869B2
(en)
|
2007-03-23 |
2010-07-27 |
Asm Japan K.K. |
UV light irradiating apparatus with liquid filter
|
US7435987B1
(en)
|
2007-03-27 |
2008-10-14 |
Intel Corporation |
Forming a type I heterostructure in a group IV semiconductor
|
US7651961B2
(en)
|
2007-03-30 |
2010-01-26 |
Tokyo Electron Limited |
Method for forming strained silicon nitride films and a device containing such films
|
US8235001B2
(en)
|
2007-04-02 |
2012-08-07 |
Hitachi Kokusai Electric Inc. |
Substrate processing apparatus and method for manufacturing semiconductor device
|
US20080241384A1
(en)
|
2007-04-02 |
2008-10-02 |
Asm Genitech Korea Ltd. |
Lateral flow deposition apparatus and method of depositing film by using the apparatus
|
KR100829759B1
(ko)
|
2007-04-04 |
2008-05-15 |
삼성에스디아이 주식회사 |
카바이드 유도 탄소를 이용한 카본나노튜브 혼성체, 이를포함하는 전자 방출원 및 상기 전자 방출원을 구비한 전자방출 소자
|
US8357214B2
(en)
|
2007-04-26 |
2013-01-22 |
Trulite, Inc. |
Apparatus, system, and method for generating a gas from solid reactant pouches
|
US7575968B2
(en)
|
2007-04-30 |
2009-08-18 |
Freescale Semiconductor, Inc. |
Inverse slope isolation and dual surface orientation integration
|
US7713874B2
(en)
|
2007-05-02 |
2010-05-11 |
Asm America, Inc. |
Periodic plasma annealing in an ALD-type process
|
JP5103056B2
(ja)
|
2007-05-15 |
2012-12-19 |
ルネサスエレクトロニクス株式会社 |
半導体装置の製造方法
|
US7750429B2
(en)
|
2007-05-15 |
2010-07-06 |
International Business Machines Corporation |
Self-aligned and extended inter-well isolation structure
|
US7942969B2
(en)
|
2007-05-30 |
2011-05-17 |
Applied Materials, Inc. |
Substrate cleaning chamber and components
|
US20080299326A1
(en)
|
2007-05-30 |
2008-12-04 |
Asm Japan K.K. |
Plasma cvd apparatus having non-metal susceptor
|
WO2008150484A1
(en)
|
2007-05-31 |
2008-12-11 |
Applied Materials, Inc. |
Methods and apparatus for extending the reach of a dual scara robot linkage
|
US7807578B2
(en)
|
2007-06-01 |
2010-10-05 |
Applied Materials, Inc. |
Frequency doubling using spacer mask
|
US20080302303A1
(en)
|
2007-06-07 |
2008-12-11 |
Applied Materials, Inc. |
Methods and apparatus for depositing a uniform silicon film with flow gradient designs
|
US8142606B2
(en)
|
2007-06-07 |
2012-03-27 |
Applied Materials, Inc. |
Apparatus for depositing a uniform silicon film and methods for manufacturing the same
|
KR101073858B1
(ko)
|
2007-06-08 |
2011-10-14 |
도쿄엘렉트론가부시키가이샤 |
패터닝 방법
|
JP4427562B2
(ja)
|
2007-06-11 |
2010-03-10 |
株式会社東芝 |
パターン形成方法
|
US8329541B2
(en)
|
2007-06-15 |
2012-12-11 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
InP-based transistor fabrication
|
USD575713S1
(en)
|
2007-06-21 |
2008-08-26 |
Ratcliffe Peter W |
Vehicle accessory
|
US8017182B2
(en)
|
2007-06-21 |
2011-09-13 |
Asm International N.V. |
Method for depositing thin films by mixed pulsed CVD and ALD
|
CN100590804C
(zh)
|
2007-06-22 |
2010-02-17 |
中芯国际集成电路制造(上海)有限公司 |
原子层沉积方法以及形成的半导体器件
|
US20090000550A1
(en)
|
2007-06-29 |
2009-01-01 |
Applied Materials, Inc. |
Manifold assembly
|
US20090033907A1
(en)
|
2007-07-05 |
2009-02-05 |
Nikon Corporation |
Devices and methods for decreasing residual chucking forces
|
US7501292B2
(en)
|
2007-07-19 |
2009-03-10 |
Asm Japan K.K. |
Method for managing UV irradiation for curing semiconductor substrate
|
JP4900110B2
(ja)
|
2007-07-20 |
2012-03-21 |
東京エレクトロン株式会社 |
薬液気化タンク及び薬液処理システム
|
US8008166B2
(en)
|
2007-07-26 |
2011-08-30 |
Applied Materials, Inc. |
Method and apparatus for cleaning a substrate surface
|
US7720560B2
(en)
|
2007-07-26 |
2010-05-18 |
International Business Machines Corporation |
Semiconductor manufacturing process monitoring
|
JP5058084B2
(ja)
|
2007-07-27 |
2012-10-24 |
株式会社半導体エネルギー研究所 |
光電変換装置の作製方法及びマイクロ波プラズマcvd装置
|
US8004045B2
(en)
|
2007-07-27 |
2011-08-23 |
Panasonic Corporation |
Semiconductor device and method for producing the same
|
US20090041952A1
(en)
|
2007-08-10 |
2009-02-12 |
Asm Genitech Korea Ltd. |
Method of depositing silicon oxide films
|
WO2009023169A1
(en)
|
2007-08-10 |
2009-02-19 |
Nano Terra Inc. |
Structured smudge-resistant coatings and methods of making and using the same
|
US7745352B2
(en)
|
2007-08-27 |
2010-06-29 |
Applied Materials, Inc. |
Curing methods for silicon dioxide thin films deposited from alkoxysilane precursor with harp II process
|
US8962101B2
(en)
|
2007-08-31 |
2015-02-24 |
Novellus Systems, Inc. |
Methods and apparatus for plasma-based deposition
|
US8334015B2
(en)
|
2007-09-05 |
2012-12-18 |
Intermolecular, Inc. |
Vapor based combinatorial processing
|
WO2009031886A2
(en)
|
2007-09-07 |
2009-03-12 |
Fujifilm Manufacturing Europe B.V. |
Method and apparatus for atomic layer deposition using an atmospheric pressure glow discharge plasma
|
CA122619S
(en)
|
2007-10-09 |
2010-01-27 |
Silvano Breda |
Shower strainer
|
JP5347294B2
(ja)
|
2007-09-12 |
2013-11-20 |
東京エレクトロン株式会社 |
成膜装置、成膜方法及び記憶媒体
|
JP4986784B2
(ja)
|
2007-09-18 |
2012-07-25 |
東京エレクトロン株式会社 |
処理システムの制御装置、処理システムの制御方法および制御プログラムを記憶した記憶媒体
|
JP5236983B2
(ja)
|
2007-09-28 |
2013-07-17 |
東京エレクトロン株式会社 |
半導体装置の製造方法、半導体装置の製造装置、制御プログラム及びプログラム記憶媒体
|
US20090085156A1
(en)
|
2007-09-28 |
2009-04-02 |
Gilbert Dewey |
Metal surface treatments for uniformly growing dielectric layers
|
US8041450B2
(en)
|
2007-10-04 |
2011-10-18 |
Asm Japan K.K. |
Position sensor system for substrate transfer robot
|
US20090090382A1
(en)
|
2007-10-05 |
2009-04-09 |
Asm Japan K.K. |
Method of self-cleaning of carbon-based film
|
US7776698B2
(en)
|
2007-10-05 |
2010-08-17 |
Applied Materials, Inc. |
Selective formation of silicon carbon epitaxial layer
|
US20090095221A1
(en)
|
2007-10-16 |
2009-04-16 |
Alexander Tam |
Multi-gas concentric injection showerhead
|
US7867923B2
(en)
|
2007-10-22 |
2011-01-11 |
Applied Materials, Inc. |
High quality silicon oxide films by remote plasma CVD from disilane precursors
|
US7803722B2
(en)
|
2007-10-22 |
2010-09-28 |
Applied Materials, Inc |
Methods for forming a dielectric layer within trenches
|
US7541297B2
(en)
|
2007-10-22 |
2009-06-02 |
Applied Materials, Inc. |
Method and system for improving dielectric film quality for void free gap fill
|
US7615831B2
(en)
|
2007-10-26 |
2009-11-10 |
International Business Machines Corporation |
Structure and method for fabricating self-aligned metal contacts
|
US7939447B2
(en)
|
2007-10-26 |
2011-05-10 |
Asm America, Inc. |
Inhibitors for selective deposition of silicon containing films
|
WO2009067858A1
(en)
|
2007-10-31 |
2009-06-04 |
China Petroleum & Chemical Corporation |
A predeactivation method and a deactivation method during initial reaction for a continuous reforming apparatus
|
US8272516B2
(en)
|
2007-11-19 |
2012-09-25 |
Caterpillar Inc. |
Fluid filter system
|
CA123273S
(en)
|
2007-11-19 |
2010-01-27 |
Silvano Breda |
Shower strainer
|
CA123272S
(en)
|
2007-11-19 |
2010-01-27 |
Silvano Breda |
Shower strainer
|
US8021723B2
(en)
|
2007-11-27 |
2011-09-20 |
Asm Japan K.K. |
Method of plasma treatment using amplitude-modulated RF power
|
JP5314700B2
(ja)
|
2007-11-28 |
2013-10-16 |
コーニンクレッカ フィリップス エヌ ヴェ |
誘電バリア放電ランプ
|
US8060252B2
(en)
|
2007-11-30 |
2011-11-15 |
Novellus Systems, Inc. |
High throughput method of in transit wafer position correction in system using multiple robots
|
US7651959B2
(en)
|
2007-12-03 |
2010-01-26 |
Asm Japan K.K. |
Method for forming silazane-based dielectric film
|
JP5464843B2
(ja)
|
2007-12-03 |
2014-04-09 |
株式会社半導体エネルギー研究所 |
Soi基板の作製方法
|
US20090139657A1
(en)
|
2007-12-04 |
2009-06-04 |
Applied Materials, Inc. |
Etch system
|
US8440569B2
(en)
|
2007-12-07 |
2013-05-14 |
Cadence Design Systems, Inc. |
Method of eliminating a lithography operation
|
KR100956247B1
(ko)
|
2007-12-13 |
2010-05-06 |
삼성엘이디 주식회사 |
금속유기 화학기상 증착장치
|
US8003174B2
(en)
|
2007-12-13 |
2011-08-23 |
Asm Japan K.K. |
Method for forming dielectric film using siloxane-silazane mixture
|
JP5307029B2
(ja)
|
2007-12-17 |
2013-10-02 |
株式会社オーク製作所 |
放電ランプ
|
US8092606B2
(en)
|
2007-12-18 |
2012-01-10 |
Asm Genitech Korea Ltd. |
Deposition apparatus
|
KR101542636B1
(ko)
|
2007-12-19 |
2015-08-06 |
램 리써치 코포레이션 |
나노다공성 로우-k 유전체 재료 처리 방법
|
KR20090068179A
(ko)
|
2007-12-21 |
2009-06-25 |
에이에스엠 인터내셔널 엔.브이. |
실리콘 이산화물을 포함하는 박막의 제조 방법
|
US7678715B2
(en)
|
2007-12-21 |
2010-03-16 |
Applied Materials, Inc. |
Low wet etch rate silicon nitride film
|
JP3140111U
(ja)
|
2007-12-21 |
2008-03-13 |
日本エー・エス・エム株式会社 |
半導体製造装置用ガス供給装置
|
CN101903977A
(zh)
|
2007-12-21 |
2010-12-01 |
朗姆研究公司 |
光刻胶两次图案化
|
KR101013413B1
(ko)
|
2008-01-07 |
2011-02-14 |
한국과학기술연구원 |
플라즈마 표면 처리를 이용한 투명 기체 차단 필름의 제조방법 및 이로부터 제조된 투명 기체 차단 필름
|
US7935940B1
(en)
|
2008-01-08 |
2011-05-03 |
Novellus Systems, Inc. |
Measuring in-situ UV intensity in UV cure tool
|
US20090203197A1
(en)
|
2008-02-08 |
2009-08-13 |
Hiroji Hanawa |
Novel method for conformal plasma immersed ion implantation assisted by atomic layer deposition
|
US20090200494A1
(en)
|
2008-02-11 |
2009-08-13 |
Varian Semiconductor Equipment Associates, Inc. |
Techniques for cold implantation of carbon-containing species
|
GB0802486D0
(en)
|
2008-02-12 |
2008-03-19 |
Gilbert Patrick C |
Warm water economy device
|
US20090206056A1
(en)
|
2008-02-14 |
2009-08-20 |
Songlin Xu |
Method and Apparatus for Plasma Process Performance Matching in Multiple Wafer Chambers
|
KR101204614B1
(ko)
|
2008-02-20 |
2012-11-23 |
도쿄엘렉트론가부시키가이샤 |
가스 공급 장치, 성막 장치, 및 성막 방법
|
US20090214777A1
(en)
|
2008-02-22 |
2009-08-27 |
Demetrius Sarigiannis |
Multiple ampoule delivery systems
|
KR100968132B1
(ko)
|
2008-02-29 |
2010-07-06 |
(주)얼라이드 테크 파인더즈 |
안테나 및 이를 구비한 반도체 장치
|
US7727866B2
(en)
|
2008-03-05 |
2010-06-01 |
Varian Semiconductor Equipment Associates, Inc. |
Use of chained implants in solar cells
|
USD585968S1
(en)
|
2008-03-06 |
2009-02-03 |
West Coast Washers, Inc. |
Pipe flashing
|
EP2099067A1
(en)
|
2008-03-07 |
2009-09-09 |
Nederlandse Organisatie voor toegepast- natuurwetenschappelijk onderzoek TNO |
Process for adjusting the friction coefficient between surfaces of two solid objects
|
GB2458507A
(en)
|
2008-03-20 |
2009-09-23 |
Tecvac Ltd |
Oxidation of non ferrous metal components
|
US20090246399A1
(en)
|
2008-03-28 |
2009-10-01 |
Asm Japan K.K. |
Method for activating reactive oxygen species for cleaning carbon-based film deposition
|
US8252114B2
(en)
|
2008-03-28 |
2012-08-28 |
Tokyo Electron Limited |
Gas distribution system and method for distributing process gas in a processing system
|
US7816278B2
(en)
|
2008-03-28 |
2010-10-19 |
Tokyo Electron Limited |
In-situ hybrid deposition of high dielectric constant films using atomic layer deposition and chemical vapor deposition
|
US7659158B2
(en)
|
2008-03-31 |
2010-02-09 |
Applied Materials, Inc. |
Atomic layer deposition processes for non-volatile memory devices
|
USD590933S1
(en)
|
2008-03-31 |
2009-04-21 |
Mcp Industries, Inc. |
Vent cap device
|
US7963736B2
(en)
|
2008-04-03 |
2011-06-21 |
Asm Japan K.K. |
Wafer processing apparatus with wafer alignment device
|
US20090250955A1
(en)
|
2008-04-07 |
2009-10-08 |
Applied Materials, Inc. |
Wafer transfer blade
|
CN102007597B
(zh)
|
2008-04-17 |
2014-02-19 |
应用材料公司 |
低温薄膜晶体管工艺、装置特性和装置稳定性改进
|
US20090269506A1
(en)
|
2008-04-24 |
2009-10-29 |
Seiji Okura |
Method and apparatus for cleaning of a CVD reactor
|
US8383525B2
(en)
|
2008-04-25 |
2013-02-26 |
Asm America, Inc. |
Plasma-enhanced deposition process for forming a metal oxide thin film and related structures
|
US8252194B2
(en)
|
2008-05-02 |
2012-08-28 |
Micron Technology, Inc. |
Methods of removing silicon oxide
|
US7632549B2
(en)
|
2008-05-05 |
2009-12-15 |
Asm Japan K.K. |
Method of forming a high transparent carbon film
|
US8076237B2
(en)
|
2008-05-09 |
2011-12-13 |
Asm America, Inc. |
Method and apparatus for 3D interconnect
|
US8277670B2
(en)
|
2008-05-13 |
2012-10-02 |
Lam Research Corporation |
Plasma process with photoresist mask pretreatment
|
US20090286402A1
(en)
|
2008-05-13 |
2009-11-19 |
Applied Materials, Inc |
Method for critical dimension shrink using conformal pecvd films
|
US8333842B2
(en)
|
2008-05-15 |
2012-12-18 |
Applied Materials, Inc. |
Apparatus for etching semiconductor wafers
|
US8298628B2
(en)
|
2008-06-02 |
2012-10-30 |
Air Products And Chemicals, Inc. |
Low temperature deposition of silicon-containing films
|
WO2009146744A1
(de)
|
2008-06-05 |
2009-12-10 |
Osram Gesellschaft mit beschränkter Haftung |
Verfahren zur behandlung von oberflächen, strahler für dieses verfahren sowie bestrahlungssystem mit diesem strahler
|
JP2009295932A
(ja)
|
2008-06-09 |
2009-12-17 |
Canon Inc |
露光装置及びデバイス製造方法
|
CN102047388A
(zh)
|
2008-06-20 |
2011-05-04 |
应用材料股份有限公司 |
气体分布喷头裙部
|
US8726837B2
(en)
|
2008-06-23 |
2014-05-20 |
Applied Materials, Inc. |
Semiconductor process chamber vision and monitoring system
|
US8702867B2
(en)
|
2008-07-08 |
2014-04-22 |
Jusung Engineering Co., Ltd. |
Gas distribution plate and substrate treating apparatus including the same
|
JP2010021204A
(ja)
|
2008-07-08 |
2010-01-28 |
Toshiba Corp |
半導体装置及びその製造方法
|
US8058138B2
(en)
|
2008-07-17 |
2011-11-15 |
Micron Technology, Inc. |
Gap processing
|
USD614593S1
(en)
|
2008-07-21 |
2010-04-27 |
Asm Genitech Korea Ltd |
Substrate support for a semiconductor deposition apparatus
|
TWD136587S1
(zh)
|
2008-07-22 |
2010-08-21 |
東京威力科創股份有限公司 |
晶圓吸附板
|
US20100025796A1
(en)
|
2008-08-04 |
2010-02-04 |
Amir Massoud Dabiran |
Microchannel plate photocathode
|
KR20100015213A
(ko)
|
2008-08-04 |
2010-02-12 |
삼성전기주식회사 |
Cvd용 샤워 헤드 및 이를 구비하는 화학 기상 증착 장치
|
USD600223S1
(en)
*
|
2008-08-07 |
2009-09-15 |
Ravinder Aggarwal |
Susceptor ring
|
US20110220874A1
(en)
|
2008-08-08 |
2011-09-15 |
Tobias Hanrath |
Inorganic Bulk Multijunction Materials and Processes for Preparing the Same
|
US8129555B2
(en)
|
2008-08-12 |
2012-03-06 |
Air Products And Chemicals, Inc. |
Precursors for depositing silicon-containing films and methods for making and using same
|
JP5338335B2
(ja)
|
2008-08-13 |
2013-11-13 |
東京エレクトロン株式会社 |
搬送容器の開閉装置及びプローブ装置
|
KR101017170B1
(ko)
|
2008-08-13 |
2011-02-25 |
주식회사 동부하이텍 |
백 메탈 공정챔버
|
US8263502B2
(en)
|
2008-08-13 |
2012-09-11 |
Synos Technology, Inc. |
Forming substrate structure by filling recesses with deposition material
|
US8147648B2
(en)
|
2008-08-15 |
2012-04-03 |
Lam Research Corporation |
Composite showerhead electrode assembly for a plasma processing apparatus
|
US20100055442A1
(en)
|
2008-09-03 |
2010-03-04 |
International Business Machines Corporation |
METHOD OF PE-ALD OF SiNxCy AND INTEGRATION OF LINER MATERIALS ON POROUS LOW K SUBSTRATES
|
JP2010087467A
(ja)
|
2008-09-04 |
2010-04-15 |
Tokyo Electron Ltd |
成膜装置、基板処理装置、成膜方法及びこの成膜方法を実行させるためのプログラムを記録した記録媒体
|
JP5226438B2
(ja)
|
2008-09-10 |
2013-07-03 |
株式会社日立国際電気 |
基板処理装置、半導体装置の製造方法及び基板処理方法
|
USD643055S1
(en)
|
2008-09-11 |
2011-08-09 |
Asm Japan K.K. |
Heater block for use in a semiconductor processing tool
|
US8731706B2
(en)
|
2008-09-12 |
2014-05-20 |
Hitachi High-Technologies Corporation |
Vacuum processing apparatus
|
US9711373B2
(en)
|
2008-09-22 |
2017-07-18 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Method of fabricating a gate dielectric for high-k metal gate devices
|
JP2010077508A
(ja)
|
2008-09-26 |
2010-04-08 |
Tokyo Electron Ltd |
成膜装置及び基板処理装置
|
DE102008049353A1
(de)
|
2008-09-29 |
2010-04-08 |
Vat Holding Ag |
Vakuumventil
|
US9493875B2
(en)
|
2008-09-30 |
2016-11-15 |
Eugene Technology Co., Ltd. |
Shower head unit and chemical vapor deposition apparatus
|
US20100090149A1
(en)
|
2008-10-01 |
2010-04-15 |
Compressor Engineering Corp. |
Poppet valve assembly, system, and apparatus for use in high speed compressor applications
|
US20100081293A1
(en)
|
2008-10-01 |
2010-04-01 |
Applied Materials, Inc. |
Methods for forming silicon nitride based film or silicon carbon based film
|
TWD135511S1
(zh)
|
2008-10-03 |
2010-06-21 |
日本碍子股份有限公司 |
靜電夾頭
|
CN102177571A
(zh)
|
2008-10-07 |
2011-09-07 |
应用材料公司 |
用于从蚀刻基板有效地移除卤素残余物的设备
|
KR101627297B1
(ko)
|
2008-10-13 |
2016-06-03 |
한국에이에스엠지니텍 주식회사 |
플라즈마 처리부 및 이를 포함하는 증착 장치 및 증착 방법
|
US8105465B2
(en)
|
2008-10-14 |
2012-01-31 |
Applied Materials, Inc. |
Method for depositing conformal amorphous carbon film by plasma-enhanced chemical vapor deposition (PECVD)
|
US8133555B2
(en)
|
2008-10-14 |
2012-03-13 |
Asm Japan K.K. |
Method for forming metal film by ALD using beta-diketone metal complex
|
WO2010044978A1
(en)
|
2008-10-15 |
2010-04-22 |
Arizona Board of Regents, a body corporate acting for and on behalf of Arizona State University |
Hybrid group iv/iii-v semiconductor structures
|
US7745346B2
(en)
|
2008-10-17 |
2010-06-29 |
Novellus Systems, Inc. |
Method for improving process control and film conformality of PECVD film
|
JP2010097834A
(ja)
|
2008-10-17 |
2010-04-30 |
Ushio Inc |
バックライトユニット
|
KR20110084275A
(ko)
|
2008-10-27 |
2011-07-21 |
어플라이드 머티어리얼스, 인코포레이티드 |
삼원 화합물의 기상 증착 방법
|
JP5062143B2
(ja)
|
2008-11-10 |
2012-10-31 |
東京エレクトロン株式会社 |
成膜装置
|
US10378106B2
(en)
|
2008-11-14 |
2019-08-13 |
Asm Ip Holding B.V. |
Method of forming insulation film by modified PEALD
|
US8647722B2
(en)
|
2008-11-14 |
2014-02-11 |
Asm Japan K.K. |
Method of forming insulation film using plasma treatment cycles
|
JP2010153769A
(ja)
|
2008-11-19 |
2010-07-08 |
Tokyo Electron Ltd |
基板位置検出装置、基板位置検出方法、成膜装置、成膜方法、プログラム及びコンピュータ可読記憶媒体
|
US20100130017A1
(en)
|
2008-11-21 |
2010-05-27 |
Axcelis Technologies, Inc. |
Front end of line plasma mediated ashing processes and apparatus
|
US8138676B2
(en)
|
2008-12-01 |
2012-03-20 |
Mills Robert L |
Methods and systems for dimmable fluorescent lighting using multiple frequencies
|
WO2010065473A2
(en)
|
2008-12-01 |
2010-06-10 |
Applied Materials, Inc. |
Gas distribution blocker apparatus
|
EP2194574B1
(en)
|
2008-12-02 |
2018-11-07 |
IMEC vzw |
Method for producing interconnect structures for integrated circuits
|
JP5390846B2
(ja)
|
2008-12-09 |
2014-01-15 |
東京エレクトロン株式会社 |
プラズマエッチング装置及びプラズマクリーニング方法
|
US8765233B2
(en)
|
2008-12-09 |
2014-07-01 |
Asm Japan K.K. |
Method for forming low-carbon CVD film for filling trenches
|
US20100151206A1
(en)
|
2008-12-11 |
2010-06-17 |
Air Products And Chemicals, Inc. |
Method for Removal of Carbon From An Organosilicate Material
|
US9379011B2
(en)
|
2008-12-19 |
2016-06-28 |
Asm International N.V. |
Methods for depositing nickel films and for making nickel silicide and nickel germanide
|
KR20100075070A
(ko)
|
2008-12-24 |
2010-07-02 |
삼성전자주식회사 |
비휘발성 메모리 장치의 제조 방법
|
JP2010157536A
(ja)
|
2008-12-26 |
2010-07-15 |
Nuflare Technology Inc |
サセプタの製造方法
|
TWI465599B
(zh)
|
2008-12-29 |
2014-12-21 |
K C Tech Co Ltd |
原子層沉積裝置
|
US7964490B2
(en)
|
2008-12-31 |
2011-06-21 |
Intel Corporation |
Methods of forming nickel sulfide film on a semiconductor device
|
US20100183825A1
(en)
|
2008-12-31 |
2010-07-22 |
Cambridge Nanotech Inc. |
Plasma atomic layer deposition system and method
|
US8216380B2
(en)
|
2009-01-08 |
2012-07-10 |
Asm America, Inc. |
Gap maintenance for opening to process chamber
|
US20100176513A1
(en)
|
2009-01-09 |
2010-07-15 |
International Business Machines Corporation |
Structure and method of forming metal interconnect structures in ultra low-k dielectrics
|
WO2010081003A2
(en)
|
2009-01-11 |
2010-07-15 |
Applied Materials, Inc. |
Systems, apparatus and methods for moving substrates
|
US8151814B2
(en)
|
2009-01-13 |
2012-04-10 |
Asm Japan K.K. |
Method for controlling flow and concentration of liquid precursor
|
US8591659B1
(en)
|
2009-01-16 |
2013-11-26 |
Novellus Systems, Inc. |
Plasma clean method for deposition chamber
|
USD606952S1
(en)
|
2009-01-16 |
2009-12-29 |
Asm Genitech Korea Ltd. |
Plasma inducing plate for semiconductor deposition apparatus
|
US7972980B2
(en)
|
2009-01-21 |
2011-07-05 |
Asm Japan K.K. |
Method of forming conformal dielectric film having Si-N bonds by PECVD
|
US8142862B2
(en)
|
2009-01-21 |
2012-03-27 |
Asm Japan K.K. |
Method of forming conformal dielectric film having Si-N bonds by PECVD
|
US7919416B2
(en)
|
2009-01-21 |
2011-04-05 |
Asm Japan K.K. |
Method of forming conformal dielectric film having Si-N bonds by PECVD
|
US8680650B2
(en)
|
2009-02-03 |
2014-03-25 |
Micron Technology, Inc. |
Capacitor structures having improved area efficiency
|
CN102308380B
(zh)
|
2009-02-04 |
2014-06-04 |
马特森技术有限公司 |
用于径向调整衬底的表面上的温度轮廓的静电夹具系统及方法
|
US8307472B1
(en)
|
2009-02-04 |
2012-11-13 |
Thomas Jason Saxon |
Light emitting diode system
|
US8287648B2
(en)
|
2009-02-09 |
2012-10-16 |
Asm America, Inc. |
Method and apparatus for minimizing contamination in semiconductor processing chamber
|
EP2397574A4
(en)
|
2009-02-16 |
2013-08-14 |
Mitsubishi Plastics Inc |
METHOD FOR PRODUCING A MULTILAYER GAS-REINFORCED FILM
|
JP2010205967A
(ja)
|
2009-03-04 |
2010-09-16 |
Tokyo Electron Ltd |
プラズマエッチング方法、プラズマエッチング装置及びコンピュータ記憶媒体
|
JP2010239115A
(ja)
|
2009-03-10 |
2010-10-21 |
Hitachi Kokusai Electric Inc |
基板処理装置
|
JP5221421B2
(ja)
|
2009-03-10 |
2013-06-26 |
東京エレクトロン株式会社 |
シャワーヘッド及びプラズマ処理装置
|
US8703624B2
(en)
|
2009-03-13 |
2014-04-22 |
Air Products And Chemicals, Inc. |
Dielectric films comprising silicon and methods for making same
|
EP2230703A3
(en)
|
2009-03-18 |
2012-05-02 |
Semiconductor Energy Laboratory Co., Ltd. |
Manufacturing apparatus and manufacturing method of lighting device
|
KR101583608B1
(ko)
|
2009-03-24 |
2016-01-08 |
삼성전자 주식회사 |
무기계 실리콘 전구체를 이용한 실리콘 산화막의 형성 방법및 이를 이용한 반도체 장치의 제조 방법
|
KR20110137775A
(ko)
|
2009-03-26 |
2011-12-23 |
파나소닉 주식회사 |
플라즈마 처리 장치 및 플라즈마 처리 방법
|
JP5292160B2
(ja)
|
2009-03-31 |
2013-09-18 |
東京エレクトロン株式会社 |
ガス流路構造体及び基板処理装置
|
US8284601B2
(en)
|
2009-04-01 |
2012-10-09 |
Samsung Electronics Co., Ltd. |
Semiconductor memory device comprising three-dimensional memory cell array
|
US8197915B2
(en)
|
2009-04-01 |
2012-06-12 |
Asm Japan K.K. |
Method of depositing silicon oxide film by plasma enhanced atomic layer deposition at low temperature
|
US9394608B2
(en)
|
2009-04-06 |
2016-07-19 |
Asm America, Inc. |
Semiconductor processing reactor and components thereof
|
US8486191B2
(en)
|
2009-04-07 |
2013-07-16 |
Asm America, Inc. |
Substrate reactor with adjustable injectors for mixing gases within reaction chamber
|
JP5338443B2
(ja)
|
2009-04-14 |
2013-11-13 |
信越半導体株式会社 |
Soiウェーハの製造方法
|
SG174993A1
(en)
|
2009-04-21 |
2011-11-28 |
Applied Materials Inc |
Cvd apparatus for improved film thickness non-uniformity and particle performance
|
US8071452B2
(en)
|
2009-04-27 |
2011-12-06 |
Asm America, Inc. |
Atomic layer deposition of hafnium lanthanum oxides
|
JP5136574B2
(ja)
|
2009-05-01 |
2013-02-06 |
東京エレクトロン株式会社 |
プラズマ処理装置及びプラズマ処理方法
|
KR20100032812A
(ko)
|
2009-05-11 |
2010-03-26 |
주식회사 테스 |
화학기상증착 장치와 이를 이용한 기판 처리 시스템
|
US7842622B1
(en)
|
2009-05-15 |
2010-11-30 |
Asm Japan K.K. |
Method of forming highly conformal amorphous carbon layer
|
US8004198B2
(en)
|
2009-05-28 |
2011-08-23 |
Osram Sylvania Inc. |
Resetting an electronic ballast in the event of fault
|
US20100317198A1
(en)
|
2009-06-12 |
2010-12-16 |
Novellus Systems, Inc. |
Remote plasma processing of interface surfaces
|
USD652896S1
(en)
|
2009-06-17 |
2012-01-24 |
Neoperl Gmbh |
Faucet stream former
|
US7825040B1
(en)
|
2009-06-22 |
2010-11-02 |
Asm Japan K.K. |
Method for depositing flowable material using alkoxysilane or aminosilane precursor
|
JP5285519B2
(ja)
|
2009-07-01 |
2013-09-11 |
パナソニック株式会社 |
半導体装置及びその製造方法
|
KR101110080B1
(ko)
|
2009-07-08 |
2012-03-13 |
주식회사 유진테크 |
확산판을 선택적으로 삽입설치하는 기판처리방법
|
US20110006406A1
(en)
|
2009-07-08 |
2011-01-13 |
Imec |
Fabrication of porogen residues free and mechanically robust low-k materials
|
JP2011023718A
(ja)
|
2009-07-15 |
2011-02-03 |
Asm Japan Kk |
PEALDによってSi−N結合を有するストレス調節された誘電体膜を形成する方法
|
US20120107607A1
(en)
|
2009-07-17 |
2012-05-03 |
Mitsui Chemicals, Inc. |
Multilayered material and method of producing the same
|
US8071451B2
(en)
|
2009-07-29 |
2011-12-06 |
Axcelis Technologies, Inc. |
Method of doping semiconductors
|
US8741788B2
(en)
|
2009-08-06 |
2014-06-03 |
Applied Materials, Inc. |
Formation of silicon oxide using non-carbon flowable CVD processes
|
US8883270B2
(en)
|
2009-08-14 |
2014-11-11 |
Asm America, Inc. |
Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
|
US8877655B2
(en)
|
2010-05-07 |
2014-11-04 |
Asm America, Inc. |
Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
|
US8802201B2
(en)
|
2009-08-14 |
2014-08-12 |
Asm America, Inc. |
Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
|
US8563085B2
(en)
|
2009-08-18 |
2013-10-22 |
Samsung Electronics Co., Ltd. |
Precursor composition, methods of forming a layer, methods of forming a gate structure and methods of forming a capacitor
|
KR101031226B1
(ko)
|
2009-08-21 |
2011-04-29 |
에이피시스템 주식회사 |
급속열처리 장치의 히터블록
|
USD634719S1
(en)
|
2009-08-27 |
2011-03-22 |
Ebara Corporation |
Elastic membrane for semiconductor wafer polishing apparatus
|
CN102414801A
(zh)
|
2009-08-27 |
2012-04-11 |
应用材料公司 |
在原位腔室清洁后的处理腔室去污方法
|
WO2011026064A1
(en)
|
2009-08-31 |
2011-03-03 |
The Penn State Research Foundation |
Improved plasma enhanced atomic layer deposition process
|
JP5457109B2
(ja)
|
2009-09-02 |
2014-04-02 |
東京エレクトロン株式会社 |
プラズマ処理装置
|
KR200478069Y1
(ko)
|
2009-09-10 |
2015-08-24 |
램 리써치 코포레이션 |
플라즈마 처리 장치의 교체가능한 상부 체임버 부품
|
US20110061810A1
(en)
|
2009-09-11 |
2011-03-17 |
Applied Materials, Inc. |
Apparatus and Methods for Cyclical Oxidation and Etching
|
EP2306497B1
(en)
|
2009-10-02 |
2012-06-06 |
Imec |
Method for manufacturing a low defect interface between a dielectric and a III/V compound
|
US8173554B2
(en)
|
2009-10-14 |
2012-05-08 |
Asm Japan K.K. |
Method of depositing dielectric film having Si-N bonds by modified peald method
|
US8415259B2
(en)
|
2009-10-14 |
2013-04-09 |
Asm Japan K.K. |
Method of depositing dielectric film by modified PEALD method
|
US8465791B2
(en)
|
2009-10-16 |
2013-06-18 |
Msp Corporation |
Method for counting particles in a gas
|
US20110097901A1
(en)
|
2009-10-26 |
2011-04-28 |
Applied Materials, Inc. |
Dual mode inductively coupled plasma reactor with adjustable phase coil assembly
|
JP5451324B2
(ja)
|
2009-11-10 |
2014-03-26 |
株式会社日立ハイテクノロジーズ |
プラズマ処理装置
|
US8854734B2
(en)
|
2009-11-12 |
2014-10-07 |
Vela Technologies, Inc. |
Integrating optical system and methods
|
US8329585B2
(en)
|
2009-11-17 |
2012-12-11 |
Lam Research Corporation |
Method for reducing line width roughness with plasma pre-etch treatment on photoresist
|
US8367528B2
(en)
|
2009-11-17 |
2013-02-05 |
Asm America, Inc. |
Cyclical epitaxial deposition and etch
|
JP5753351B2
(ja)
|
2009-11-19 |
2015-07-22 |
ローム アンド ハース エレクトロニック マテリアルズ エルエルシーRohm and Haas Electronic Materials LLC |
電子デバイスを形成する方法
|
KR20110055912A
(ko)
|
2009-11-20 |
2011-05-26 |
주식회사 하이닉스반도체 |
반도체 소자의 콘택홀 형성방법
|
AU329418S
(en)
|
2009-11-23 |
2010-01-29 |
|
Pusher tool
|
US20110139748A1
(en)
|
2009-12-15 |
2011-06-16 |
University Of Houston |
Atomic layer etching with pulsed plasmas
|
US8328494B2
(en)
|
2009-12-15 |
2012-12-11 |
Varian Semiconductor Equipment Associates, Inc. |
In vacuum optical wafer heater for cryogenic processing
|
US20110159202A1
(en)
|
2009-12-29 |
2011-06-30 |
Asm Japan K.K. |
Method for Sealing Pores at Surface of Dielectric Layer by UV Light-Assisted CVD
|
KR20110078326A
(ko)
|
2009-12-31 |
2011-07-07 |
삼성전자주식회사 |
유전막 형성 방법 및 이를 이용한 반도체 소자 제조 방법
|
USD653734S1
(en)
|
2010-01-08 |
2012-02-07 |
Bulk Tank, Inc. |
Screened gasket
|
US20110183269A1
(en)
|
2010-01-25 |
2011-07-28 |
Hongbin Zhu |
Methods Of Forming Patterns, And Methods For Trimming Photoresist Features
|
US8480942B2
(en)
|
2010-01-27 |
2013-07-09 |
The Board Of Trustees Of The University Of Illinois |
Method of forming a patterned layer of a material on a substrate
|
JP5258981B2
(ja)
|
2010-02-05 |
2013-08-07 |
東京エレクトロン株式会社 |
基板保持具及び基板搬送装置及び基板処理装置
|
KR101080604B1
(ko)
|
2010-02-09 |
2011-11-04 |
성균관대학교산학협력단 |
원자층 식각 장치 및 이를 이용한 식각 방법
|
US8293658B2
(en)
|
2010-02-17 |
2012-10-23 |
Asm America, Inc. |
Reactive site deactivation against vapor deposition
|
US8241991B2
(en)
|
2010-03-05 |
2012-08-14 |
Asm Japan K.K. |
Method for forming interconnect structure having airgap
|
FR2957716B1
(fr)
|
2010-03-18 |
2012-10-05 |
Soitec Silicon On Insulator |
Procede de finition d'un substrat de type semi-conducteur sur isolant
|
US8039388B1
(en)
|
2010-03-24 |
2011-10-18 |
Taiwam Semiconductor Manufacturing Company, Ltd. |
Main spacer trim-back method for replacement gate process
|
US8709551B2
(en)
|
2010-03-25 |
2014-04-29 |
Novellus Systems, Inc. |
Smooth silicon-containing films
|
US8252691B2
(en)
|
2010-04-14 |
2012-08-28 |
Asm Genitech Korea Ltd. |
Method of forming semiconductor patterns
|
US9611544B2
(en)
|
2010-04-15 |
2017-04-04 |
Novellus Systems, Inc. |
Plasma activated conformal dielectric film deposition
|
US9076646B2
(en)
|
2010-04-15 |
2015-07-07 |
Lam Research Corporation |
Plasma enhanced atomic layer deposition with pulsed plasma exposure
|
US8993460B2
(en)
|
2013-01-10 |
2015-03-31 |
Novellus Systems, Inc. |
Apparatuses and methods for depositing SiC/SiCN films via cross-metathesis reactions with organometallic co-reactants
|
US8728956B2
(en)
|
2010-04-15 |
2014-05-20 |
Novellus Systems, Inc. |
Plasma activated conformal film deposition
|
US8956983B2
(en)
|
2010-04-15 |
2015-02-17 |
Novellus Systems, Inc. |
Conformal doping via plasma activated atomic layer deposition and conformal film deposition
|
CZ303655B6
(cs)
|
2010-04-16 |
2013-01-30 |
Skutchanová@Zuzana |
Zpusob výroby brousicího povrchu skleneného kosmetického prípravku
|
KR101121858B1
(ko)
|
2010-04-27 |
2012-03-21 |
주식회사 하이닉스반도체 |
반도체 소자의 제조 방법
|
CH702999A1
(de)
|
2010-04-29 |
2011-10-31 |
Amt Ag |
Vorrichtung zur Beschichtung von Substraten mittels Hochgeschwindigkeitsflammspritzen.
|
US20110265951A1
(en)
|
2010-04-30 |
2011-11-03 |
Applied Materials, Inc. |
Twin chamber processing system
|
US8721798B2
(en)
|
2010-04-30 |
2014-05-13 |
Applied Materials, Inc. |
Methods for processing substrates in process systems having shared resources
|
US8707754B2
(en)
|
2010-04-30 |
2014-04-29 |
Applied Materials, Inc. |
Methods and apparatus for calibrating flow controllers in substrate processing systems
|
US20110294075A1
(en)
|
2010-05-25 |
2011-12-01 |
United Microelectronics Corp. |
Patterning method
|
WO2011149640A1
(en)
|
2010-05-28 |
2011-12-01 |
Exxonmobil Upstream Research Company |
Integrated adsorber head and valve design and swing adsorption methods related thereto
|
WO2011151996A1
(ja)
|
2010-06-01 |
2011-12-08 |
パナソニック株式会社 |
プラズマ処理装置及びプラズマ処理方法
|
US8912353B2
(en)
|
2010-06-02 |
2014-12-16 |
Air Products And Chemicals, Inc. |
Organoaminosilane precursors and methods for depositing films comprising same
|
TWI509695B
(zh)
|
2010-06-10 |
2015-11-21 |
Asm Int |
使膜選擇性沈積於基板上的方法
|
JP5525339B2
(ja)
|
2010-06-10 |
2014-06-18 |
ナブテスコ株式会社 |
ロボットアーム
|
US8721791B2
(en)
|
2010-07-28 |
2014-05-13 |
Applied Materials, Inc. |
Showerhead support structure for improved gas flow
|
US9443753B2
(en)
|
2010-07-30 |
2016-09-13 |
Applied Materials, Inc. |
Apparatus for controlling the flow of a gas in a process chamber
|
US8669185B2
(en)
|
2010-07-30 |
2014-03-11 |
Asm Japan K.K. |
Method of tailoring conformality of Si-containing film
|
US9449858B2
(en)
|
2010-08-09 |
2016-09-20 |
Applied Materials, Inc. |
Transparent reflector plate for rapid thermal processing chamber
|
US8357608B2
(en)
|
2010-08-09 |
2013-01-22 |
International Business Machines Corporation |
Multi component dielectric layer
|
US9783885B2
(en)
|
2010-08-11 |
2017-10-10 |
Unit Cell Diamond Llc |
Methods for producing diamond mass and apparatus therefor
|
US8685845B2
(en)
|
2010-08-20 |
2014-04-01 |
International Business Machines Corporation |
Epitaxial growth of silicon doped with carbon and phosphorus using hydrogen carrier gas
|
US8945305B2
(en)
|
2010-08-31 |
2015-02-03 |
Micron Technology, Inc. |
Methods of selectively forming a material using parylene coating
|
EP2426233B1
(en)
|
2010-09-03 |
2013-05-01 |
L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude |
Use of dialkyl monoalkoxy aluminum for the growth of Al2O3 thin films for photovoltaic applications
|
US8394466B2
(en)
|
2010-09-03 |
2013-03-12 |
Asm Japan K.K. |
Method of forming conformal film having si-N bonds on high-aspect ratio pattern
|
CN102383106B
(zh)
|
2010-09-03 |
2013-12-25 |
甘志银 |
快速清除残余反应气体的金属有机物化学气相沉积反应腔体
|
US20120058630A1
(en)
|
2010-09-08 |
2012-03-08 |
Veeco Instruments Inc. |
Linear Cluster Deposition System
|
US9685320B2
(en)
|
2010-09-23 |
2017-06-20 |
Lam Research Corporation |
Methods for depositing silicon oxide
|
USD654884S1
(en)
|
2010-10-21 |
2012-02-28 |
Tokyo Electron Limited |
Top plate for reactor for manufacturing semiconductor
|
US8192901B2
(en)
|
2010-10-21 |
2012-06-05 |
Asahi Glass Company, Limited |
Glass substrate-holding tool
|
US8845806B2
(en)
|
2010-10-22 |
2014-09-30 |
Asm Japan K.K. |
Shower plate having different aperture dimensions and/or distributions
|
JP2013542613A
(ja)
|
2010-10-27 |
2013-11-21 |
アプライド マテリアルズ インコーポレイテッド |
フォトレジスト線幅の荒れを制御するための方法及び装置
|
WO2012061593A2
(en)
|
2010-11-03 |
2012-05-10 |
Applied Materials, Inc. |
Apparatus and methods for deposition of silicon carbide and silicon carbonitride films
|
WO2012061278A1
(en)
|
2010-11-05 |
2012-05-10 |
Synos Technology, Inc. |
Radical reactor with multiple plasma chambers
|
US8470187B2
(en)
|
2010-11-05 |
2013-06-25 |
Asm Japan K.K. |
Method of depositing film with tailored comformality
|
US20120121823A1
(en)
|
2010-11-12 |
2012-05-17 |
Applied Materials, Inc. |
Process for lowering adhesion layer thickness and improving damage resistance for thin ultra low-k dielectric film
|
US20120149213A1
(en)
|
2010-12-09 |
2012-06-14 |
Lakshminarayana Nittala |
Bottom up fill in high aspect ratio trenches
|
KR101866719B1
(ko)
|
2010-12-20 |
2018-06-11 |
에베 그룹 에. 탈너 게엠베하 |
웨이퍼의 장착을 위한 수용 수단
|
US9719169B2
(en)
|
2010-12-20 |
2017-08-01 |
Novellus Systems, Inc. |
System and apparatus for flowable deposition in semiconductor fabrication
|
JP5735304B2
(ja)
|
2010-12-21 |
2015-06-17 |
株式会社日立国際電気 |
基板処理装置、基板の製造方法、半導体デバイスの製造方法およびガス供給管
|
US8314034B2
(en)
|
2010-12-23 |
2012-11-20 |
Intel Corporation |
Feature size reduction
|
JP2012138500A
(ja)
|
2010-12-27 |
2012-07-19 |
Tokyo Electron Ltd |
タングステン膜又は酸化タングステン膜上への酸化シリコン膜の成膜方法及び成膜装置
|
JP5675331B2
(ja)
|
2010-12-27 |
2015-02-25 |
東京エレクトロン株式会社 |
トレンチの埋め込み方法
|
US8901016B2
(en)
|
2010-12-28 |
2014-12-02 |
Asm Japan K.K. |
Method of forming metal oxide hardmask
|
JP5573666B2
(ja)
|
2010-12-28 |
2014-08-20 |
東京エレクトロン株式会社 |
原料供給装置及び成膜装置
|
FR2970110B1
(fr)
|
2010-12-29 |
2013-09-06 |
St Microelectronics Crolles 2 |
Procede de fabrication d'une couche de dielectrique polycristalline
|
JP6104817B2
(ja)
|
2010-12-30 |
2017-03-29 |
アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated |
マイクロ波プラズマを用いた薄膜堆積
|
JP5609663B2
(ja)
|
2011-01-18 |
2014-10-22 |
旭硝子株式会社 |
ガラス基板保持手段、およびそれを用いたeuvマスクブランクスの製造方法
|
US8465811B2
(en)
|
2011-01-28 |
2013-06-18 |
Asm Japan K.K. |
Method of depositing film by atomic layer deposition with pulse-time-modulated plasma
|
US20120263876A1
(en)
|
2011-02-14 |
2012-10-18 |
Asm Ip Holding B.V. |
Deposition of silicon dioxide on hydrophobic surfaces
|
US8329599B2
(en)
|
2011-02-18 |
2012-12-11 |
Asm Japan K.K. |
Method of depositing dielectric film by ALD using precursor containing silicon, hydrocarbon, and halogen
|
US8563443B2
(en)
|
2011-02-18 |
2013-10-22 |
Asm Japan K.K. |
Method of depositing dielectric film by ALD using precursor containing silicon, hydrocarbon, and halogen
|
TWM412450U
(en)
|
2011-02-21 |
2011-09-21 |
Santoma Ltd |
Ceramic Glass composite electrode and Fluorescent
|
US20120219824A1
(en)
|
2011-02-28 |
2012-08-30 |
Uchicago Argonne Llc |
Atomic layer deposition of super-conducting niobium silicide
|
WO2012118757A1
(en)
|
2011-03-01 |
2012-09-07 |
Exxonmobil Upstream Research Company |
Apparatus and systems having a reciprocating valve head assembly and swing adsorption processes related thereto
|
CN102655086B
(zh)
|
2011-03-03 |
2015-07-01 |
东京毅力科创株式会社 |
半导体器件的制造方法
|
US8466411B2
(en)
|
2011-03-03 |
2013-06-18 |
Asm Japan K.K. |
Calibration method of UV sensor for UV curing
|
JP5820731B2
(ja)
|
2011-03-22 |
2015-11-24 |
株式会社日立国際電気 |
基板処理装置および固体原料補充方法
|
JP5203482B2
(ja)
|
2011-03-28 |
2013-06-05 |
株式会社小松製作所 |
加熱装置
|
EP2691977B1
(en)
|
2011-03-31 |
2019-06-05 |
IMEC vzw |
Method for growing a monocrystalline tin-containing semiconductor material
|
US8900402B2
(en)
|
2011-05-10 |
2014-12-02 |
Lam Research Corporation |
Semiconductor processing system having multiple decoupled plasma sources
|
US8298951B1
(en)
|
2011-04-13 |
2012-10-30 |
Asm Japan K.K. |
Footing reduction using etch-selective layer
|
WO2012141067A1
(ja)
|
2011-04-15 |
2012-10-18 |
タツモ株式会社 |
ウエハ交換装置およびウエハ支持用ハンド
|
US8871617B2
(en)
|
2011-04-22 |
2014-10-28 |
Asm Ip Holding B.V. |
Deposition and reduction of mixed metal oxide thin films
|
US8492170B2
(en)
|
2011-04-25 |
2013-07-23 |
Applied Materials, Inc. |
UV assisted silylation for recovery and pore sealing of damaged low K films
|
US8592005B2
(en)
|
2011-04-26 |
2013-11-26 |
Asm Japan K.K. |
Atomic layer deposition for controlling vertical film growth
|
USD655055S1
(en)
|
2011-04-28 |
2012-02-28 |
Carolyn Grace Toll |
Pet outfit
|
US8809170B2
(en)
|
2011-05-19 |
2014-08-19 |
Asm America Inc. |
High throughput cyclical epitaxial deposition and etch process
|
US20120304935A1
(en)
|
2011-05-31 |
2012-12-06 |
Oosterlaken Theodorus G M |
Bubbler assembly and method for vapor flow control
|
US9312155B2
(en)
|
2011-06-06 |
2016-04-12 |
Asm Japan K.K. |
High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
|
US8927318B2
(en)
|
2011-06-14 |
2015-01-06 |
International Business Machines Corporation |
Spalling methods to form multi-junction photovoltaic structure
|
US9175392B2
(en)
|
2011-06-17 |
2015-11-03 |
Intermolecular, Inc. |
System for multi-region processing
|
US9793148B2
(en)
|
2011-06-22 |
2017-10-17 |
Asm Japan K.K. |
Method for positioning wafers in multiple wafer transport
|
US10364496B2
(en)
|
2011-06-27 |
2019-07-30 |
Asm Ip Holding B.V. |
Dual section module having shared and unshared mass flow controllers
|
US8962400B2
(en)
|
2011-07-07 |
2015-02-24 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
In-situ doping of arsenic for source and drain epitaxy
|
US20130014697A1
(en)
|
2011-07-12 |
2013-01-17 |
Asm Japan K.K. |
Container Having Multiple Compartments Containing Liquid Material for Multiple Wafer-Processing Chambers
|
US10854498B2
(en)
|
2011-07-15 |
2020-12-01 |
Asm Ip Holding B.V. |
Wafer-supporting device and method for producing same
|
JP5940342B2
(ja)
|
2011-07-15 |
2016-06-29 |
東京エレクトロン株式会社 |
基板搬送装置、基板処理システムおよび基板搬送方法、ならびに記憶媒体
|
US8617411B2
(en)
|
2011-07-20 |
2013-12-31 |
Lam Research Corporation |
Methods and apparatus for atomic layer etching
|
US20130023129A1
(en)
|
2011-07-20 |
2013-01-24 |
Asm America, Inc. |
Pressure transmitter for a semiconductor processing environment
|
US8778448B2
(en)
|
2011-07-21 |
2014-07-15 |
International Business Machines Corporation |
Method of stabilizing hydrogenated amorphous silicon and amorphous hydrogenated silicon alloys
|
US8551892B2
(en)
|
2011-07-27 |
2013-10-08 |
Asm Japan K.K. |
Method for reducing dielectric constant of film using direct plasma of hydrogen
|
US20130048606A1
(en)
|
2011-08-31 |
2013-02-28 |
Zhigang Mao |
Methods for in-situ chamber dry clean in photomask plasma etching processing chamber
|
US20130217239A1
(en)
|
2011-09-09 |
2013-08-22 |
Applied Materials, Inc. |
Flowable silicon-and-carbon-containing layers for semiconductor processing
|
US20130217240A1
(en)
|
2011-09-09 |
2013-08-22 |
Applied Materials, Inc. |
Flowable silicon-carbon-nitrogen layers for semiconductor processing
|
US20130217243A1
(en)
|
2011-09-09 |
2013-08-22 |
Applied Materials, Inc. |
Doping of dielectric layers
|
US20130217241A1
(en)
|
2011-09-09 |
2013-08-22 |
Applied Materials, Inc. |
Treatments for decreasing etch rates after flowable deposition of silicon-carbon-and-nitrogen-containing layers
|
US20130064973A1
(en)
|
2011-09-09 |
2013-03-14 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Chamber Conditioning Method
|
US20130068970A1
(en)
|
2011-09-21 |
2013-03-21 |
Asm Japan K.K. |
UV Irradiation Apparatus Having UV Lamp-Shared Multiple Process Stations
|
JP5549655B2
(ja)
|
2011-09-26 |
2014-07-16 |
株式会社安川電機 |
ハンドおよびロボット
|
US8993072B2
(en)
|
2011-09-27 |
2015-03-31 |
Air Products And Chemicals, Inc. |
Halogenated organoaminosilane precursors and methods for depositing films comprising same
|
US9644796B2
(en)
|
2011-09-29 |
2017-05-09 |
Applied Materials, Inc. |
Methods for in-situ calibration of a flow controller
|
US8569184B2
(en)
|
2011-09-30 |
2013-10-29 |
Asm Japan K.K. |
Method for forming single-phase multi-element film by PEALD
|
US9341296B2
(en)
|
2011-10-27 |
2016-05-17 |
Asm America, Inc. |
Heater jacket for a fluid line
|
US9096931B2
(en)
|
2011-10-27 |
2015-08-04 |
Asm America, Inc |
Deposition valve assembly and method of heating the same
|
US9017481B1
(en)
|
2011-10-28 |
2015-04-28 |
Asm America, Inc. |
Process feed management for semiconductor substrate processing
|
TWI541377B
(zh)
|
2011-11-04 |
2016-07-11 |
Asm國際股份有限公司 |
形成摻雜二氧化矽薄膜的方法
|
US8927059B2
(en)
|
2011-11-08 |
2015-01-06 |
Applied Materials, Inc. |
Deposition of metal films using alane-based precursors
|
US20130122712A1
(en)
|
2011-11-14 |
2013-05-16 |
Jong Mun Kim |
Method of etching high aspect ratio features in a dielectric layer
|
US9167625B2
(en)
|
2011-11-23 |
2015-10-20 |
Asm Ip Holding B.V. |
Radiation shielding for a substrate holder
|
US9005539B2
(en)
|
2011-11-23 |
2015-04-14 |
Asm Ip Holding B.V. |
Chamber sealing member
|
US10276410B2
(en)
|
2011-11-25 |
2019-04-30 |
Nhk Spring Co., Ltd. |
Substrate support device
|
US8633115B2
(en)
|
2011-11-30 |
2014-01-21 |
Applied Materials, Inc. |
Methods for atomic layer etching
|
KR101891458B1
(ko)
|
2011-12-20 |
2018-08-24 |
인텔 코포레이션 |
Iii-v 반도체 재료 층을 갖는 반도체 디바이스
|
USD691974S1
(en)
|
2011-12-22 |
2013-10-22 |
Tokyo Electron Limited |
Holding pad for transferring a wafer
|
CN104126228B
(zh)
|
2011-12-23 |
2016-12-07 |
英特尔公司 |
非平面栅极全包围器件及其制造方法
|
US9388492B2
(en)
|
2011-12-27 |
2016-07-12 |
Asm America, Inc. |
Vapor flow control apparatus for atomic layer deposition
|
US8883028B2
(en)
|
2011-12-28 |
2014-11-11 |
Lam Research Corporation |
Mixed mode pulsing etching in plasma processing systems
|
US8659066B2
(en)
|
2012-01-06 |
2014-02-25 |
International Business Machines Corporation |
Integrated circuit with a thin body field effect transistor and capacitor
|
USD676943S1
(en)
|
2012-01-11 |
2013-02-26 |
Bill Kluss |
Pipe end cap
|
US20130183814A1
(en)
|
2012-01-13 |
2013-07-18 |
Applied Materials, Inc. |
Method of depositing a silicon germanium tin layer on a substrate
|
USD665055S1
(en)
|
2012-01-24 |
2012-08-07 |
Asm Ip Holding B.V. |
Shower plate
|
JP5601331B2
(ja)
|
2012-01-26 |
2014-10-08 |
株式会社安川電機 |
ロボットハンドおよびロボット
|
US9177826B2
(en)
|
2012-02-02 |
2015-11-03 |
Globalfoundries Inc. |
Methods of forming metal nitride materials
|
US8728955B2
(en)
|
2012-02-14 |
2014-05-20 |
Novellus Systems, Inc. |
Method of plasma activated deposition of a conformal film on a substrate surface
|
JP5912637B2
(ja)
|
2012-02-17 |
2016-04-27 |
東京エレクトロン株式会社 |
半導体装置の製造方法
|
US20130224964A1
(en)
|
2012-02-28 |
2013-08-29 |
Asm Ip Holding B.V. |
Method for Forming Dielectric Film Containing Si-C bonds by Atomic Layer Deposition Using Precursor Containing Si-C-Si bond
|
US9202727B2
(en)
|
2012-03-02 |
2015-12-01 |
ASM IP Holding |
Susceptor heater shim
|
WO2013134661A1
(en)
|
2012-03-09 |
2013-09-12 |
Air Products And Chemicals, Inc. |
Barrier materials for display devices
|
US8912101B2
(en)
|
2012-03-15 |
2014-12-16 |
Asm Ip Holding B.V. |
Method for forming Si-containing film using two precursors by ALD
|
USD715410S1
(en)
|
2012-03-21 |
2014-10-14 |
Blucher Metal A/S |
Roof drain
|
US9082684B2
(en)
|
2012-04-02 |
2015-07-14 |
Applied Materials, Inc. |
Method of epitaxial doped germanium tin alloy formation
|
US8946830B2
(en)
|
2012-04-04 |
2015-02-03 |
Asm Ip Holdings B.V. |
Metal oxide protective layer for a semiconductor device
|
US20130269612A1
(en)
|
2012-04-16 |
2013-10-17 |
Hermes-Epitek Corporation |
Gas Treatment Apparatus with Surrounding Spray Curtains
|
US8535767B1
(en)
|
2012-04-18 |
2013-09-17 |
Asm Ip Holding B.V. |
Method for repairing damage of dielectric film by hydrocarbon restoration and hydrocarbon depletion using UV irradiation
|
US8647439B2
(en)
|
2012-04-26 |
2014-02-11 |
Applied Materials, Inc. |
Method of epitaxial germanium tin alloy surface preparation
|
TWI622664B
(zh)
|
2012-05-02 |
2018-05-01 |
Asm智慧財產控股公司 |
相穩定薄膜,包括該薄膜之結構及裝置,及其形成方法
|
US8728832B2
(en)
|
2012-05-07 |
2014-05-20 |
Asm Ip Holdings B.V. |
Semiconductor device dielectric interface layer
|
JP2013235912A
(ja)
|
2012-05-08 |
2013-11-21 |
Tokyo Electron Ltd |
被処理基体をエッチングする方法、及びプラズマエッチング装置
|
US8846543B2
(en)
|
2012-05-24 |
2014-09-30 |
Jinhong Tong |
Methods of atomic layer deposition of hafnium oxide / erbium oxide bi-layer as advanced gate dielectrics
|
US8785215B2
(en)
|
2012-05-31 |
2014-07-22 |
Asm Ip Holding B.V. |
Method for repairing damage of dielectric film by cyclic processes
|
US20130320429A1
(en)
|
2012-05-31 |
2013-12-05 |
Asm Ip Holding B.V. |
Processes and structures for dopant profile control in epitaxial trench fill
|
US9337018B2
(en)
|
2012-06-01 |
2016-05-10 |
Air Products And Chemicals, Inc. |
Methods for depositing films with organoaminodisilane precursors
|
US9978585B2
(en)
|
2012-06-01 |
2018-05-22 |
Versum Materials Us, Llc |
Organoaminodisilane precursors and methods for depositing films comprising same
|
US20130330911A1
(en)
|
2012-06-08 |
2013-12-12 |
Yi-Chiau Huang |
Method of semiconductor film stabilization
|
US8722546B2
(en)
|
2012-06-11 |
2014-05-13 |
Asm Ip Holding B.V. |
Method for forming silicon-containing dielectric film by cyclic deposition with side wall coverage control
|
US9984866B2
(en)
|
2012-06-12 |
2018-05-29 |
Component Re-Engineering Company, Inc. |
Multiple zone heater
|
US20130337653A1
(en)
|
2012-06-15 |
2013-12-19 |
Asm Ip Holding B.V. |
Semiconductor processing apparatus with compact free radical source
|
US8962078B2
(en)
|
2012-06-22 |
2015-02-24 |
Tokyo Electron Limited |
Method for depositing dielectric films
|
US8933375B2
(en)
|
2012-06-27 |
2015-01-13 |
Asm Ip Holding B.V. |
Susceptor heater and method of heating a substrate
|
US10535735B2
(en)
|
2012-06-29 |
2020-01-14 |
Intel Corporation |
Contact resistance reduced P-MOS transistors employing Ge-rich contact layer
|
US9023737B2
(en)
|
2012-07-11 |
2015-05-05 |
Asm Ip Holding B.V. |
Method for forming conformal, homogeneous dielectric film by cyclic deposition and heat treatment
|
US8784950B2
(en)
|
2012-07-16 |
2014-07-22 |
Asm Ip Holding B.V. |
Method for forming aluminum oxide film using Al compound containing alkyl group and alkoxy or alkylamine group
|
EP2875166B1
(en)
|
2012-07-20 |
2018-04-11 |
L'Air Liquide Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude |
Organosilane precursors for ald/cvd silicon-containing film applications
|
US9911676B2
(en)
|
2012-07-27 |
2018-03-06 |
Asm Ip Holding B.V. |
System and method for gas-phase passivation of a semiconductor surface
|
US9558931B2
(en)
|
2012-07-27 |
2017-01-31 |
Asm Ip Holding B.V. |
System and method for gas-phase sulfur passivation of a semiconductor surface
|
US9117866B2
(en)
|
2012-07-31 |
2015-08-25 |
Asm Ip Holding B.V. |
Apparatus and method for calculating a wafer position in a processing chamber under process conditions
|
US8911826B2
(en)
|
2012-08-02 |
2014-12-16 |
Asm Ip Holding B.V. |
Method of parallel shift operation of multiple reactors
|
US8664627B1
(en)
|
2012-08-08 |
2014-03-04 |
Asm Ip Holding B.V. |
Method for supplying gas with flow rate gradient over substrate
|
US8912070B2
(en)
|
2012-08-16 |
2014-12-16 |
The Institute of Microelectronics Chinese Academy of Science |
Method for manufacturing semiconductor device
|
US9169975B2
(en)
|
2012-08-28 |
2015-10-27 |
Asm Ip Holding B.V. |
Systems and methods for mass flow controller verification
|
US9659799B2
(en)
|
2012-08-28 |
2017-05-23 |
Asm Ip Holding B.V. |
Systems and methods for dynamic semiconductor process scheduling
|
US8742668B2
(en)
|
2012-09-05 |
2014-06-03 |
Asm Ip Holdings B.V. |
Method for stabilizing plasma ignition
|
WO2014039194A1
(en)
|
2012-09-07 |
2014-03-13 |
Applied Materials, Inc. |
Integrated processing of porous dielectric, polymer-coated substrates and epoxy within a multi-chamber vacuum system confirmation
|
US9021985B2
(en)
|
2012-09-12 |
2015-05-05 |
Asm Ip Holdings B.V. |
Process gas management for an inductively-coupled plasma deposition reactor
|
US20140077240A1
(en)
|
2012-09-17 |
2014-03-20 |
Radek Roucka |
Iv material photonic device on dbr
|
US8921207B2
(en)
|
2012-09-24 |
2014-12-30 |
Asm Ip Holding B.V., Inc. |
Tin precursors for vapor deposition and deposition processes
|
US9324811B2
(en)
|
2012-09-26 |
2016-04-26 |
Asm Ip Holding B.V. |
Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
|
JP6042160B2
(ja)
|
2012-10-03 |
2016-12-14 |
東京エレクトロン株式会社 |
成膜方法及び成膜装置
|
US9353441B2
(en)
|
2012-10-05 |
2016-05-31 |
Asm Ip Holding B.V. |
Heating/cooling pedestal for semiconductor-processing apparatus
|
US20140099798A1
(en)
|
2012-10-05 |
2014-04-10 |
Asm Ip Holding B.V. |
UV-Curing Apparatus Provided With Wavelength-Tuned Excimer Lamp and Method of Processing Semiconductor Substrate Using Same
|
US10714315B2
(en)
|
2012-10-12 |
2020-07-14 |
Asm Ip Holdings B.V. |
Semiconductor reaction chamber showerhead
|
JP2014086472A
(ja)
|
2012-10-19 |
2014-05-12 |
Sinfonia Technology Co Ltd |
クランプ装置及びワーク搬送ロボット
|
US9064948B2
(en)
|
2012-10-22 |
2015-06-23 |
Globalfoundries Inc. |
Methods of forming a semiconductor device with low-k spacers and the resulting device
|
US9230815B2
(en)
|
2012-10-26 |
2016-01-05 |
Appled Materials, Inc. |
Methods for depositing fluorine/carbon-free conformal tungsten
|
US20140116335A1
(en)
|
2012-10-31 |
2014-05-01 |
Asm Ip Holding B.V. |
UV Irradiation Apparatus with Cleaning Mechanism and Method for Cleaning UV Irradiation Apparatus
|
JP5960028B2
(ja)
|
2012-10-31 |
2016-08-02 |
東京エレクトロン株式会社 |
熱処理装置
|
US9105587B2
(en)
|
2012-11-08 |
2015-08-11 |
Micron Technology, Inc. |
Methods of forming semiconductor structures with sulfur dioxide etch chemistries
|
US8784951B2
(en)
|
2012-11-16 |
2014-07-22 |
Asm Ip Holding B.V. |
Method for forming insulation film using non-halide precursor having four or more silicons
|
US9362092B2
(en)
|
2012-12-07 |
2016-06-07 |
LGS Innovations LLC |
Gas dispersion disc assembly
|
US9640416B2
(en)
|
2012-12-26 |
2017-05-02 |
Asm Ip Holding B.V. |
Single-and dual-chamber module-attachable wafer-handling chamber
|
US20140182053A1
(en)
|
2012-12-29 |
2014-07-03 |
Alexander Yeh Industry Co., Ltd. |
Pullable drain plug
|
US10557190B2
(en)
|
2013-01-24 |
2020-02-11 |
Tokyo Electron Limited |
Substrate processing apparatus and susceptor
|
US9018093B2
(en)
|
2013-01-25 |
2015-04-28 |
Asm Ip Holding B.V. |
Method for forming layer constituted by repeated stacked layers
|
KR20140095738A
(ko)
|
2013-01-25 |
2014-08-04 |
삼성전자주식회사 |
트랜지스터 및 그 제조 방법
|
US20160376700A1
(en)
|
2013-02-01 |
2016-12-29 |
Asm Ip Holding B.V. |
System for treatment of deposition reactor
|
US8894870B2
(en)
|
2013-02-01 |
2014-11-25 |
Asm Ip Holding B.V. |
Multi-step method and apparatus for etching compounds containing a metal
|
US10256079B2
(en)
|
2013-02-08 |
2019-04-09 |
Applied Materials, Inc. |
Semiconductor processing systems having multiple plasma configurations
|
KR20140102782A
(ko)
|
2013-02-14 |
2014-08-25 |
삼성전자주식회사 |
웨이퍼 이송용 블레이드 및 이를 포함하는 웨이퍼 이송 장치
|
USD743357S1
(en)
*
|
2013-03-01 |
2015-11-17 |
Asm Ip Holding B.V. |
Susceptor
|
US9589770B2
(en)
|
2013-03-08 |
2017-03-07 |
Asm Ip Holding B.V. |
Method and systems for in-situ formation of intermediate reactive species
|
USD723153S1
(en)
|
2013-03-08 |
2015-02-24 |
Olen Borkholder |
Recess ceiling fan bezel
|
US10170282B2
(en)
|
2013-03-08 |
2019-01-01 |
Applied Materials, Inc. |
Insulated semiconductor faceplate designs
|
US9484191B2
(en)
|
2013-03-08 |
2016-11-01 |
Asm Ip Holding B.V. |
Pulsed remote plasma method and system
|
US9824881B2
(en)
|
2013-03-14 |
2017-11-21 |
Asm Ip Holding B.V. |
Si precursors for deposition of SiN at low temperatures
|
US9564309B2
(en)
|
2013-03-14 |
2017-02-07 |
Asm Ip Holding B.V. |
Si precursors for deposition of SiN at low temperatures
|
US8841182B1
(en)
|
2013-03-14 |
2014-09-23 |
Asm Ip Holding B.V. |
Silane and borane treatments for titanium carbide films
|
US9666702B2
(en)
|
2013-03-15 |
2017-05-30 |
Matthew H. Kim |
Advanced heterojunction devices and methods of manufacturing advanced heterojunction devices
|
US20140273530A1
(en)
|
2013-03-15 |
2014-09-18 |
Victor Nguyen |
Post-Deposition Treatment Methods For Silicon Nitride
|
JP6096547B2
(ja)
|
2013-03-21 |
2017-03-15 |
東京エレクトロン株式会社 |
プラズマ処理装置及びシャワープレート
|
USD734377S1
(en)
|
2013-03-28 |
2015-07-14 |
Hirata Corporation |
Top cover of a load lock chamber
|
US8864202B1
(en)
|
2013-04-12 |
2014-10-21 |
Varian Semiconductor Equipment Associates, Inc. |
Spring retained end effector contact pad
|
US9142393B2
(en)
|
2013-05-23 |
2015-09-22 |
Asm Ip Holding B.V. |
Method for cleaning reaction chamber using pre-cleaning process
|
US9365924B2
(en)
|
2013-05-23 |
2016-06-14 |
Asm Ip Holding B.V. |
Method for forming film by plasma-assisted deposition using two-frequency combined pulsed RF power
|
USD726365S1
(en)
|
2013-05-29 |
2015-04-07 |
Sis Resources Ltd. |
Mouthpiece plug for electronic cigarette
|
US9245740B2
(en)
|
2013-06-07 |
2016-01-26 |
Dnf Co., Ltd. |
Amino-silyl amine compound, method for preparing the same and silicon-containing thin-film using the same
|
US9117657B2
(en)
|
2013-06-07 |
2015-08-25 |
Asm Ip Holding B.V. |
Method for filling recesses using pre-treatment with hydrocarbon-containing gas
|
US9123510B2
(en)
|
2013-06-12 |
2015-09-01 |
ASM IP Holding, B.V. |
Method for controlling in-plane uniformity of substrate processed by plasma-assisted process
|
US20140367043A1
(en)
|
2013-06-17 |
2014-12-18 |
Applied Materials, Inc. |
Method for fast and repeatable plasma ignition and tuning in plasma chambers
|
CN105164791A
(zh)
|
2013-06-26 |
2015-12-16 |
应用材料公司 |
沉积金属合金膜的方法
|
US9677176B2
(en)
|
2013-07-03 |
2017-06-13 |
Novellus Systems, Inc. |
Multi-plenum, dual-temperature showerhead
|
USD705745S1
(en)
|
2013-07-08 |
2014-05-27 |
Witricity Corporation |
Printed resonator coil
|
JP5861676B2
(ja)
|
2013-07-08 |
2016-02-16 |
株式会社安川電機 |
吸着構造、ロボットハンドおよびロボット
|
US8940646B1
(en)
|
2013-07-12 |
2015-01-27 |
Lam Research Corporation |
Sequential precursor dosing in an ALD multi-station/batch reactor
|
US8993054B2
(en)
|
2013-07-12 |
2015-03-31 |
Asm Ip Holding B.V. |
Method and system to reduce outgassing in a reaction chamber
|
US9018111B2
(en)
|
2013-07-22 |
2015-04-28 |
Asm Ip Holding B.V. |
Semiconductor reaction chamber with plasma capabilities
|
US9396934B2
(en)
|
2013-08-14 |
2016-07-19 |
Asm Ip Holding B.V. |
Methods of forming films including germanium tin and structures and devices including the films
|
US9190263B2
(en)
|
2013-08-22 |
2015-11-17 |
Asm Ip Holding B.V. |
Method for forming SiOCH film using organoaminosilane annealing
|
US9136108B2
(en)
|
2013-09-04 |
2015-09-15 |
Asm Ip Holding B.V. |
Method for restoring porous surface of dielectric layer by UV light-assisted ALD
|
USD724553S1
(en)
|
2013-09-13 |
2015-03-17 |
Asm Ip Holding B.V. |
Substrate supporter for semiconductor deposition apparatus
|
USD716742S1
(en)
|
2013-09-13 |
2014-11-04 |
Asm Ip Holding B.V. |
Substrate supporter for semiconductor deposition apparatus
|
US10312127B2
(en)
|
2013-09-16 |
2019-06-04 |
Applied Materials, Inc. |
Compliant robot blade for defect reduction
|
US9284642B2
(en)
|
2013-09-19 |
2016-03-15 |
Asm Ip Holding B.V. |
Method for forming oxide film by plasma-assisted processing
|
WO2015048303A1
(en)
|
2013-09-26 |
2015-04-02 |
Applied Materials, Inc |
Pneumatic end effector apparatus, substrate transportation systems, and methods for transporting substrates
|
US9240412B2
(en)
|
2013-09-27 |
2016-01-19 |
Asm Ip Holding B.V. |
Semiconductor structure and device and methods of forming same using selective epitaxial process
|
US9396986B2
(en)
|
2013-10-04 |
2016-07-19 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Mechanism of forming a trench structure
|
US9556516B2
(en)
|
2013-10-09 |
2017-01-31 |
ASM IP Holding B.V |
Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
|
US20150111374A1
(en)
|
2013-10-18 |
2015-04-23 |
International Business Machines Corporation |
Surface treatment in a dep-etch-dep process
|
US9029272B1
(en)
|
2013-10-31 |
2015-05-12 |
Asm Ip Holding B.V. |
Method for treating SiOCH film with hydrogen plasma
|
USD739222S1
(en)
|
2013-11-13 |
2015-09-22 |
Jeff Chadbourne |
Two-piece magnetic clamp
|
US9605343B2
(en)
|
2013-11-13 |
2017-03-28 |
Asm Ip Holding B.V. |
Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
|
US10179947B2
(en)
|
2013-11-26 |
2019-01-15 |
Asm Ip Holding B.V. |
Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
|
US9620382B2
(en)
|
2013-12-06 |
2017-04-11 |
University Of Maryland, College Park |
Reactor for plasma-based atomic layer etching of materials
|
TW201525173A
(zh)
|
2013-12-09 |
2015-07-01 |
Applied Materials Inc |
選擇性層沉積之方法
|
US10431489B2
(en)
|
2013-12-17 |
2019-10-01 |
Applied Materials, Inc. |
Substrate support apparatus having reduced substrate particle generation
|
US9698035B2
(en)
|
2013-12-23 |
2017-07-04 |
Lam Research Corporation |
Microstructures for improved wafer handling
|
JP6247095B2
(ja)
|
2013-12-27 |
2017-12-13 |
株式会社日立国際電気 |
半導体装置の製造方法、基板処理装置およびプログラム
|
USD726884S1
(en)
|
2014-02-04 |
2015-04-14 |
Asm Ip Holding B.V. |
Heater block
|
USD725168S1
(en)
|
2014-02-04 |
2015-03-24 |
Asm Ip Holding B.V. |
Heater block
|
USD732644S1
(en)
|
2014-02-04 |
2015-06-23 |
Asm Ip Holding B.V. |
Top plate
|
USD732145S1
(en)
|
2014-02-04 |
2015-06-16 |
Asm Ip Holding B.V. |
Shower plate
|
USD724701S1
(en)
|
2014-02-04 |
2015-03-17 |
ASM IP Holding, B.V. |
Shower plate
|
USD720838S1
(en)
|
2014-02-04 |
2015-01-06 |
Asm Ip Holding B.V. |
Shower plate
|
US9370863B2
(en)
|
2014-02-04 |
2016-06-21 |
Asm Ip Holding B.V. |
Anti-slip end-effector for transporting workpiece
|
US10683571B2
(en)
|
2014-02-25 |
2020-06-16 |
Asm Ip Holding B.V. |
Gas supply manifold and method of supplying gases to chamber using same
|
US9576952B2
(en)
|
2014-02-25 |
2017-02-21 |
Globalfoundries Inc. |
Integrated circuits with varying gate structures and fabrication methods
|
US9425078B2
(en)
|
2014-02-26 |
2016-08-23 |
Lam Research Corporation |
Inhibitor plasma mediated atomic layer deposition for seamless feature fill
|
US10167557B2
(en)
|
2014-03-18 |
2019-01-01 |
Asm Ip Holding B.V. |
Gas distribution system, reactor including the system, and methods of using the same
|
US9447498B2
(en)
|
2014-03-18 |
2016-09-20 |
Asm Ip Holding B.V. |
Method for performing uniform processing in gas system-sharing multiple reaction chambers
|
US11015245B2
(en)
|
2014-03-19 |
2021-05-25 |
Asm Ip Holding B.V. |
Gas-phase reactor and system having exhaust plenum and components thereof
|
US20150267295A1
(en)
|
2014-03-19 |
2015-09-24 |
Asm Ip Holding B.V. |
Removable substrate tray and assembly and reactor including same
|
US9343350B2
(en)
|
2014-04-03 |
2016-05-17 |
Asm Ip Holding B.V. |
Anti-slip end effector for transporting workpiece using van der waals force
|
US9663857B2
(en)
|
2014-04-07 |
2017-05-30 |
Asm Ip Holding B.V. |
Method for stabilizing reaction chamber pressure
|
US9404587B2
(en)
|
2014-04-24 |
2016-08-02 |
ASM IP Holding B.V |
Lockout tagout for semiconductor vacuum valve
|
US9464352B2
(en)
|
2014-05-02 |
2016-10-11 |
Asm Ip Holding B.V. |
Low-oxidation plasma-assisted process
|
TWI518751B
(zh)
|
2014-05-14 |
2016-01-21 |
國立清華大學 |
成分元素濃度漸變分佈之載子通道及其製作方法
|
USD733262S1
(en)
|
2014-05-22 |
2015-06-30 |
Young Boung Kang |
Disposer of connection member for kitchen sink bowl
|
JP2016005900A
(ja)
|
2014-05-27 |
2016-01-14 |
パナソニックIpマネジメント株式会社 |
ガスバリア膜、ガスバリア膜付きフィルム基板およびこれを備えた電子デバイス。
|
US9773683B2
(en)
|
2014-06-09 |
2017-09-26 |
American Air Liquide, Inc. |
Atomic layer or cyclic plasma etching chemistries and processes
|
USD743513S1
(en)
|
2014-06-13 |
2015-11-17 |
Asm Ip Holding B.V. |
Seal ring
|
US10858737B2
(en)
|
2014-07-28 |
2020-12-08 |
Asm Ip Holding B.V. |
Showerhead assembly and components thereof
|
US9617638B2
(en)
|
2014-07-30 |
2017-04-11 |
Lam Research Corporation |
Methods and apparatuses for showerhead backside parasitic plasma suppression in a secondary purge enabled ALD system
|
US9543180B2
(en)
|
2014-08-01 |
2017-01-10 |
Asm Ip Holding B.V. |
Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
|
US9890456B2
(en)
|
2014-08-21 |
2018-02-13 |
Asm Ip Holding B.V. |
Method and system for in situ formation of gas-phase compounds
|
US9558946B2
(en)
|
2014-10-03 |
2017-01-31 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
FinFETs and methods of forming FinFETs
|
KR102300403B1
(ko)
|
2014-11-19 |
2021-09-09 |
에이에스엠 아이피 홀딩 비.브이. |
박막 증착 방법
|
US11637002B2
(en)
|
2014-11-26 |
2023-04-25 |
Applied Materials, Inc. |
Methods and systems to enhance process uniformity
|
US9515072B2
(en)
|
2014-12-26 |
2016-12-06 |
Taiwan Semiconductor Manufacturing Company Ltd. |
FinFET structure and method for manufacturing thereof
|
US9324846B1
(en)
|
2015-01-08 |
2016-04-26 |
Globalfoundries Inc. |
Field plate in heterojunction bipolar transistor with improved break-down voltage
|
US9396956B1
(en)
|
2015-01-16 |
2016-07-19 |
Asm Ip Holding B.V. |
Method of plasma-enhanced atomic layer etching
|
US10276355B2
(en)
|
2015-03-12 |
2019-04-30 |
Asm Ip Holding B.V. |
Multi-zone reactor, system including the reactor, and method of using the same
|
US10566187B2
(en)
|
2015-03-20 |
2020-02-18 |
Lam Research Corporation |
Ultrathin atomic layer deposition film accuracy thickness control
|
US9777025B2
(en)
|
2015-03-30 |
2017-10-03 |
L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges Claude |
Si-containing film forming precursors and methods of using the same
|
JP1545222S
(zh)
*
|
2015-06-10 |
2016-03-07 |
|
|
US9899291B2
(en)
|
2015-07-13 |
2018-02-20 |
Asm Ip Holding B.V. |
Method for protecting layer by forming hydrocarbon-based extremely thin film
|
US9647114B2
(en)
|
2015-08-14 |
2017-05-09 |
Asm Ip Holding B.V. |
Methods of forming highly p-type doped germanium tin films and structures and devices including the films
|
US9960072B2
(en)
|
2015-09-29 |
2018-05-01 |
Asm Ip Holding B.V. |
Variable adjustment for precise matching of multiple chamber cavity housings
|
US10695794B2
(en)
|
2015-10-09 |
2020-06-30 |
Asm Ip Holding B.V. |
Vapor phase deposition of organic films
|
US9909214B2
(en)
|
2015-10-15 |
2018-03-06 |
Asm Ip Holding B.V. |
Method for depositing dielectric film in trenches by PEALD
|
US10322384B2
(en)
|
2015-11-09 |
2019-06-18 |
Asm Ip Holding B.V. |
Counter flow mixer for process chamber
|
US9455138B1
(en)
|
2015-11-10 |
2016-09-27 |
Asm Ip Holding B.V. |
Method for forming dielectric film in trenches by PEALD using H-containing gas
|
TWD177995S
(zh)
*
|
2015-11-18 |
2016-09-01 |
Asm Ip Holding Bv |
用於半導體製造設備之氣體供應板
|
TWD178425S
(zh)
*
|
2016-01-08 |
2016-09-21 |
Asm Ip Holding Bv |
用於半導體製造設備的電極板
|
TWD178424S
(zh)
*
|
2016-01-08 |
2016-09-21 |
Asm Ip Holding Bv |
用於半導體製造設備的氣流控制板
|
TWD178698S
(zh)
*
|
2016-01-08 |
2016-10-01 |
Asm Ip Holding Bv |
用於半導體製造設備的反應器外壁
|
USD785766S1
(en)
*
|
2016-06-15 |
2017-05-02 |
Asm Ip Holding B.V. |
Shower plate
|