JP2013149983A - ダイナミックアレイ・アーキテクチャ - Google Patents

ダイナミックアレイ・アーキテクチャ Download PDF

Info

Publication number
JP2013149983A
JP2013149983A JP2013031124A JP2013031124A JP2013149983A JP 2013149983 A JP2013149983 A JP 2013149983A JP 2013031124 A JP2013031124 A JP 2013031124A JP 2013031124 A JP2013031124 A JP 2013031124A JP 2013149983 A JP2013149983 A JP 2013149983A
Authority
JP
Japan
Prior art keywords
gate electrode
linear
semiconductor device
adjacent
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2013031124A
Other languages
English (en)
Other versions
JP5638642B2 (ja
JP2013149983A5 (ja
Inventor
T Becker Scott
スコット ティー ベッカー
C Smayling Michael
マイケル シー スメイリング
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tela Innovations Inc
Original Assignee
Tela Innovations Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=38475620&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=JP2013149983(A) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by Tela Innovations Inc filed Critical Tela Innovations Inc
Publication of JP2013149983A publication Critical patent/JP2013149983A/ja
Publication of JP2013149983A5 publication Critical patent/JP2013149983A5/ja
Application granted granted Critical
Publication of JP5638642B2 publication Critical patent/JP5638642B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/10Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration
    • H01L27/118Masterslice integrated circuits
    • H01L27/11803Masterslice integrated circuits using field effect technology
    • H01L27/11807CMOS gate arrays
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/392Floor-planning or layout, e.g. partitioning or placement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28123Lithography-related aspects, e.g. sub-lithography lengths; Isolation-related aspects, e.g. to solve problems arising at the crossing with the side of the device isolation; Planarisation aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823871Complementary field-effect transistors, e.g. CMOS interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5283Cross-sectional geometry
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/0203Particular design considerations for integrated circuits
    • H01L27/0207Geometrical layout of the components, e.g. computer aided design; custom LSI, semi-custom LSI, standard cell technique
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42372Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42372Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out
    • H01L29/42376Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out characterised by the length or the sectional shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/10Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration
    • H01L27/118Masterslice integrated circuits
    • H01L27/11803Masterslice integrated circuits using field effect technology
    • H01L27/11807CMOS gate arrays
    • H01L2027/11809Microarchitecture
    • H01L2027/11811Basic cell P to N transistor count
    • H01L2027/118124-T CMOS basic cell
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/10Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration
    • H01L27/118Masterslice integrated circuits
    • H01L27/11803Masterslice integrated circuits using field effect technology
    • H01L27/11807CMOS gate arrays
    • H01L2027/11809Microarchitecture
    • H01L2027/11811Basic cell P to N transistor count
    • H01L2027/118145-T CMOS basic cell
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/10Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration
    • H01L27/118Masterslice integrated circuits
    • H01L27/11803Masterslice integrated circuits using field effect technology
    • H01L27/11807CMOS gate arrays
    • H01L2027/11809Microarchitecture
    • H01L2027/11851Technology used, i.e. design rules
    • H01L2027/11855Twin-tub technology
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/10Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration
    • H01L27/118Masterslice integrated circuits
    • H01L27/11803Masterslice integrated circuits using field effect technology
    • H01L27/11807CMOS gate arrays
    • H01L2027/11809Microarchitecture
    • H01L2027/11859Connectibility characteristics, i.e. diffusion and polysilicon geometries
    • H01L2027/11861Substrate and well contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/10Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration
    • H01L27/118Masterslice integrated circuits
    • H01L27/11803Masterslice integrated circuits using field effect technology
    • H01L27/11807CMOS gate arrays
    • H01L2027/11809Microarchitecture
    • H01L2027/11859Connectibility characteristics, i.e. diffusion and polysilicon geometries
    • H01L2027/11862Horizontal or vertical grid line density
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/10Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration
    • H01L27/118Masterslice integrated circuits
    • H01L27/11803Masterslice integrated circuits using field effect technology
    • H01L27/11807CMOS gate arrays
    • H01L2027/11809Microarchitecture
    • H01L2027/11859Connectibility characteristics, i.e. diffusion and polysilicon geometries
    • H01L2027/11864Yield or reliability
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/10Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration
    • H01L27/118Masterslice integrated circuits
    • H01L27/11803Masterslice integrated circuits using field effect technology
    • H01L27/11807CMOS gate arrays
    • H01L2027/11809Microarchitecture
    • H01L2027/11859Connectibility characteristics, i.e. diffusion and polysilicon geometries
    • H01L2027/11866Gate electrode terminals or contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/10Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration
    • H01L27/118Masterslice integrated circuits
    • H01L27/11803Masterslice integrated circuits using field effect technology
    • H01L27/11807CMOS gate arrays
    • H01L2027/11868Macro-architecture
    • H01L2027/11874Layout specification, i.e. inner core region
    • H01L2027/11875Wiring region, routing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/10Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration
    • H01L27/118Masterslice integrated circuits
    • H01L27/11803Masterslice integrated circuits using field effect technology
    • H01L27/11807CMOS gate arrays
    • H01L2027/11883Levels of metallisation
    • H01L2027/11887Three levels of metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/10Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration
    • H01L27/118Masterslice integrated circuits
    • H01L27/11803Masterslice integrated circuits using field effect technology
    • H01L27/11807CMOS gate arrays
    • H01L2027/11883Levels of metallisation
    • H01L2027/11888More than 3 levels of metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Engineering & Computer Science (AREA)
  • Theoretical Computer Science (AREA)
  • Geometry (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Evolutionary Computation (AREA)
  • Architecture (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Semiconductor Integrated Circuits (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Thin Film Transistor (AREA)

Abstract

【課題】半導体装置のダイナミックアレイ・アーキテクチャの技術を提供する。
【解決手段】基板と、前記基板内で定義付けされた多数の拡散領域とを含む半導体装置。前記拡散領域は、前記基板の非活性領域によってお互いに分離されている。前記半導体装置は、前記基板の上を1つの共通方向に伸びるように定義付けされた多数の線形ゲート電極トラックを含む。線形ゲート電極トラックのそれぞれは、1つ以上の線形ゲート電極セグメントによって定義付けされている。前記基板の拡散領域と非活性領域の両方の上を伸びる線形ゲート電極トラックのそれぞれは、前記隣接する線形ゲート電極セグメント間の適切な電気的絶縁を確実にしつつ、前記線形ゲート電極トラック内の隣接する線形ゲート電極セグメントの終端間の分離距離を最小化するように定義付けされている。
【選択図】図6

Description

本発明は、半導体装置に関し、とくに、そのダイナミックアレイ・アーキテクチャに関する。
より高い性能とより小さいダイサイズへの要求は、半導体産業が回路チップ面積を2年ごとに約50%縮小させることを推進する。チップ面積の縮小は、より新しい技術への移行という経済的利益をもたらす。50%のチップ面積縮小は、形状寸法を25%と30%の間で縮小させることで、達成される。形状寸法の縮小は、製造装置と材料を改良することによって可能になる。例えば、化学機械研磨(CMP)の改良は、一部分において、より多層の配線層を可能にしたが、リソグラフィプロセスの改良は、より小さい形状寸法の達成を可能にした。
リソグラフィの進化において、最小形状寸法が、形状を露光するのに使用される光源の波長に近づいたとき、意図しない相互作用が隣接する形状の間に起きた。フォトリソグラフィプロセスで使用される光源の波長が、193nmに留まっているにもかかわらず、今日、最小形状寸法は、45nm(ナノメートル)に近づいている。最小形状寸法と、フォトリソグラフィプロセスで使用される光の波長との間の差は、リソグラフィギャップとして定義される。リソグラフィギャップが大きくなるのに従って、リソグラフィプロセスの分解能の許容性が減少する。
マスク上の各形状が光と相互作用して干渉縞が発生する。隣接する形状からの干渉縞は、建設的または破壊的な干渉を引き起こし得る。建設的な干渉の場合では、求められていない形状が偶然に作られるかもしれない。破壊的な干渉では、必要な形状が偶然に取り除かれるかもしれない。どちらの場合でも、特定の形状が意図するのと異なった方法でプリントされ、デバイス故障を引き起こすおそれがある。光近接効果補正(OPC)などの補正方法は、隣接する形状からの影響を予測して、プリントされた形状が望み通りに製作されるようにマスクを修正することを企図したものである。プロセス幾何が縮小して、光相互作用がより複雑になるのに応じて、光相互作用の予測品質が低下している。
上記の観点から、半導体デバイスのより小さい形状寸法の方向へ、技術が進歩し続けるのに、リソグラフィギャップ問題を管理する解決方法が必要とされる。
一実施形態において、半導体デバイスが開示されている。このデバイスは、基板の中で定義付けした基板と多くの拡散領域を含んでいる。拡散領域は、基板の非活性領域によって、お互いに分離されている。また、そのデバイスは、共通な一方向に基板上をわたるように定義付けされた多くの線形ゲート電極トラックを含んでいる。それぞれの線形ゲート電極トラックは、1つ以上の線形ゲート電極セグメントによって定義付けされている。基板の拡散領域と非活性領域の両方の上をわたる、それぞれの線形ゲート電極トラックは、隣接する線形ゲート電極セグメントの間の適切な電気的絶縁を確実にする一方、線形ゲート電極トラック内の隣接する線形ゲート電極セグメントの終端の間の分離距離を最小化するように定義付けされている。さらに、線形ゲート電極セグメントは、論理ゲートの機能性を可能にするために可変長を持つように定義付けされている。
別の実施形態において、半導体デバイスが開示されている。このデバイスは、基板を含んでいる。多くの拡散領域が、トランジスタデバイスの活性領域を定義付けするために基板の中で定義付けされている。また、そのデバイスは、基板上の共通な一方向を向いた多くの線形ゲート電極セグメントを含んでいる。線形ゲート電極セグメントのいくつかは、拡散領域の上に配列されている。拡散領域の上に配列された線形ゲート電極セグメントのそれぞれは、拡散領域を越えて基板上を伸びるように定義付けされた、拡散領域上をわたって一様に伸びる部分で定義付けされた、必要な活性部分を含んでいる。さらに、その線形ゲート電極セグメントは、論理ゲートの機能性を可能にするために可変長を持つように定義付けされている。その半導体デバイスは、さらに、ゲート電極セグメントの共通方向を実質的に垂直な方向に交差するように、ゲート電極セグメントの上のレベルの中に配列された多くの線形導電体セグメントを含んでいる。その線形導電体セグメントの数は、基板上の共通線の中の隣接する線形導電体セグメントの終端間の間隔を最小化するように定義付けされている。
別の実施形態において、ゲート電極コンタクトが開示されている。このゲート電極コンタクトは、長さと、その長さに沿って実質的に一定の横断面の形状によって定義付けされた、線形導電体セグメントを含んでいる。その線形導電体セグメントは、線形導電体セグメントが配列された、下にあるゲート電極と実質的に垂直な方向に、その長さが伸びるように向けられている。線形導電体セグメントの長さは、線形導電体セグメントが下にあるゲート電極を覆うように、下にあるゲート電極の幅より大きくなるように定義付けされている。
別の実施形態において、コンタクトレイアウトが開示されている。このコンタクトレイアウトは、基板を横切って投影される共通グリッド上で定義付けされる多くのコンタクトを含んでいる。また、このコンタクトレイアウトは、各コンタクトを囲む共通グリッド上で定義付けされる多くのサブ解像度コンタクトを含んでいる。サブ解像度コンタクトのそれぞれは、コンタクトの解像度を補強しつつ、リソグラフィックプロセスにおけるレンダリング(描画)を避けるように定義付けされる。
本発明の他の特徴と長所は、添付図面に関連して得られた、本発明の一例として説明した、以下の詳細な説明により、より明らかになるであろう。
本発明の一実施の形態において、多くの隣接するレイアウト形状と、レイアウト形状のそれぞれの描画に使用される光強度の代表例を示す図である。 本発明の一実施の形態において、ダイナミックアレイ・アーキテクチャを定義付けするのに使用されるレイアウトの積み重ねの概略を示す図である。 本発明の一実施の形態において、限定的なトポロジの定義付けを容易にするダイナミックアレイに投影される基本グリッドの一例を示す図である。 本発明の一実施の形態において、ダイの分離領域にわたって投影される分離基本グリッドの一例を示す図である。 本発明の一実施の形態において、ダイナミックアレイに適合するように定義付けされた線形形状の一例を示す図である。 本発明の一実施の形態において、ダイナミックアレイに適合するように定義付けされた線形形状の別の一例を示す図である。 本発明の一実施の形態において、ダイナミックアレイの一例の拡散層レイアウトを示す図である。 本発明の一実施の形態において、ゲート電極層と、図4の拡散層の上及び隣の拡散コンタクト層を示す図である。 本発明の一実施の形態において、図5のゲート電極層の上及び隣に定義付けされたゲート電極コンタクト層を示す図である。 ゲート電極のコンタクトを作る従来のアプローチを示す図である。 本発明の一実施の形態により定義付けされるゲート電極コンタクトを示す図である。 本発明の一実施の形態において、図6のゲート電極コンタクト層の上及び隣に定義付けされたメタル1層を示す図である。 他のメタル1トラックにおいて、メタル1のグランド及びパワーのトラックのためのより幅の広いトラックを有する図8Aのメタル1層を示す図である。 本発明の一実施の形態において、図8Aのメタル1層の上及び隣に定義付けされたヴィア1層を示す図である。 本発明の一実施の形態において、図9のヴィア1層の上及び隣に定義付けされたメタル2層を示す図である。 本発明の一実施の形態において、第1及び第2参照方向(x)及び(y)に対して第1対角線方向にダイナミックアレイを横断する導電体トラックを示す図である。 本発明の一実施の形態において、第1及び第2参照方向(x)及び(y)に対して第2対角線方向にダイナミックアレイを横断する導電体トラックを示す図である。 本発明の一実施の形態において、リソグラフィ補強の拡散コンタクト及びゲート電極コンタクトに使用されるサブ解像度コンタクトレイアウトの一例を示す図である。 本発明の一実施の形態において、可能な範囲内でグリッドを満たすように定義付けされたサブ解像度のコンタクトを有する図13Aのサブ解像度コンタクトレイアウトを示す図である。 本発明の一実施の形態において、種々の形状をしたサブ解像度コンタクトを利用したサブ解像度コンタクトレイアウトの一例を示す図である。 本発明の一実施の形態において、サブ解像度コンタクトを有する交互位相シフトマスク(APSM)の一例を示す図である。 本発明の一実施の形態において、半導体チップ構成を示す図である。
以下の説明において、本発明の理解を深めるために多くの詳細な説明が示される。しかし、これらの詳細な説明のいくつか又はすべてがなくても、本発明が実施されることが、当業者にとって明らかであろう。他の例では、本発明が不必要に不明確とならないように、よく知られたプロセス操作は、詳細に説明していない。
一般的に、ダイナミックアレイ・アーキテクチャは、増加し続けるリソグラフィギャップに関連した半導体製造プロセス変動に取り組むために提供される。半導体製造の領域において、リソグラフィギャップは、定義付けされる形状の最小寸法と、リソグラフィプロセスの形状の定義付けに使用される光の波長との差として定義されるが、ここでは、形状寸法は光の波長よりも小さい。現在のリソグラフィプロセスは、波長が193nmの光を使用する。しかし、現在の形状寸法は65nmと小さく、近い将来45nmに達すると考えられる。寸法が65nmの場合、その形状は、形状を定義付けするのに使用される光の波長より3倍小さい。また、光の相互作用の範囲がおよそ5光波長であることを考えると、当然のことながら、193nmの光源で露光される形状は、およそ5*193nm(1965nm)の形状の露光の影響から離される。90nm寸法形状に対して65nm寸法形状を考えたとき、当然のことながら、193nm光源の1965nmの相互作用範囲内に、90nm寸法形状と比較して、およそ2倍の数の65nm寸法形状が入るであろう。
光源の相互作用範囲内の形状の数の増加により、所定の形状の露光に寄与する光の干渉の制限及び複雑性は、顕著である。さらに、光源の相互作用範囲の中にある形状に関連する特定の形状は、発生する光の相互作用の種類に大きく影響する。従来は、設計者は、1組のデザインルールが満たされていれば、どんな2次元トポロジの形状であっても、本来、定義することが許されていた。例えば、所定のチップの層(すなわち、所定のマスク)において、設計者は、お互いの周りを包む屈曲を持つ2次元の変化する形状を定義付けするかもしれない。このような2次元の変化する形状がお互いに隣接して配置されたとき、形状を露光するのに使用される光は、複雑に、通常は考えられない方法で相互作用するであろう。形状寸法と相対間隔が小さくなるにつれ、光の相互作用は、増加しつつ、より複雑かつ予期できないものとなる。
従来は、設計者が確立したデザインルール・セットに従えば、その結果の製品は、デザインルール・セットと関連して一定の確率で量産可能であろう。言い換えると、デザインルール・セットに違反する設計にとって、結果としての製品の量産が成功する確率は未知である。隣の2次元の変化形状の間の複雑な光の相互作用と取り組むために、成功的な製品量産の興味において、2次元の変化形状の可能な組み合わせと適切に取り組むことにより、デザインルール・セットが大きく拡張される。拡張されたデザインルール・セットの適用が、おそらく、時間のかかるものとなり、高いものとなり、エラーを起こす傾向になり、この拡張されたデザインルール・セットは、すぐに複雑で扱いにくいものになる。例えば、拡張されたデザインルール・セットは、複雑な検証が必要とされる。また、拡張されたデザインルール・セットは、広く一般に適用できないであろう。さらに、すべてのデザインルールが満たされたとしても、量産歩留まりは保証されない。
当然のことながら、任意の形をした2次元形状を描画するときのすべての光の相互作用の正確な予測は、一般に不可能であることがわかる。その上、拡張されたデザインルール・セットの代わりとして、または組み合わせるものとして、隣接する2次元の変化形状の間の予期できない光の相互作用を考慮に入れて増加させたマージンを含んで、デザインルール・セットもまた、修正されるかもしれない。なぜなら、デザイルールはランダムな2次元形状トポロジをカバーするために確立されたものであるので、デザイルールは、大量のマージンを含ませることができる。デザインルール・セットにおけるマージンの追加は、隣接する2次元の変化形状をレイアウト部分が含むのを助けるが、そのような全体的なマージンの追加は、隣接する2次元の変化形状を含まないレイアウトの他の部分に過剰設計を起こし、そして、チップ面積利用率と電気特性の最適化の減少を導くことになる。
上記の観点から、半導体製造歩留まりは、設計依存の無拘束の形状トポロジ(すなわち、お互いに近接して置かれた任意の2次元の変化形状)により生成される不安定性から派生した特性上の欠陥の結果として減少する。一例として、これらの特性上の欠陥は、正確にプリントされたコンタクトとヴィアの欠陥、および製造プロセスの不安定性の結果かもしれない。製造プロセスの不安定性は、CMPのわん状変形、フォトリソグラフィによるレイアウト形状の歪み、ゲートの歪み、酸化膜厚さの不安定性、インプラントの不安定性、及び他の製造関連現象を含むかもしれない。本発明によるダイナミックアレイ・アーキテクチャは、上記の半導体製造プロセスの不安定性に取り組むことを目的とする。
図1は、本発明の一実施の形態において、多くの隣接するレイアウト形状と、レイアウト形状のそれぞれの描画に使用される光強度の代表例を示す図である。特に、3つの隣接する線形レイアウト形状(101A−101C)が、所定のマスク層の中で、実質的に平行関係に配列されたものとして描かれている。レイアウト形状からの光強度の分布は、シンク関数として表されている。シンク関数(103A−103C)は、各レイアウト形状(101A−101C、それぞれ)からの光強度の分布を表す。隣接する線形レイアウト形状(101A−101C)は、シンク関数(103A−103C)のピークに対応した位置に間隙{かんげき}を介して配置されている。隣接する線形レイアウト形状(101A−101C)に関する光エネルギ間の建設的な干渉(すなわち、シンク関数(103A−103C)のピーク)は、図示した間隔のあいたレイアウト形状にとって、隣接する形状(101A−101C)の露光を補強するように働く。上記において、図1に示した光の相互作用は、同期の場合を示す。
図1に示すように、線形レイアウト形状が適当な間隔を介して規則的な繰り返しパターンで定義付けされたとき、種々のレイアウト形状における光エネルギの建設的な干渉は、それぞれのレイアウト形状の露光を増強するように働く。建設的な光の干渉によりもたらされたレイアウト形状の露光の増強は、レイアウト形状の充分な描画を得るための光近接効果補正(OPC)及び/又はレティクル増強技術(RET)を使用する必要性を動的に減少し、排除さえすることができる。
禁止されたピッチ(すなわち、禁止されたレイアウト形状間隔)は、隣接するレイアウト形状(101A−101C)が、別のレイアウト形状と関連してシンク関数の谷を持つ1つのレイアウト形状配列に関してのシンク関数のピークのような間隔があるときに発生し、これにより光エネルビの破壊的な干渉を発生する。光エネルギの破壊的な干渉は、所定の場所が減少されるように焦点が合わせられた光エネルギを発生させる。したがって、隣接するレイアウト形状に関して有益な建設的な光の干渉を実現するために、シンク関数のピークの構成的なオーバーラップが発生するような間隔が空けられたレイアウト形状を予測することが必要である。予期可能なシンク関数のピークの構成的なオーバーラップと関係するレイアウト形状の増強は、図1のレイアウト形状(101A−101C)に示されるように、レイアウト形状が長方形、近似寸法、及び同一方向配向の場合に実現できる。このように、隣接するレイアウト形状からの共振光エネルギは、特定のレイアウト形状の露光を増強するのに使用される。
図2は、本発明の一実施の形態において、ダイナミックアレイ・アーキテクチャを定義付けするのに使用されるレイアウトの積み重ねの概略を示す図である。当然のことながら、図2を参照して記述されるダイナミックアレイ・アーキテクチャを定義付けするのに使用される生成された層の積み重ねは、CMOS製造プロセスの網羅的な記述を表すことを意図するものではない。しかし、ダイナミックアレイは標準的なCMOS製造プロセスに基づいて構成されるものである。一般的に言って、ダイナミックアレイ・アーキテクチャは、下になるダイナミックアレイ構造の定義付けと、面積占有率と量産性の最適化のためのダイナミックアレイの組み立てのテクニックの両方を含む。したがって、ダイナミックアレイは、半導体製造可能性を最適化するために設計される。
下にあるダイナミックアレイ構造の定義付けに関しては、ダイナミックアレイは、ベース基板201の上(すなわち、シリコン基板又はシリコンオンインシュレイタ(SOI)基板の上)の層手段で構成される。拡散領域203は、ベース基板201の電気的特性を変える目的で不純物が導入されたベース基板201の選択領域を表す。拡散領域203の上に、拡散領域203と導電体ラインの間の接続を可能にするための拡散コンタクト205が定義付けされている。例えば、拡散コンタクト205は、ソース及びドレイン拡散領域203とそれらに関する導電体ネットとの間の接続を可能にするものとして定義付けされる。また、ゲート電極形状207は、拡散領域203の上でトランジスタゲートを形成するものとして定義付けされる。ゲート電極コンタクト209は、ゲート電極形状207と導電体ラインとの間の接続を可能にするものとして定義付けされる。例えば、ゲート電極コンタクト209は、トランジスタゲートとそれらに関連する導電体ネットとの間の接続を可能にするものとして定義付けされる。
配線層は、拡散コンタクト205層とゲート電極コンタクト209の上で定義付けされる。配線層は、第1メタル(メタル1)層211、第1ヴィア(ヴィア1)層213、第2メタル(メタル2)層215、第2ヴィア(ヴィア2)層217、第3メタル(メタル3)層219、第3ヴィア(ヴィア3)層221、及び第4メタル(メタル4)層223を含んでいる。メタル及びヴィア層は、所望の回路接続性の定義付けを可能にする。例えば、メタル及びヴィア層は、回路の論理機能が実現されるように、種々の拡散コンタクト205とゲート電極コンタクト209の間の電気的接続を可能にする。当然のことながら、ダイナミックアレイ・アーキテクチャは、特定数の配線層(すなわち、メタル及びヴィア層)に制限されない。一実施形態において、ダイナミックアレイは、第4メタル(メタル4)層223を越えて追加の配線層225を含むかもしれない。代わりに、別の実施形態では、ダイナミックアレイは、4つより少ないメタル層を含むかもしれない。
ダイナミックアレイは、定義付けされることができるレイアウト形状に関して、そのような層(拡散領域層203以外)が制限されるように定義付けされる。特に、拡散領域層203以外のそれぞれ層において、線形レイアウト形状のみが許される。所定の層の線形レイアウト形状は、一定の垂直断面形状を有し、基板を越えて単一方向に伸びるものとして特徴付けられる。したがって、線形レイアイアウト形状は、1次元変位の構成で定義付けされる。拡散領域203は、1次元変位であることは必要とされないが、必要であれば、それも許される。特に、基板の中の拡散領域203は、基板の上表面と一致した平面に関して2次元的に変化する形状を持つものとして定義付けられることが可能である。一実施形態において、拡散屈曲トポロジの数は、拡散内の屈曲と、トランジスタのゲート電極を形成する導電体メタル(例えば、ポリシリコン)の間の相互作用は、予期可能であり、正確にモデリングできるというように制限される。所定の層の線形レイアウト形状は、お互いに平行になるように配置される。したがって、所定の線形レイアウト形状は、基板にわたって共通の方向に、基板と平行に伸びる。種々の層207−223の線形形状の特定の構成と関連する必要性は、図3−15Cに関連してさらに説明される。
下に横たわるレイアウトのダイナミックアレイの方法論は、所定の層の隣接する形の露光を強化するためのリソグラフィプロセスにおける光波の建設的な光の干渉を使用する。
したがって、所定の層における平行な線形レイアウト形状の間隔は、リソグラフィ補正(例えば、OPC/RET)が最小化され、または排除されるような継続する光波の建設的な光の干渉の回りで設計される。したがって、従来のOPC/RETベース・リソグラフィプロセスと比較して、ここで定義されるダイナミックアレイは、隣接する形状の間の光の相互作用を補償するものではなく、隣接する形状の間の光の相互作用を活用するものである。
所定の線形レイアウト形状の光定常波は、正確にモデル化できるため、所定の層に平行に配置された隣接する線形レイアウト形状に関する光定常波がどのように相互作用するかを予測することが可能である。したがって、ある線形形状を露光するのに使用される光定常波が、その隣の線形形状の露光にどのように寄与するかを予測することが可能である。
隣接する線形形状の間の光の相互作用の予測は、所定の形を描画するのに使用される光がその隣の形を補強するであろう最適な形状−形状間スペーシングの識別を可能にする。所定の層の形状−形状間スペーシングは、形状ピッチとして定義される。ここで、ピッチとは、所定の層における隣接する線形形状の中心−中心間の分離距離である。
隣接する形状間の所望の露光補強を提供するために、隣同士のすべての形状の最良の描画を生むように、隣接する形状からの建設的及び破壊的な光の干渉が最適化されるように、所定の層の線形レイアウト形状は、間隔を空けられる。所定の層の形状−形状間スペーシングは、その形状を露光するのに使用される光の波長に比例する。所定の形状から光の波長の約5倍の距離にある各形状を露光するのに使用される光は、ある程度、所定の形状の露光を補強するように働く。隣接する形状を露光するのに使用される光定常波の建設的な干渉の活用は、製造装置の能力を最大化し、リソグラフィプロセスの最中の関連する光の相互作用によって制限されないようにすることが可能になる。
上述のとおり、ダイナミックアレイは、それぞれの層(拡散以外)の中の形状が、共通方向に向かって基板上を横断するように平行に配向された線形形状であることを必要とされるという限定的なトポロジを取り入れている。ダイナミックアレイの限定的なトポロジで、フォトリソグラフィプロセスの光の相互作用は、マスク上にプリントされた画像は本質的にレイアウトに描かれた形と一致するように最適化され得る(本質的に100%の正確さでレジスト上のレイアウトの変換が達成される)。
図3Aは、本発明の一実施の形態において、限定的なトポロジの定義付けを容易にするダイナミックアレイに投影される基本グリッドの一例を示す図である。基本グリッドは、ダイナミックアレイのそれぞれの層内の線形形状を、適切な最適化されたピッチで平行に配置することを容易にするのに使用することができる。ダイナミックアレイの部分として物理的に定義付けされていないが、基本グリッドは、ダイナミックアレイのそれぞれの層上に投影されるものとして考えることができる。また、基本グリッドは、ダイナミックアレイのそれぞれの層の位置に関して実質的に一致した手段で投影され、これにより形状の正確な積み重ねと位置あわせを容易にすることが理解されるにちがいない。
図3Aの一実施形態において、基本グリッドは、第1参照方向(x)及び第2参照方向(y)に基づく長方形グリッド(すなわち、デカルト格子)として定義されている。第1参照方向及び第2参照方向におけるグリッド点−グリッド点間スペーシングは、必要に応じて、最適な形状−形状間スペーシングでの線形形状の定義付けを可能にするように定義される。また、第1参照方向(x)におけるグリッド点スペーシングは、第2参照方向(y)におけるグリッド点スペーシングと異なるようにしてもよい。一実施形態において、1つの基本グリッドは、全ダイをわたるそれぞれの層内の種々の線形形状の配置を可能にするために全ダイをわたって投影される。しかし、他の実施形態において、分離された基本グリッドは、ダイの分離された領域の中の異なる形状−形状間スペーシングの要求を支持するため、ダイの分離された領域にわたって投影されることができる。図3Bは、本発明の一実施の形態において、ダイの分離領域にわたって投影される分離基本グリッドの一例を示す図である。
基本グリッドは、光の相互作用機能を考慮して定義される(すなわち、シンク関数、及び製造能力。ここで、製造能力は、ダイナミックアレイの製造に利用される製造装置及びプロセスによって定義される。)。光の相互作用機能に関して、基本グリッドは、グリッド点間のスペーシングが、隣接するグリッド点の上に投影される光エネルギを示すシンク関数のピークの位置合わせを可能にするように定義される。したがって、リソグラフィック補強用に最適化された線形形状は、第1グリッド点から第2グリッド点までラインを描くことによって明示することができる。ここで、ラインは、所定の幅の長方形構造を表す。当然のことながら、それぞれの層の種々の線形形状は、基本グリッド上のそれらの終点位置とそれらの幅によって明示することができる。
図3Cは、本発明の一実施の形態において、ダイナミックアレイに適合するように定義付けされた線形形状301の一例を示す図である。線形形状301は、幅303及び高さ307で定義される実質的に長方形の断面を持つ。線形形状301は、長さ305方向に直線的に伸びている。一実施形態において、幅303及び高さ307で定義された線形形状の断面は、実質的にその長さ305に沿って一定である。しかし、当然のことながら、リソグラフィック効果は、線形形状301の終端の丸めを起こすかもしれない。図3Aの第1参照方向(x)及び第2参照方向(y)は、それぞれ、ダイナミックアレイ上の線形形状の配向の一例を図示している。当然のことながら、線形形状は、第1参照方向(x)、第2参照方向(y)、及び第1及び第2参照方向(x),(y)に基づいて定義される対角線方向のいずれかに伸びた長さ305を持つように配向されているかもしれない。第1及び第2参照方向(x),(y)に基づく線形形状の特定の配向にかかわらず、当然のことながら、線形形状は、ダイナミックアレイが構成される基板の上の表面と実質的に平行な平面で定義される。また、当然のことながら、線形形状は、屈曲(すなわち、第1及び第2参照方向で定義された平面の方向の変化)がない。
図3Dは、本発明の一実施の形態において、ダイナミックアレイに適合するように定義付けされた線形形状317の別の一例を示す図である。線形形状317は、底辺幅313、上辺幅315、及び高さ309で定義される台形の断面を持っている。線形形状317は、長さ311の直線方向に伸びている。一実施形態において、線形形状317の断面は、長さ311に沿って実質的に均一である。しかし、当然のことながら、リソグラフィック効果は、線形形状317の終端の丸めを起こすかもしれない。図3Aの第1参照方向(x)及び第2参照方向(y)は、それぞれ、ダイナミックアレイ上の線形形状の配向の一例を図示している。当然のことながら、線形形状317は、第1参照方向(x)、第2参照方向(y)、及び第1及び第2参照方向(x),(y)に基づいて定義される対角線方向のいずれかに伸びた長さ311を持つように配向されているかもしれない。第1及び第2参照方向(x),(y)に基づく線形形状317の特定の配向にかかわらず、当然のことながら、線形形状317は、ダイナミックアレイが構成される基板の上の表面と実質的に平行な平面で定義される。また、当然のことながら、線形形状317は、屈曲(すなわち、第1及び第2参照方向で定義された平面の方向の変化)がない。
図3C及び図3Dは、長方形及び台形の断面を持つ線形形状を明示的に説明するが、それぞれ、当然のことながら、他のタイプの断面を持つ線形形状がダイナミックアレイの中で定義されることが可能である。したがって、一方向に伸びる長さを持ち、第1参照方向(x)、第2参照方向(y)、及び第1及び第2参照方向(x),(y)に基づいて定義される対角線方向のいずれかに伸びた長さを持つように配向されるものとして線形形状が定義される限り、本質的にすべての、線形形状の適合した断面形状の使用が可能である。
ダイナミックアレイのレイアウトアーキテクチャは、基本グリッドパターンに従う。したがって、拡散内の方向変化が発生する場所、ゲート電極及びメタルの線形形状が配置される場所、コンタクトが配置される場所、線形形状のゲート電極とメタル形状内のオープンの場所などを表すのにグリッド点を使用することが可能である。グリッド点のピッチ(すなわち、グリッド点−グリッド点間スペーシング)は、隣接する所定の形状のライン幅の線形形状の露光が、お互いに補強するように、所定の形状のライン幅(例えば、図3Cの幅303)に設定されるべきである。ここでは、線形形状の中心はグリッド点上にある。図2のダイナミックアレイの積み重ねと、図3Aの基本グリッドの一例に関して、一実施形態では、第1参照方向(x)のグリッド点スペーシングは、必要なゲート電極のゲートピッチによって設定される。この同じ実施形態において、第2参照方向(y)のグリッド点ピッチは、メタル1及びメタル3のピッチにより設定される。例えば、90nmプロセス技術において(すなわち、最小形状寸法が90nmに等しい)、第2参照方向(y)のグリッド点ピッチは約0.24ミクロンである。一実施形態において、メタル1及びメタル2層は、共通のスペーシング及びピッチを持つであろう。異なるスペーシング及びピッチは、メタル2層の上で使用されることが可能である。
ダイナミックアレイの種々の層は、隣接する層の線形形状が、それぞれお互いに交差するように伸びるように定義付けされる。例えば、隣接する層の線形形状が直交して(お互いに垂直に)伸びることが可能である。また、1つの層の線形形状が、隣接する層の線形形状に対してある角度を持って(例えば、45度で)横切って伸びることが可能である。
例えば、一実施形態において、1つの層の線形形状が第1参照方向(x)に伸び、隣接する層の線形形状が、第1参照方向(x)及び第2参照方向(y)に対して対角線方向に伸びる。当然のことながら、隣接する層の直交する線形形状の配置を持つダイナミックアレイの配線接続設計のために、オープンは、線形形状で定義付けすることが可能であり、コンタクト及びヴィアは必要に応じて定義付けされる。
ダイナミックアレイは、予測できないリソグラフィの相互作用を排除するために、ケイアウト形状の屈曲の使用を最小化する。特に、OPC又は他のRETプロセスに先立って、ダイナミックアレイは、デバイス寸法の制御を可能にするため拡散層の屈曲を許すが、拡散層の上の層についての屈曲は許されない。拡散層の上のそれぞれの層のレイアウト形状は、直線的な形であり(例えば、図3C)、お互いに平行の関係で配置される。レイアウト形状の直線的な形と平行配置は、建設的な光の干渉の予測性が量産性を確保するために必要であるところのダイナミックアレイのそれぞれの積み重ね層で実現される。一実施形態において、レイアウト形状の直線的な形と平行配置は、拡散の上のメタル2を介したそれぞれの層で、ダイナミックアレイで実現される。メタル2の上では、レイアウト形状は、量産性を確実にするのに建設的な光の干渉が必要とされない充分な寸法及び形であるかもしれない。しかし、メタル2の上の描かれたレイアウト形状内の建設的な光の干渉の存在は、有益であるだろう。
図4から図14に、拡散からメタル2までのダイナミックアレイ層の積み上げの一例が示されている。当然のことながら、図4から図14に示されたダイナミックアレイは、一例としてのみ表したものであり、ダイナミックアレイ・アーキテクチャの限定を示唆するものではない。ダイナミックアレイは、すべての集積回路設計を本質的に定義付けするために、ここで示された原理に従って使用されることが可能である。
図4は、本発明の一実施の形態において、ダイナミックアレイの一例の拡散層レイアウトを示す図である。図4の拡散層は、p型拡散領域401とn型拡散領域403を示す。
拡散領域は、下にある基本グリッドにより定義付けされるが、拡散領域は、拡散層の上の層についての線形形状の制限に従わなければならないものではない。拡散領域401及び403は、拡散コンタクトが配置されるように定義付けされた拡散四角405を含む。拡散領域401及び403は、外来のジョグ又はコーナを含まない。したがって、リソグラフィ解像度の使用が改良され、より正確なデバイス抽出の可能になる。さらに、n+マスク領域(412及び416)及びp+マスク領域(410及び414)が、(x),(y)グリッド上に、外来のジョグ又はノッチのない矩形として定義付けされている。この様式は、より大きな拡散領域の使用を可能とし、OPC/RETの必要性を排除し、より低い解像度及びより低いコストのリソグラフィ装置の使用を可能とする(例えば、365nmのiライン照明など)。当然のことながら、図4に描かれた、n+マスク領域416及びp+マスク領域410は、ウェル−バイアスを使用しない一実施形態のものである。ウェル−バイアスを使用する別の実施形態では、図4に示されたn+マスク領域416は、実際はp+マスク領域として定義付けされる。また、この別の実施形態では、図4に示されたp+マスク領域410は、実際はn+マスク領域として定義付けされる。
図5は、本発明の一実施の形態において、ゲート電極層と、図4の拡散層の上及び隣の拡散コンタクト層を示す図である。CMOS技術の当業者が理解できるように、ゲート電極形状501は、トランジスタゲートを定義付けしている。ゲート電極形状501は、第2参照方向(y)に、ダイナミックアレイをわたって平行関係で伸びる線形形状として定義付けされている。一実施形態において、ゲート電極形状501は、共通の幅を持つように定義付けされている。しかし、別の実施形態では、1つ以上のゲート電極形状が、異なる幅を持つものとして定義付けされることが可能である。例えば、図5は、他のゲート電極形状501と比較して、より広い幅を持つゲート電極形状501Aを示している。ゲート電極形状501のピッチ(中心−中心間スペーシング)は、リソグラフィック補強(すなわち、隣接するゲート電極形状501による共振画像化)の最適化を確実にする間、最小化される。説明目的のために、ダイナミックアレイをわたって所定のラインを伸びるゲート電極形状501は、ゲート電極トラックとして参照される。
ゲート電極形状501は、拡散領域403及び401を横切って、それぞれnチャンネル及びpチャンネルトランジスタを形成する。ゲート電極形状501の光学的プリントは、いくつかのグリッド位置には拡散領域が存在しないにもかかわらず、すべてのグリッド位置にゲート電極形状501を描くことによって達成される。また、長く続くゲート電極形状501は、ダイナミックアレイの内部にあるゲート電極形状の終端でのライン終端ショート効果を改良する傾向にある。さらに、ゲート電極形状プリントは、ゲート電極形状501からすべての屈曲をなくすことで、顕著に改良される。
ゲート電極トラックのそれぞれは、特定の論理機能を実現するための必要な電気接続を設けるために、ダイナミックアレイを横切る直線的な横断で、何回も割り込まれる(すなわち、中断される)であろう。所定のゲート電極トラックに割り込みが必要なとき、割り込み位置におけるゲート電極トラックセグメントの終端間の分離は、製造能力及び電気的効果を可能なかぎり考慮に入れて最小化される。一実施形態において、光学的製造可能性は、共通の終端−終端間スペーシングが特定の層の中の形状間で使用されたときに、達成される。
割り込み位置におけるゲート電極トラックセグメントの終端間の分離の最小化は、隣接するゲート電極トラックから供給されるリソグラフィの補強及び均一性の最大化に役立つ。また、一実施形態において、隣接するゲート電極トラックに割り込みが必要な場合、隣接するゲート電極トラックの割り込みは、可能な限り、隣接する割り込み位置が発生するのを避けるように、それぞれの割り込み位置がお互いに相殺されるように行われる。特に、隣接するゲート電極トラックの中の割り込み位置が、見えるラインが割り込み位置を通して存在しないように、それぞれ配置される。ここで、見えるラインは、基板にわたって伸びるゲート電極トラックの方向と垂直に伸びるものと考えられる。さらに、一実施形態において、ゲート電極は、セル(すなわち、PMOS又はNMOSセル)のトップとボトムの境界を通って伸びることが可能である。この実施形態は、隣接するセルの橋渡しを可能とするであろう。
さらに図5により、拡散コンタクト503は、それぞれの拡散四角405で、共振画像に対する拡散コンタクトのプリントを向上させるものとして定義付けられる。拡散四角405は、拡散コンタクト503のパワー及びグランド・コネクション・ポリゴンのプリントを向上させるため、すべての拡散コンタクト503の周りに存在する。
ゲート電極形状501及び拡散コンタクト503は、共通のグリッドスペーシングを分け合っている。特に、ゲート電極形状501の配置は、拡散コンタクト503に関連して2分の1グリッドスペーシングにより相殺される。例えば、ゲート電極形状501と拡散コンタクト503のグリッドスペーシングが0.36μmであり、拡散コンタクトの中心のx座標が0.36μmの整数倍の位置にあるように拡散コンタクトが配置されている場合、ゲート電極形状501のそれぞれの中心のx座標引く0.18μmは、0.36μmの整数倍であるべきである。本実施形態では、x座標は、以下のように表される:
拡散コンタクトの中心のx座標=I*0.36μm,ここでIはグリッド番号;
ゲート電極形状の中心のx座標=0.18μm+I*0.36μm,ここでIはグリッド番号。
ダイナミックアレイのグリッドベースシステムは、すべてのコンタクト(拡散及びゲート電極)が、拡散コンタクトのグリッドの半分の倍数に等しい水平グリッド、及びメタル1ピッチによって設定される垂直グリッドの上に乗ることを確実にする。上記の例において、ゲート電極形状及び拡散コンタクトのグリッドは、0.36μmである。拡散コンタクト及びゲート電極コンタクトは、0.18μmの倍数の垂直グリッドの上に乗る。また、90nmプロセス技術の垂直グリッドは、およそ0.24μmである。
図6は、本発明の一実施の形態において、図5のゲート電極層の上及び隣に定義付けされたゲート電極コンタクト層を示す図である。ゲート電極コンタクト層において、ゲート電極コンタクト601は、上にあるメタル導電ラインへゲート電極形状501を接続することを可能にするように描かれている。一般に、デザインルールは、ゲート電極コンタクト601の最適な配置を規定するであろう。一実施形態において、ゲート電極コンタクトは、トランジスタのエンドキャップ領域の上部に描かれる。デザインルールが長いトランジスタ・エンドキャップを明記しているとき、この実施形態は、ダイナミックアレイ内のホワイトスペースを最小化する。いくつかのプロセス技術において、ホワイトスペースは、セルの多くのゲート電極コンタクトをそのセルの中心に配置することにより、最小化することができる。また、当然のことながら本発明において、ゲート電極コンタクト601は、ゲート電極コンタクト601とゲート電極形状501の間のオーバーラップを確実にするために、ゲート電極形状501と垂直の方向にオーバーサイズされている。
図7Aは、ゲート電極(例えば、ポリシリコン形状)のコンタクトを作る従来のアプローチを示す図である。図7Aの従来の構成において、拡大化された矩形のゲート電極領域707は、ゲート電極コンタクト709が置かれるところに定義付けされる。拡大化された矩形のゲート電極領域707は、ゲート電極内に屈曲距離705を生み出す。拡大化された矩形のゲート電極領域707における屈曲は、望ましくない光の相互作用を起こし、ゲート電極ライン711をゆがめる。ゲート電極ライン711の歪みは、特に、ゲート電極の幅がトランジスタ長とほぼ等しいときに問題になる。
図7Bは、本発明の一実施の形態により定義付けされるゲート電極コンタクト601(例えば、ポリシリコンコンタクト)を示す図である。ゲート電極コンタクト601は、ゲート電極形状501のエッジをオーバーラップするように描かれており、ゲート電極形状501と実質的に垂直な方向に伸びている。一実施形態において、ゲート電極コンタクト601は、垂直寸法703が、拡散コンタクト503で使用される垂直寸法と同じになるように描かれている。例えば、拡散コンタクト503のオープニングが0.12μm四角であるように指定されている場合、ゲート電極コンタクト601の垂直寸法は0.12μmで描かれる。しかし、他の実施形態では、垂直寸法703が拡散コンタクト503で使用される垂直寸法と異なるように、ゲート電極コンタクト601は描かれることが可能である。
一実施形態において、ゲート電極形状501を越えたゲート電極コンタクト601の伸長701は、ゲート電極コンタクト601とゲート電極形状501の間で最大のオーバーラップが達成されるように設定される。伸長701は、ゲート電極コンタクト601のライン終端ショート、及びゲート電極コンタクト層とゲート電極形状層との間のミスアライメントを配慮して定義付けされる。ゲート電極コンタクト601の長さは、ゲート電極コンタクト601とゲート電極形状501の間の最大の表面領域コンタクトを確実にするように定義付けされる。ここで、最大の表面領域コンタクトは、ゲート電極形状501の幅によって定義付けされる。
図8Aは、本発明の一実施の形態において、図6のゲート電極コンタクト層の上に定義付けされたメタル1層を示す図である。メタル1層は、ダイナミックアレイをわたって平行関係で伸びた線形形状を含むように定義付けされた多くのメタル1トラック801−821を含んでいる。メタル1トラック801−821は、下に横たわる図5のゲート電極層内のゲート電極形状501と実質的に垂直な方向に伸びている。したがって、本実施形態において、メタル1トラック801−821は、ダイナミックアレイをわたって第1参照方向(x)に直線的に伸びている。隣接するメタル1トラック801−821によってもたらされるリソグラフィの補強(すなわち、共振画像)の最適化を確実にすると同時に、メタル1トラック801−821のピッチ(中心−中心間スペーシング)は、最小化される。例えば、一実施形態において、メタル1トラック801−821は、90nmプロセス技術では、約0.24μmの垂直グリッドに中心が合わせられている。
メタル1トラック801−821のそれぞれは、特定の論理機能を実現するための必要な電気接続を設けるために、ダイナミックアレイを横切る直線的な横断で、何回も割り込まれる(すなわち、中断される)かもしれない。所定のメタル1トラック801−821が割り込まれることが必要なとき、割り込みの位置におけるメタル1トラックセグメントの終端間の分離は、可能なかぎり、製造能力と電気的影響を考慮して最小化される。割り込みの位置におけるメタル1トラックセグメントの終端間の分離の最小化は、隣接するメタル1トラックによってもたらされるリソグラフィの補強、及び均一性を最大化するのに役立つ。また、一実施形態において、隣接するメタル1トラックが割り込まれることが必要なとき、隣接するメタル1トラックの割り込みは、割り込みの隣接する位置の発生を可能な限り避けるように、割り込みのそれぞれの位置がお互いに相殺されるように行われる。特に、隣接するメタル1トラックの中の割り込みの位置は、それぞれ、見えるラインが割り込みの位置を通して存在しないように配置される。ここで、見えるラインは、基板の上を伸びるメタル1トラックの方向と垂直に伸びるものと考えられる。
図8Aの例において、メタル1トラック801はグランドに接続されており、メタル1トラック821は電源電圧に接続されている。図8Aの実施形態において、メタル1トラック801及び821の幅は、他のメタル1トラック803−819と同じである。しかし、別の実施形態において、メタル1トラック801及び821の幅は、他のメタル1トラック803−819の幅より大きい。図8Bは、他のメタル1トラックにおいて、他のメタル1トラック803−819と比較して、メタル1のグランド及び電源のトラックのためのより幅の広いトラック(801A及び821A)を有する図8Aのメタル1層を示す図である。
メタル1トラック・パターンは、“ホワイトスペース”(トランジスタによって占められていないスペース)の使用を最適化するように最適に構成されている。図8Aの例は、2つに分配されたメタル1トラック801及び821と、9つのメタル1信号トラック803−819を含んでいる。メタル1トラック803,809,811及び819は、ホワイトスペースを最小化するためのゲート電極コンタクトトラックとして定義付けされる。メタル1トラック805及び807は、nチャンネルトランジスタのソース及びドレインに接続するように定義付けされる。メタル1トラック813,815及び817は、pチャンネルトランジスタのソース及びドレインに接続するように定義付けされる。また、9つのメタル1信号トラック803−819は、接続が必要でない場合は、いずれもフィードスルーとして使用することができる。例えば、メタル1トラック813及び815は、フィードスルー接続として構成されている。
図9は、本発明の一実施の形態において、図8Aのメタル1層の上及び隣に定義付けされたヴィア1層を示す図である。ヴィア901は、メタル1トラック801−821のより高いレベルの導電ラインへの接続を可能にするヴィア1層で定義付けされる。
図10は、本発明の一実施の形態において、図9のヴィア1層の上及び隣に定義付けされたメタル2層を示す図である。メタル2層は、ダイナミックアレイをわたって平行関係に伸びた線形形状として定義付けされた多くのメタル2トラック1001を含む。メタル2トラック1001は、下に横たわる図8Aのメタル1層のメタル1トラック801−821と実質的に垂直な方向に、及び下に横たわる図5のゲート電極層のゲート電極トラック501と実質的に平行な方向に、伸びている。したがって、本実施形態において、メタル2トラック1001は、ダイナミックアレイを横切って第2参照方向(y)に直線的に伸びている。
隣接するメタル2トラックによりもたらされるリソグラフィ補強(すなわち、共振画像)の最適化を確実にすると同時に、メタル2トラック1001のピッチ(中心−中心間スペーシング)は最小化される。当然のことながら、ゲート電極及びメタル1層の実現方法と同様にして、より高いレベルの配線層で規則性が維持される。一実施形態において、ゲート電極形状501のピッチと、メタル2トラックのピッチは、同じである。別の実施形態において、コンタクトされたゲート電極のピッチ(例えば、間に拡散コンタクトを有するポリシリコン−ポリシリコン間スペース)は、メタル2トラックのピッチより大きい。
この実施形態において、メタル2トラックのピッチは、コンタクトされたゲート電極のピッチの2/3又は3/4になるように最適に設定される。したがって、この実施形態において、ゲート電極トラックとメタル2トラックは、2つのゲート電極トラック・ピッチごと、及び3つのメタル2トラック・ピッチごとに位置合わせする。例えば、90nmプロセス技術において、コンタクトされたゲート電極トラックの最適なピッチは、0.36μmであり、メタル2トラックの最適なピッチは、0.24μmである。別の実施形態において、ゲート電極トラック及びメタル2トラックは、3つのゲート電極ピッチごと、及び4つのメタル2ピッチごとに位置合わせする。例えば、90nmプロセス技術において、コンタクトされたゲート電極トラックの最適なピッチは、0.36μmであり、メタル2トラックの最適なピッチは、0.27μmである。
メタル2トラック1001のそれぞれは、特定の論理機能を実現するための必要な電気接続を設けるために、ダイナミックアレイを横切る直線的な横断で、何回も割り込まれる(すなわち、中断される)ことが可能である。所定のメタル2トラック1001が割り込まれることが必要なとき、割り込みの位置におけるメタル2トラックセグメントの終端間の分離は、可能なかぎり、製造能力と電気的影響を考慮して最小化される。割り込みの位置におけるメタル2トラックセグメントの終端間の分離の最小化は、隣接するメタル2トラックによってもたらされるリソグラフィの補強、及び均一性を最大化するのに役立つ。
また、一実施形態において、隣接するメタル2トラックが割り込まれることが必要なとき、隣接するメタル2トラックの割り込みは、割り込みの隣接する位置の発生を可能な限り避けるように、割り込みのそれぞれの位置がお互いに相殺されるように行われる。特に、隣接するメタル2トラックの中の割り込みの位置は、それぞれ、見えるラインが割り込みの位置を通して存在しないように配置される。ここで、見えるラインは、基板の上を伸びるメタル2トラックの方向と垂直に伸びるものと考えられる。
上述のとおり、ゲート電極層の上の所定のメタル層の導電ラインは、第1参照方向(x)又は第2参照方向(y)のどちらかに一致した方向に、ダイナミックアレイを横断してもよい。当然のことながら、ゲート電極層の上の所定のメタル層の導電ラインは、第1参照方向(x)及び第2参照方向(y)に対して対角線方向にダイナミックアレイを横断してもよい。図11は、本発明の一実施の形態において、第1及び第2参照方向(x)及び(y)に対して第1対角線方向にダイナミックアレイを横断する導電体トラック1101を示す図である。図12は、本発明の一実施の形態において、第1及び第2参照方向(x)及び(y)に対して第2対角線方向にダイナミックアレイを横断する導電体トラック1201を示す図である。
メタル1及びメタル2トラックについて上述したように、図11及び図12の対角線方向に横断する導電体トラック1101及び1201は、特定の論理機能を実現するための必要な電気接続を設けるために、ダイナミックアレイを横切る直線的な横断で、何回も割り込まれる(すなわち、中断される)ことが可能である。対角線状に横断する所定の導電体トラックが割り込まれることが必要なとき、割り込みの位置における対角線状導電体トラックの終端間の分離は、可能なかぎり、製造と電気的効果を考慮して最小化される。割り込みの位置における対角線状導電体トラックの終端間の分離の最小化は、隣接する対角線状導電体トラックによってもたらされるリソグラフィの補強、及び均一性を最大化するのに役立つ。
ダイナミックアレイの中の最適なレイアウト密度は、以下のデザインルールの実行によって、達成される:
・少なくとも2つのメタル1トラックがnチャンネルデバイス領域を横切って設けられる;
・少なくとも2つのメタル1トラックがpチャンネルデバイス領域を横切って設けられる;
・少なくとも2つのゲート電極トラックがnチャンネルデバイスに設けられる;及び
・少なくとも2つのゲート電極トラックがpチャンネルデバイスに設けられる。
コンタクト及びヴィアは、リソグラフィの観点から、もっとも困難なマスクになっている。これは、コンタクト及びヴィアが、より小さくなり、間隔がより近くなり、ランダムに分散されていることによるものである。カット(コンタクト又はヴィア)のスペーシング及び密集化は、形状を確実にプリントすることを極端に困難にする。例えば、隣接形状からの破壊的な干渉縞、又は孤立した形状のエネルギ不足により、カット形状が不適切にプリントされるかもしれない。カットが適切にプリントされた場合、関連するコンタクト又はヴィアの製造歩留まり極めて高くなる。サブ解像度コンタクトは、そのサブ解像度コンタクトが消散しない限り、実在のコンタクトの露光を補強することができる。また、サブ解像度コンタクトは、それらが、リソグラフィプロセスの解像度の能力より小さい限り、どんな形状も持つことができる。
図13Aは、本発明の一実施の形態において、リソグラフィ補強の拡散コンタクト及びゲート電極コンタクトに使用されるサブ解像度コンタクトレイアウトの一例を示す図である。サブ解像度コンタクト1301は、それらがリソグラフィシステムの解像度より下であるように描かれ、プリントされない。サブ解像度コンタクト1301の機能は、共振画像を通じて、所望のコンタクト位置(例えば、503,601)の光エネルギを増加させるものである。一実施形態において、ゲート電極コンタクト601及び拡散コンタクト503の両方がリソグラフィ補強されるようなグリッドに、サブ解像度コンタクト1301が置かれる。例えば、ゲート電極コンタクト601及び拡散コンタクト503の両方に良い影響を与えるように、サブ解像度コンタクト1301は、拡散コンタクト503のグリッドスペーシングの2分の1と等しくなるようなグリッド上に置かれる。一実施形態において、サブ解像度コンタクト1301の垂直方向のスペーシングは、ゲート電極コンタクト601及び拡散コンタクト503の垂直方向のスペーシングに従う。
図13Aのグリッド位置1303は、隣接しているゲート電極コンタクト601の間の位置を指示する。製造プロセスにおけるリソグラフィのパラメータによっては、このグリッド位置でのサブ解像度コンタクト1301が、2つの隣接しているゲート電極コンタクト601の間の望ましくないブリッジを生成する可能性がある。ブリッジングが起こりそうであるなら、位置1303でのサブ解像度コンタクト1301を省くことができる。図13Aは、サブ解像度コンタクトが実在する形状に隣接して置かれて、消散されてどこにもないところの実施形態を示すが、当然のことながら、別の実施形態によって、グリッドを満たすために、利用可能なそれぞれのグリッド位置にサブ解像度コンタクトを置くことが可能である。
図13Bは、本発明の一実施の形態において、可能な範囲内でグリッドを満たすように定義付けされたサブ解像度のコンタクトを有する図13Aのサブ解像度コンタクトレイアウトを示す図である。当然のことながら、図13Bの実施形態は、可能な範囲内で、サブ解像度コンタクトでグリッドを満たしているが、完全に消散する隣接する形状の間における望ましくないブリッジングが潜在的に発生する位置に、サブ解像度コンタクトを配置するのを避けている。
図13Cは、本発明の一実施の形態において、種々の形状をしたサブ解像度コンタクトを利用したサブ解像度コンタクトレイアウトの一例を示す図である。サブ解像度コンタクトが製造プロセスの解像度の能力より下である限り、別のサブ解像度コンタクト形状を利用することができる。図13Cは、隣接するコンタクトのコーナに光エネルギの焦点を合わせるために“X形状”サブ解像度コンタクト1305を使用することを示している。一実施形態において、X形状サブ解像度コンタクト1305の終端は、隣接するコンタクトのコーナにおける光エネルギの堆積をさらに高めるために伸びている。
図13Dは、本発明の一実施の形態において、サブ解像度コンタクトを有する交互位相シフトマスク(APSM)の一例を示す図である。図13Aのように、サブ解像度コンタクトは、拡散コンタクト503及びゲート電極コンタクト601をリソグラフィ的に補強するのに利用される。ASPMは、隣接形状が破壊的な干渉縞を生成するときに、解像度を改良するのに使用される。APSM技術は、マスクを通して隣接形状に移動する光の位相が180度位相ずれるようにマスクを修正する。この位相シフトは、破壊的な干渉を排除し、コンタクト密度をより高めるのに役立つ。一例として、プラス“+”印でマークされた図13Dのコンタクトは、第1位相の光波で露光されるコンタクトを表し、マイナス“−”印でマークされたコンタクトは、“+”印のコンタクトで使用される第1位相に対して180度位相がシフトされた光波で露光されるコンタクトを表している。当然のことながら、APSM技術は、隣接するコンタクトがお互いに分離されるのを確実にするために利用される。
形状寸法が小さくなるに従い、半導体のダイは、より多くのゲートを含むことが可能になる。しかし、より多くのゲートが含まれるのに従い、配線層の密度によって、ダイ寸法が決定されるようになる。配線層におけるこの増大する需要は、配線層の高レベル化を牽引する。しかし、配線層の積み重ねは、下に横たわる層のトポロジにより部分的に制限される。例えば、配線層が積み重ねられると、島、隆起、及び溝が発生し得る。これらの島、隆起、及び溝は、それらを横切る配線ラインの遮断を引き起こすおそれがある。
これらの島及び溝を軽減するために、半導体製造プロセスは、実質的に平坦な表面上に各配線層が後から堆積された半導体ウェハの表面を機械的に及び化学的に磨く化学的機械研磨(CMP)処理を利用する。フォトリソグラフィプロセスと同じように、CMPプロセスの品質は、レイアウトパターンに依存する。特に、ダイ又はウェハを横断するレイアウト形状の平坦でない分布によって、ある場所では除去されるのには多すぎる材料があり、他の場所では除去されるには充分な材料がないということになり、これにより、配線厚さの変動が発生し、配線層の容量及び抵抗で許容できない変動が発生し得る。配線層内の容量及び抵抗の変動は、設計欠陥の原因となるクリティカルネットのタイミングを変えるかもしれない。
ディッシングを避けて中心−端間の均一性を改良するために、実質的に均一なウェハトポロジが提供されるように、配線の形状がない領域内にダミーフィルが追加されることを、CMPプロセスは要求する。従来は、ダミーフィルは、設計の後に配置された。したがって、従来のアプローチにおいて、設計者はダミーフィルの特性を知らなかった。その結果、設計の後に置かれたダミーフィルは、設計者によって評価されていないため、設計特性に悪影響を及ぼすことがある。ダミーフィルの前の従来のトポロジは無拘束である(すなわち、均一ではない)ので、設計後のダミーフィルは均一にならず、予測不可能である。したがって、従来のプロセスでは、ダミーフィル領域と隣接する活性ネットの間の容量性カップリングは、設計者には予測不可能である。
前述のように、ここで開示されたダイナミックアレイは、すべての配線トラックをゲート電極層から上方向に最大限に満たすことにより、最適な規則性を提供する。1つの配線トラック内に複数のネットが必要な場合、配線トラックは、最小限に間隔を空けて分けられる。例えば、図8Aのメタル1導電ラインを表すトラック809は、それぞれのネットが特定のトラックセグメントに一致する、同じトラック内に分離された3つのネットを表している。特に、トラックセグメント間の最適なスペーシングでトラックを満たす2つのポリコンタクトネットと1つのフローティングネットがある。トラックを事実上完全に満たすことは、ダイナミックアレイをわたって共振画像を生成する規則的なパターンを維持する。また、最大限に満たされた配線トラックを持つダイナミックアレイの規則正しい構造は、ダイを横断して均等にダミーフィルが配置されることを確実にする。ダイナミックアレイの規則的な構造は、CMPプロセスがダイ/ウェハをわたって実質的に均一な結果をもたらすことを助ける。また、ダイナミックアレイの規則的なゲートパターンは、ゲートエッチングの均一性(マイクロローディング)を助ける。さらに、最大限に満たされた配線トラックと組み合わされたダイナミックアレイの規則的な構造は、設計段階の間及び製造に先立って、最大限に満たされたトラックについての容量性のカップリング効果を設計者が解析するのを可能にする。
ダイナミックアレイは、それぞれのマスク層において、線形形状の寸法及びスペーシング(すなわち、トラック及びコンタクト)を設定するので、ダイナミックアレイの設計は、製造設備及びプロセスの最大能力に合わせて最適化することができる。すなわち、ダイナミックアレイは、拡散の上のそれぞれの層の規則的な構造で制限されているので、製造者は、規則的な構成の特定の特徴のための製造プロセスを最適化することが可能である。
当然のことながら、ダイナミックアレイで、製造者は、従来の制約のないレイアウトに存在するような、広範囲に変化する任意形状のレイアウト形状の組み合わせの製造調整をすることを気にする必要がない。
製造装置の能力が最適化され得る方法の一例を以下に示す。メタル2のピッチが280nmである90nmプロセスについて考える。この280nmのメタル2ピッチは、装置の最大能力によって設定されない。むしろ、この280nmのメタル2ピッチは、ヴィアのリソグラフィによって設定される。ヴィアのリソグラフィの問題が除去されることにより、装置の最大能力は、約220nmのメタル2ピッチを可能にする。したがって、メタル2ピッチのデザインルールは、ヴィアリソグラフィの予期できない光の相互作用を考慮して、約25%のマージンを含む。
ダイナミックアレイ内で実現される規則的な構成は、ヴィアリソグラフィにおける相互作用の予測不可能性を除去することを可能にし、これにより、メタル2ピッチのマージンの減少を可能にする。このようなメタル2ピッチのマージンの減少は、高密度の設計を可能にする(すなわち、チップ面積利用率の最適化が可能になる。)。さらに、ダイナミックアレイによってもたらされる制限された(すなわち、規則的な)トポロジによって、デザインルールのマージンを削減することが可能になる。さらにまた、プロセスの能力を超えた余分なマージンを減らせるばかりでなく、ダイナミックアレイによる制限的なトポロジは、必要なデザインルールの数を実質的に減らすことも可能にする。例えば、制約のないトポロジの典型的なデザインルール・セットは、600デザインルールより多く持つ。
ダイナミックアレイを使用するデザインルール・セットは、約45デザインルールを持てばよい。したがって、デザインルールに対する設計の解析と検証を行うのに必要とされる努力は、ダイナミックアレイの制限的なトポロジにより、10以上のファクタで減少する。
ダイナミックアレイのマスク層の所定のトラック内におけるライン終端−ライン終端間のギャップ(すなわち、トラックセグメント−トラックセグメント間のギャップ)について、わずかの光相互作用が存在する。このわずかの光相互作用は、前もって、識別、予測、及び正確に補償することができ、OPC/RETの必要性をめざましく削減し、又は完全に排除することができる。ライン終端−ライン終端間のギャップにおける光相互作用の補償は、描かれた形状に関しての相互作用のモデリング(例えば、OPC/RET)に基づく補正とは対照的に、描かれた形状のリソグラフィ的な修正を表す。
また、ダイナミックアレイで、描かれたレイアウトへの変更は、必要なところのみに行われる。対照的に、OPCは、従来の設計フローですべてのレイアウトについて実施される。一実施形態において、補正モデルは、ダイナミックアレイのレイアウト生成の部分として実施され得る。例えば、限られた数の可能性のライン終端ギャップの相互作用により、回りの関数として(すなわち、その特定のライン終端ギャップの光相互作用の関数として)定義される特徴を持つラインブレークを挿入するように、ルータはプログラムされ得る。当然のことながら、ダイナミックアレイの規則的な構成は、頂点を追加するよりむしろ頂点を変更することにより、ライン終端が調整されることを可能にする。したがって、OPCプロセスによる制約のないトポロジと対比して、ダイナミックアレイは、顕著にコストとマスク製作のリスクを削減する。また、ダイナミックアレイでは設計段階で、ライン終端ギャップ相互作用を正確に予測できるので、設計段階の間における予測されたライン終端ギャップ相互作用の補償は、デザイン欠陥のリスクを増やさない。
従来の制約のないトポロジにおいて、設計者は、設計依存欠陥の存在のために、製造プロセスについての物理的知識を有していることが必要とされていた。ここで開示したダイナミックアレイのグリッドベースシステムにより、論理設計は、物理的設計から分離することができる。特に、ダイナミックアレイの規則的な構成、ダイナミックアレイの中で評価される限られた数の光相互作用、及びダイナミックアレイの設計依存性質により、物理的ネットリストとは対照的に、グリッドポイントベース・ネットリストを使用して設計を表現することが可能になる。
ダイナミックアレイにより、物理的情報の用語で設計を表す必要がない。むしろ、シンボリックレイアウトにより、設計を表すことができる。したがって、設計者は、物理的特徴(例えば、設計寸法)を示す必要なしに、純粋な論理的視野から設計を表すことができる。当然のことながら、グリッドベース・ネットリストは、物理的に変換されたとき、ダイナミックアレイ・プラットフォームの最適なデザインルールにまさに適合する。グリッドベース・ダイナミックアレイが、新しい技術(例えば、より小さい技術)に移行するとき、設計表現の中に物理的データが存在しないので、グリッドベース・ネットリストは、新しい技術に直接的に移行されることができる。一実施形態において、グリッドベース・ダイナミックアレイシステムは、ルール・データベース、グリッドベース(シンボリック)・ネットリスト、及びダイナミックアレイ・アーキテクチャを含む。
当然のことながら、グリッドベース・ダイナミックアレイは、従来の制約のない構成についてのトポロジ関連の欠陥を排除する。また、グリッドベース・ダイナミックアレイの生産性は、設計と無関係であるので、ダイナミックアレイで達成される設計歩留まりは、設計と無関係である。したがって、ダイナミックアレイの正当性及び歩留まりは、予め検証されるので、グリッドベース・ネットリストは、予め検証した歩留まり特性を有するダイナミックアレイで達成されることができる。
図14は、本発明の一実施の形態において、半導体チップ構成1400を示す図である。半導体チップ構成1400は、そこで定義付けされた多くの導電ライン1403A−1403Gを持つ拡散領域1401を含む半導体チップの部分の一例を示している。拡散領域1401は、少なくとも1つのトランジスタデバイスの活性領域を定義するため、基板1405内で定義付けされている。拡散領域1401は、基板1405表面に対して任意の形の領域を覆うように定義付けされることができる。
導電ライン1403A−1403Gは、基板1405の上を共通方向1407に伸びるように配列されている。また、当然のことながら、多くの導電ライン1403A−1403Gのそれぞれは、拡散領域1401の上を共通方向1407に伸びるように制限されている。一実施形態において、基板1405の上ですぐに定義付けされた導電ライン1403A−1403Gは、ポリシリコンラインである。一実施形態において、導電ライン1403A−1403Gのそれぞれは、伸長する共通方向1407に対して垂直な方向の本質的に同一の幅1409を持つように定義付けされる。一実施形態において、導電ライン1403A−1403Gのいくつかは、他の導電ラインと比較して異なる幅を持つように定義付けされる。しかし、導電ライン1403A−1403Gの幅に関係なく、導電ライン1403A−1403Gのそれぞれは、本質的に同一の中心−中心間ピッチ1411により、隣の導電ラインから離れて間隔が空いている。
図14に示されているように、導電ラインのいくつか(1403B−1403E)は、拡散領域1401の上を伸びており、他の導電ライン(1403A,1403F,1403G)は、基板1405の非拡散部分の上を伸びている。当然のことながら、導電ライン1403A−1403Gは、拡散領域1401の上で定義付けされているか否かに関係なく、それらの幅1409及びピッチ1411を維持する。また、当然のことながら、導電ライン1403A−1403Gは、拡散領域1401の上で定義付けされているか否かに関係なく、本質的に同一の長さ1413を維持しており、それによって、基板を横断する導電ライン1403A−1403Gの間のリソグラフィ的な補強を最大化している。このようにして、拡散領域1401の上で定義付けされたいくつかの導電ライン(例えば、1403D)は、必要な活性部1415、及び1つ以上の画一伸長部1417を含む。
当然のことながら、半導体チップ構成1400は、図2−図13Dを参照した上述のダイナミックアレイの一部を表している。したがって、当然のことながら、導電ライン(1403B−1403E)の画一伸長部1417は、隣接する導電ライン1403A−1403Gのリソグラフィ的な補強を提供するために存在する。また、導電ライン1403A,1403F,及び1403Gのそれぞれは、回路動作を必要とされないかもしれないが、隣接する導電ライン1403A−1403Gのリソグラフィ的な補強を提供するために存在する。
必要な活性部1415及び画一伸長部1417の概念は、また、より高いレベルの配線層に適用される。ダイナミックアレイ構成について前述したように、ダイナミックアレイ内で実現された論理デバイスにより必要な配線接続を可能にするために、隣接する配線層が基板の上を横方向に(例えば、垂直又は対角線方向に)横断する。導電ライン1403A−1403Gと同様に、配線層の中の導電ラインのそれぞれは、必要な配線接続を可能にするために必要な部分(必要な活性部)、及び隣接する導電ラインにリソグラフィ的な補強を提供するために不必要な部分(画一伸長部)を含むことができる。また、導電ライン1403A−1403Gと同様に、配線層の中の導電ラインは基板の上の共通方向に伸びており、本質的に同一の幅を持ち、本質的に一定ピッチによりお互いに間隔が空いている。
一実施形態において、配線層内の導電ラインは、ライン幅とラインスペーシング間の同一比率に本質的に従う。例えば、90nmでは、メタル4ピッチは280nmであり、ライン幅及びラインスペーシングはそれぞれ140nmに等しい。もし、ライン幅がラインスペーシングに等しいのであれば、より広い導電ラインを、より広いラインピッチでプリントすることができる。
ここで記述された発明は、コンピュータ読み取り可能な媒体上で、コンピュータ読み取り可能なコードとして具体化することができる。コンピュータ読み取り可能な媒体は、データを格納することができ、後からコンピュータシステムによって読み出されることが可能な、いかなるデータストレージデバイスであってもよい。コンピュータ読み取り可能な媒体の例は、ハードドライブ、ネットワーク接続ストレージ(NAS)、読み出し専用メモリ、ランダムアクセスメモリ、CD−ROM、CD−R、CD−RW、磁気テープ、及び他の光学的・非光学的なデータストレージデバイスを含む。また、コンピュータ読み取り可能な媒体は、コンピュータ読み取り可能なコードが格納されて分散処理で実行されるように、コンピュータシステムが接続されたネットワークにわたって分散されているもよい。さらに、コンピュータ読み取り可能な媒体上のコンピュータ読み取り可能なコードとして実現されたグラフィカルユーザインターフェイス(GUI)は、本発明の実施形態を行うためのユーザインターフェイスを提供するように改良されることが可能である。
本発明は、いくつかの実施形態に関して記述されてきたが、当然のことながら、当業者が上記の記述を読んで図面を検討することにより、種々の変更、追加、置換及び同等物を考え出すであろう。したがって、本発明は、それらのすべての変更、追加、置換、及び同等物を、本発明の真の精神及び要旨の中にあるものとして、含むことを意図するものである。

Claims (43)

  1. 基板と、
    前記基板内で定義付けされ、前記基板の非活性領域によりお互いに分離された複数の拡散領域と、
    前記基板の上を、1つの共通方向に伸びるように定義付けされた複数の線形ゲート電極トラックとを有し、
    前記線形ゲート電極トラックのそれぞれは、1つ以上の線形ゲート電極セグメントにより定義付けされ、
    前記複数の線形ゲート電極トラックのそれぞれは、前記基板の拡散領域と非活性領域の両方の上を伸びており、隣接する線形ゲート電極セグメントの間の適切な電気的絶縁を確実にするとともに、前記隣接する線形ゲート電極セグメントの終端の間の分離距離が最小化されるように定義付けされ、
    前記線形ゲート電極セグメントは、論理ゲート機能を可能にするための可変の長さを持つように定義付けされていることを特徴とする半導体装置。
  2. 請求項1記載の半導体装置において、
    前記基板は、シリコン基板であることを特徴とする半導体装置。
  3. 請求項1記載の半導体装置において、
    前記基板は、シリコンオンインシュレイタ基板であることを特徴とする半導体装置。
  4. 請求項1記載の半導体装置において、
    前記複数の拡散領域のそれぞれは、前記基板の表面と一致した平面に関して2次元的に変化する形状を持つように定義付けされていることを特徴とする半導体装置。
  5. 請求項1記載の半導体装置において、
    前記複数の拡散領域、及び上を覆っている1つ以上の線形ゲート電極セグメントのそれぞれの屈曲の間のリソグラフィプロセスにおける光相互作用が予測できるように、多くの拡散領域の屈曲トポロジが制限されていることを特徴とする半導体装置。
  6. 請求項1記載の半導体装置において、
    前記線形ゲート電極セグメントのそれぞれは、前記基板の上の1つの方向に伸びた長さを持ち、その長さに沿って実質的に一定の縦断面形状を持つように定義付けされていることを特徴とする半導体装置。
  7. 請求項1記載の半導体装置において、
    前記複数の線形ゲート電極トラック、及び1つ以上の前記線形ゲート電極セグメントは、お互いに平行になるように定義付けされていることを特徴とする半導体装置。
  8. 請求項1記載の半導体装置において、
    隣接する線形ゲート電極トラックの間の中心−中心間の距離は、
    前記複数の線形ゲート電極トラックの中の1つ以上の前記線形ゲート電極セグメントの製造に使用されるマスクの描画に必要なリソグラフィ補正を最小化するために、リソグラフィプロセスでの光波の建設的な干渉を最適化するように定義付けされていることを特徴とする半導体装置。
  9. 請求項8記載の半導体装置において、
    前記リソグラフィ補正は、光近接効果補正とレティクル補強技術の1つ又は両方を含むことを特徴とする半導体装置。
  10. 請求項1記載の半導体装置において、
    1つ以上の前記線形ゲート電極セグメントは、隣接するセル間の橋渡しを可能にするため、セル境界を通って伸びるように定義付けされていることを特徴とする半導体装置。
  11. 請求項1記載の半導体装置において、
    前記複数の線形ゲート電極トラックは、所定の線形ゲート電極トラック内の隣接する線形ゲート電極セグメントの終端間の分離のそれぞれが、隣接する線形ゲート電極トラック内の隣接する線形ゲート電極セグメントの終端間の分離から相殺されるように定義付けされていることを特徴とする半導体装置。
  12. 請求項1記載の半導体装置において、
    隣接する線形ゲート電極セグメントの終端間の共通分離距離は、線形ゲート電極トラックのそれぞれの中で利用されていることを特徴とする半導体装置。
  13. 請求項1記載の半導体装置において、
    さらに、前記複数の線形ゲート電極トラックの上で定義付けされた複数の配線層を有し、
    前記複数の配線層のそれぞれは、所定の配線層内の1つの共通方向に前記基板の上を伸びるように定義付けされた複数の線形導電体トラックを含み、
    前記線形導電体トラックのそれぞれは、1つ以上の線形導電体セグメントによって定義付けされ、
    前記複数の線形導電体トラックのそれぞれは、隣接する線形導電体セグメントの間の適切な電気的絶縁を確実にしつつ、隣接する線形導電体セグメントの終端間の分離距離が最小化されるように定義付けされていることを特徴とする半導体装置。
  14. 請求項13記載の半導体装置において、
    所定の配線層内の線形導電体セグメントのそれぞれは、前記基板の上の1つの方向に伸びた長さを持ち、その長さに沿って実質的に一定の縦断面形状を持つように定義付けされていることを特徴とする半導体装置。
  15. 請求項13記載の半導体装置において、
    前記複数の線形導電体トラック、及び所定の配線層内の1つ以上の前記線形導電体セグメントは、お互いに平行になるように定義付けされていることを特徴とする半導体装置。
  16. 請求項13記載の半導体装置において、
    隣接する線形導電体トラックの間の中心−中心間の距離は、
    前記複数の線形導電体トラックの中の1つ以上の前記線形導電体セグメントの製造に使用されるマスクの描画に必要なリソグラフィ補正を最小化するために、リソグラフィプロセスでの光波の建設的な干渉を最適化するように定義付けされていることを特徴とする半導体装置。
  17. 請求項13記載の半導体装置において、
    前記複数の線形導電体トラックは、所定の線形導電体トラック内の隣接する線形導電体セグメントの終端間の分離のそれぞれが、隣接する線形導電体トラック内の隣接する線形導電体セグメントの終端間の分離から相殺されるように定義付けされていることを特徴とする半導体装置。
  18. 請求項13記載の半導体装置において、
    隣接する線形導電体セグメントの終端間の共通分離距離は、所定の配線層内の線形導電体トラックのそれぞれの中で利用されていることを特徴とする半導体装置。
  19. 請求項13記載の半導体装置において、
    所定の配線層内の前記複数の線形導電体トラックは、隣接する配線層内の前記複数の導電体トラックを交差して前記基板の上を伸びるように定義付けされていることを特徴とする半導体装置。
  20. 請求項19記載の半導体装置において、
    隣接する配線層の線形導電体トラックは、実質的に垂直にお互いに交差していることを特徴とする半導体装置。
  21. 請求項13記載の半導体装置において、
    前記複数の線形ゲート電極トラックの上の第1配線層の前記複数の線形導電体トラックは、前記複数の線形ゲート電極トラックを実質的に垂直に交差して前記基板の上を伸びるように定義付けされていることを特徴とする半導体装置。
  22. 請求項13記載の半導体装置において、
    さらに、前記複数の配線層内の選択された線形導電体セグメントに、前記複数の拡散領域を接続するように定義付けされた複数の拡散コンタクトを有していることを特徴とする半導体装置。
  23. 請求項22記載の半導体装置において、
    隣接する拡散コンタクトの間の中心−中心間分離距離は、隣接する線形ゲート電極トラックの間の中心−中心間分離距離と本質的に等価であり、
    前記複数の拡散コンタクトのそれぞれの中心は、隣接する線形ゲート電極トラックの間の本質的に中間点に対応する位置で定義付けされていることを特徴とする半導体装置。
  24. 請求項13記載の半導体装置において、
    さらに、前記複数の配線層内の選択された線形導電体セグメントに、拡散領域の上を伸びる線形ゲート電極セグメントを接続するように定義付けされた複数のゲート電極コンタクトを有していることを特徴とする半導体装置。
  25. 請求項24記載の半導体装置において、
    前記複数のゲート電極コンタクトは、長さと、その長さに沿った実質的に一定の縦断面形状によって定義付けされた線形形状を持つように定義付けされ、
    前記複数のゲート電極コンタクトのそれぞれは、下を横たわる線形ゲート電極トラックと実質的に垂直な1つの方向に、その長さが伸びるように配向していることを特徴とする半導体装置。
  26. 請求項25記載の半導体装置において、
    前記複数のゲート電極コンタクトのそれぞれの長さは、下を横たわる線形ゲート電極セグメントを覆うように十分に長いことを特徴とする半導体装置。
  27. 基板と、
    トランジスタデバイスの活性領域を定義付けするために、前記基板内で定義付けされ複数の拡散領域と、
    前記基板の上で1つの共通方向に配向された複数の線形ゲート電極セグメントと、
    前記複数の線形ゲート電極セグメントの共通方向を実質的に垂直な方向に交差するように、前記複数の線形ゲート電極セグメントの上のレベル内に配置された複数の線形導電体セグメントとを有し、
    前記複数の線形ゲート電極セグメントの多くは、拡散領域の上に配置されており、
    前記拡散領域の上に配置された前記複数の線形ゲート電極セグメントのそれぞれは、前記拡散領域の上で定義付けされた必要な活性部と、前記拡散領域を越えて前記基板の上を伸びて定義付けされた画一伸長部とを含み、
    前記複数の線形ゲート電極セグメントは、論理ゲート機能を可能にする可変の長さを持つように定義付けされ、
    前記複数の線形導電体セグメントは、前記基板の上の共通ライン内の隣接する線形導電体セグメントの間の終端−終端間スペーシングを最小化するように定義付けされていることを特徴とする半導体装置。
  28. 請求項27記載の半導体装置において、
    前記複数の拡散領域のそれぞれは、前記基板の表面と一致した平面に関して2次元的に変化する形状を持つように定義付けされていることを特徴とする半導体装置。
  29. 請求項27記載の半導体装置において、
    隣接する線形ゲート電極セグメントの間の中心−中心間の距離は、
    1つ以上の前記線形ゲート電極セグメントの製造に使用されるマスクの描画に必要なリソグラフィ補正を最小化するために、リソグラフィプロセスでの光波の建設的な干渉を最適化するように定義付けされていることを特徴とする半導体装置。
  30. 請求項27記載の半導体装置において、
    前記複数の線形ゲート電極セグメントは、前記基板の上の共通ライン内の隣接する線形ゲート電極セグメントの間の終端−終端間スペーシングを最小化するように定義付けされていることを特徴とする半導体装置。
  31. 請求項30記載の半導体装置において、
    前記基板を横断する所定のライン内の隣接する線形ゲート電極セグメントの間の終端−終端間スペーシングは、前記基板を横断する次のライン内の隣接する線形ゲート電極セグメントの間の終端−終端間スペーシングから相殺されることを特徴とする半導体装置。
  32. 請求項30記載の半導体装置において、
    共通の終端−終端間スペーシングは、隣接する線形ゲート電極セグメントの間で使用されることを特徴とする半導体装置。
  33. 請求項27記載の半導体装置において、
    隣接する線形導電体セグメントの間の中心−中心間の距離は、
    1つ以上の前記線形導電体セグメントの製造に使用されるマスクの描画に必要なリソグラフィ補正を最小化するために、リソグラフィプロセスでの光波の建設的な干渉を最適化するように定義付けされていることを特徴とする半導体装置。
  34. 請求項27記載の半導体装置において、
    さらに、複数の配線層を有し、
    前記複数の配線層は、隣接する層内の前記複数の線形導電体セグメントを交差するように、前記基板の上を伸びるように定義付けされた複数の線形導電体セグメントを含むことを特徴とする半導体装置。
  35. 長さと、その長さに沿った実質的に均一の断面形状を持つ線形導電セグメントを有し、 前記線形導電セグメントは、前記線形導電セグメントが配置されているところの下にあるゲート電極と実質的に垂直な方向に伸びたその長さを持つように配向され、
    前記線形導電セグメントの長さは、前記線形導電セグメントが下にある前記ゲート電極を覆うように、下にある前記ゲート電極の幅より広くなるように定義付けされていることを特徴とするゲート電極コンタクト。
  36. 請求項35記載のゲート電極コンタクトにおいて、
    前記線形導電セグメントの幅は、前記下にあるゲート電極の幅の全体を覆うことを維持しつつ、前記線形導電セグメントのリソグラフィのひずみに適合するように定義付けされていることを特徴とするゲート電極コンタクト。
  37. 請求項35記載のゲート電極コンタクトにおいて、
    前記線形導電セグメントの幅は、前記下にあるゲート電極の幅と実質的に等価であることを特徴とするゲート電極コンタクト。
  38. 請求項35記載のゲート電極コンタクトにおいて、
    前記線形導電セグメントは、ポリシリコンで形成されていることを特徴とするゲート電極コンタクト。
  39. 基板を横断して共通グリッド上に投影されるように定義付けされた複数のコンタクトと、
    前記複数のコンタクトのそれぞれを囲むように前記共通グリッド上で定義付けされた多数のサブ解像度コンタクトとを有し、
    前記サブ解像度コンタクトのそれぞれは、コンタクトの解像度を補強しつつ、リソグラフィプロセス中のそれらの描画を避けるように定義付けされていることを特徴とするコンタクトレイアウト。
  40. 請求項39記載のコンタクトレイアウトにおいて、
    前記サブ解像度コンタクトの数は、前記複数のコンタクトの1つによって占められていない、前記共通グリッド上のグリッド点位置のそれぞれを占めるように定義付けされていることを特徴とするコンタクトレイアウト。
  41. 請求項39記載のコンタクトレイアウトにおいて、
    前記サブ解像度コンタクトのそれぞれの形状は、隣接するコンタクトのリソグラフィ補強を最適化するように定義付けされていることを特徴とするコンタクトレイアウト。
  42. 請求項39記載のコンタクトレイアウトにおいて、
    1つ以上の前記サブ解像度コンタクトは、隣接するコンタクトのコーナ部にリソグラフィ補強を提供するためにX形状を持つように定義付けされていることを特徴とするコンタクトレイアウト。
  43. 請求項39記載のコンタクトレイアウトにおいて、
    前記サブ解像度コンタクトの数は、リソグラフィプロセスでサブ解像度コンタクトが描画されるのを避けることを容易にするため、位相シフトマスク技術の使用を可能にするように前記共通グリッド上で定義付けされていることを特徴とするコンタクトレイアウト。
JP2013031124A 2006-03-09 2013-02-20 ダイナミックアレイ・アーキテクチャ Expired - Fee Related JP5638642B2 (ja)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US78128806P 2006-03-09 2006-03-09
US60/781,288 2006-03-09
US11/683,402 2007-03-07
US11/683,402 US7446352B2 (en) 2006-03-09 2007-03-07 Dynamic array architecture

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2008558437A Division JP5260325B2 (ja) 2006-03-09 2007-03-08 ダイナミックアレイ・アーキテクチャ

Publications (3)

Publication Number Publication Date
JP2013149983A true JP2013149983A (ja) 2013-08-01
JP2013149983A5 JP2013149983A5 (ja) 2013-09-26
JP5638642B2 JP5638642B2 (ja) 2014-12-10

Family

ID=38475620

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2008558437A Expired - Fee Related JP5260325B2 (ja) 2006-03-09 2007-03-08 ダイナミックアレイ・アーキテクチャ
JP2013031124A Expired - Fee Related JP5638642B2 (ja) 2006-03-09 2013-02-20 ダイナミックアレイ・アーキテクチャ

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2008558437A Expired - Fee Related JP5260325B2 (ja) 2006-03-09 2007-03-08 ダイナミックアレイ・アーキテクチャ

Country Status (4)

Country Link
US (81) US7446352B2 (ja)
EP (2) EP1999793B1 (ja)
JP (2) JP5260325B2 (ja)
WO (1) WO2007103587A2 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2016540378A (ja) * 2013-11-22 2016-12-22 クアルコム,インコーポレイテッド ゲートパターニングのためのリソグラフィマージプロセス

Families Citing this family (182)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050034087A1 (en) * 2003-08-04 2005-02-10 Hamlin Christopher L. Method and apparatus for mapping platform-based design to multiple foundry processes
US7448012B1 (en) 2004-04-21 2008-11-04 Qi-De Qian Methods and system for improving integrated circuit layout
US8448102B2 (en) 2006-03-09 2013-05-21 Tela Innovations, Inc. Optimizing layout of irregular structures in regular layout context
US7446352B2 (en) * 2006-03-09 2008-11-04 Tela Innovations, Inc. Dynamic array architecture
US7763534B2 (en) 2007-10-26 2010-07-27 Tela Innovations, Inc. Methods, structures and designs for self-aligning local interconnects used in integrated circuits
US8245180B2 (en) 2006-03-09 2012-08-14 Tela Innovations, Inc. Methods for defining and using co-optimized nanopatterns for integrated circuit design and apparatus implementing same
US7932545B2 (en) * 2006-03-09 2011-04-26 Tela Innovations, Inc. Semiconductor device and associated layouts including gate electrode level region having arrangement of six linear conductive segments with side-to-side spacing less than 360 nanometers
US8225239B2 (en) 2006-03-09 2012-07-17 Tela Innovations, Inc. Methods for defining and utilizing sub-resolution features in linear topology
US7943967B2 (en) * 2006-03-09 2011-05-17 Tela Innovations, Inc. Semiconductor device and associated layouts including diffusion contact placement restriction based on relation to linear conductive segments
US7908578B2 (en) * 2007-08-02 2011-03-15 Tela Innovations, Inc. Methods for designing semiconductor device with dynamic array section
US9035359B2 (en) 2006-03-09 2015-05-19 Tela Innovations, Inc. Semiconductor chip including region including linear-shaped conductive structures forming gate electrodes and having electrical connection areas arranged relative to inner region between transistors of different types and associated methods
US9563733B2 (en) 2009-05-06 2017-02-07 Tela Innovations, Inc. Cell circuit and layout with linear finfet structures
US8658542B2 (en) 2006-03-09 2014-02-25 Tela Innovations, Inc. Coarse grid design methods and structures
US9009641B2 (en) 2006-03-09 2015-04-14 Tela Innovations, Inc. Circuits with linear finfet structures
US8653857B2 (en) 2006-03-09 2014-02-18 Tela Innovations, Inc. Circuitry and layouts for XOR and XNOR logic
US8225261B2 (en) 2006-03-09 2012-07-17 Tela Innovations, Inc. Methods for defining contact grid in dynamic array architecture
US9230910B2 (en) 2006-03-09 2016-01-05 Tela Innovations, Inc. Oversized contacts and vias in layout defined by linearly constrained topology
US8541879B2 (en) 2007-12-13 2013-09-24 Tela Innovations, Inc. Super-self-aligned contacts and method for making the same
US8247846B2 (en) 2006-03-09 2012-08-21 Tela Innovations, Inc. Oversized contacts and vias in semiconductor chip defined by linearly constrained topology
US8839175B2 (en) * 2006-03-09 2014-09-16 Tela Innovations, Inc. Scalable meta-data objects
US7956421B2 (en) 2008-03-13 2011-06-07 Tela Innovations, Inc. Cross-coupled transistor layouts in restricted gate level layout architecture
US7735041B2 (en) * 2006-08-03 2010-06-08 Chipx, Inc. Methods and computer readable media implementing a modified routing grid to increase routing densities of customizable logic array devices
US8286107B2 (en) 2007-02-20 2012-10-09 Tela Innovations, Inc. Methods and systems for process compensation technique acceleration
US7979829B2 (en) 2007-02-20 2011-07-12 Tela Innovations, Inc. Integrated circuit cell library with cell-level process compensation technique (PCT) application and associated methods
US8667443B2 (en) 2007-03-05 2014-03-04 Tela Innovations, Inc. Integrated circuit cell library for multiple patterning
KR101192359B1 (ko) * 2007-12-17 2012-10-18 삼성전자주식회사 Nand 플래시 메모리 소자 및 그 제조 방법
US7979815B2 (en) * 2008-01-08 2011-07-12 International Business Machines Corporation Compact model methodology for PC landing pad lithographic rounding impact on device performance
US8453094B2 (en) 2008-01-31 2013-05-28 Tela Innovations, Inc. Enforcement of semiconductor structure regularity for localized transistors and interconnect
US7939443B2 (en) 2008-03-27 2011-05-10 Tela Innovations, Inc. Methods for multi-wire routing and apparatus implementing same
US7830025B2 (en) * 2008-05-19 2010-11-09 United Microelectronics Corp. Contact layout structure
MY152456A (en) 2008-07-16 2014-09-30 Tela Innovations Inc Methods for cell phasing and placement in dynamic array architecture and implementation of the same
EP3327594A1 (en) * 2008-07-16 2018-05-30 Tela Innovations, Inc. Methods for cell phasing and placement in dynamic array architecture and implementation of the same
US9122832B2 (en) 2008-08-01 2015-09-01 Tela Innovations, Inc. Methods for controlling microloading variation in semiconductor wafer layout and fabrication
KR101435520B1 (ko) 2008-08-11 2014-09-01 삼성전자주식회사 반도체 소자 및 반도체 소자의 패턴 형성 방법
US7975246B2 (en) * 2008-08-14 2011-07-05 International Business Machines Corporation MEEF reduction by elongation of square shapes
JP5944464B2 (ja) * 2008-08-19 2016-07-05 ルネサスエレクトロニクス株式会社 半導体装置
US9305238B2 (en) * 2008-08-29 2016-04-05 Oracle International Corporation Framework for supporting regular expression-based pattern matching in data streams
KR101540083B1 (ko) 2008-10-22 2015-07-30 삼성전자주식회사 반도체 소자의 패턴 형성 방법
US20100121355A1 (en) 2008-10-24 2010-05-13 The Foundry, Llc Methods and devices for suture anchor delivery
KR101012190B1 (ko) * 2008-11-07 2011-02-08 주식회사 동부하이텍 반도체 소자 제조 시 게이트 형성방법
CN104059073B (zh) 2008-12-08 2017-04-12 吉利德康涅狄格公司 咪唑并哌嗪syk抑制剂
CN104744476B (zh) 2008-12-08 2017-04-12 吉利德康涅狄格公司 咪唑并吡嗪syk抑制剂
KR101532012B1 (ko) * 2008-12-24 2015-06-30 삼성전자주식회사 반도체 소자 및 반도체 소자의 패턴 형성 방법
US8154053B2 (en) * 2009-02-20 2012-04-10 Standard Microsystems Corporation Programmable metal elements and programmable via elements in an integrated circuit
US8935293B2 (en) * 2009-03-02 2015-01-13 Oracle International Corporation Framework for dynamically generating tuple and page classes
JPWO2010122754A1 (ja) * 2009-04-22 2012-10-25 パナソニック株式会社 半導体集積回路
JP5515394B2 (ja) * 2009-04-30 2014-06-11 株式会社ピーアイ技術研究所 感光性変性ポリイミド樹脂組成物及びその用途
ES2342872B1 (es) * 2009-05-20 2011-05-30 Baolab Microsystems S.L. Chip que comprende un mems dispuesto en un circuito integrado y procedimiento de fabricacion correspondiente.
US8387076B2 (en) * 2009-07-21 2013-02-26 Oracle International Corporation Standardized database connectivity support for an event processing server
JP5537078B2 (ja) * 2009-07-23 2014-07-02 ルネサスエレクトロニクス株式会社 半導体装置
US8386466B2 (en) * 2009-08-03 2013-02-26 Oracle International Corporation Log visualization tool for a data stream processing server
US8527458B2 (en) * 2009-08-03 2013-09-03 Oracle International Corporation Logging framework for a data stream processing server
CN102474254A (zh) * 2009-08-05 2012-05-23 寇比欧股份有限公司 用于印刷电子器件的印刷兼容设计及布图方案
US8661392B2 (en) * 2009-10-13 2014-02-25 Tela Innovations, Inc. Methods for cell boundary encroachment and layouts implementing the Same
KR101361658B1 (ko) * 2009-12-04 2014-02-21 한국전자통신연구원 저항형 메모리 장치 및 그 제조 방법
US9220180B2 (en) * 2010-12-09 2015-12-22 Richard Anthony Dunn, JR. System and methods for scalable parallel data processing and process control
US8558320B2 (en) * 2009-12-15 2013-10-15 Qualcomm Incorporated Systems and methods employing a physically asymmetric semiconductor device having symmetrical electrical behavior
US9305057B2 (en) * 2009-12-28 2016-04-05 Oracle International Corporation Extensible indexing framework using data cartridges
US9430494B2 (en) * 2009-12-28 2016-08-30 Oracle International Corporation Spatial data cartridge for event processing systems
US8959106B2 (en) * 2009-12-28 2015-02-17 Oracle International Corporation Class loading using java data cartridges
US8397183B2 (en) * 2010-02-03 2013-03-12 International Business Machines Corporation Generation of asymmetric circuit devices
US8836035B2 (en) * 2010-03-10 2014-09-16 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for reducing gate resistance
US7969199B1 (en) 2010-05-10 2011-06-28 Pdf Solutions, Inc. Pattern controlled IC layout
US8519444B2 (en) * 2010-09-10 2013-08-27 Taiwan Semiconductor Manufacturing Company, Ltd. Modified design rules to improve device performance
US8713049B2 (en) 2010-09-17 2014-04-29 Oracle International Corporation Support for a parameterized query/view in complex event processing
CN102870207A (zh) * 2010-10-26 2013-01-09 松下电器产业株式会社 半导体装置
US9159627B2 (en) 2010-11-12 2015-10-13 Tela Innovations, Inc. Methods for linewidth modification and apparatus implementing the same
US9189280B2 (en) 2010-11-18 2015-11-17 Oracle International Corporation Tracking large numbers of moving objects in an event processing system
WO2012066178A2 (es) * 2010-11-19 2012-05-24 Baolab Microsystems Sl Métodos y sistemas para la fabricación de dispositivos de cmos de mems en diseños de nodo inferior
US8990416B2 (en) 2011-05-06 2015-03-24 Oracle International Corporation Support for a new insert stream (ISTREAM) operation in complex event processing (CEP)
US9329975B2 (en) 2011-07-07 2016-05-03 Oracle International Corporation Continuous query language (CQL) debugger in complex event processing (CEP)
US8635573B2 (en) * 2011-08-01 2014-01-21 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating a semiconductor device having a defined minimum gate spacing between adjacent gate structures
US9105590B2 (en) 2011-08-10 2015-08-11 United Microelectronics Corp. Semiconductor structure having material layers which are level with each other and manufacturing method thereof
US9977855B2 (en) * 2011-09-14 2018-05-22 Toshiba Memory Corporation Method of wiring layout, semiconductor device, program for supporting design of wiring layout, and method for manufacturing semiconductor device
US10741489B2 (en) * 2011-09-19 2020-08-11 Texas Instruments Incorporated Rectangular via for ensuring via yield in the absence of via redundancy
US8887106B2 (en) * 2011-12-28 2014-11-11 Taiwan Semiconductor Manufacturing Company, Ltd. Method of generating a bias-adjusted layout design of a conductive feature and method of generating a simulation model of a predefined fabrication process
US8923087B2 (en) 2012-01-19 2014-12-30 Lsi Corporation Method and apparatus for decreasing leakage power consumption in power gated memories
JP6087506B2 (ja) 2012-01-31 2017-03-01 キヤノン株式会社 描画方法及び物品の製造方法
US8697537B2 (en) * 2012-02-01 2014-04-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method of patterning for a semiconductor device
US9105744B2 (en) 2012-03-01 2015-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices having inactive fin field effect transistor (FinFET) structures and manufacturing and design methods thereof
US10515956B2 (en) 2012-03-01 2019-12-24 Taiwan Semiconductor Manufacturing Company Semiconductor devices having Fin Field Effect Transistor (FinFET) structures and manufacturing and design methods thereof
US8984465B1 (en) 2013-06-28 2015-03-17 Cadence Design Systems, Inc. Methods, systems, and articles of manufacture for automatically assigning track patterns to regions for physical implementation of an electronic design
US9117052B1 (en) 2012-04-12 2015-08-25 Cadence Design Systems, Inc. Methods, systems, and articles of manufacture for interactively implementing physical electronic designs with track patterns
US9251299B1 (en) 2013-06-28 2016-02-02 Cadence Design Systems, Inc. Methods, systems, and articles of manufacture for associating track patterns with rules for electronic designs
US9003349B1 (en) * 2013-06-28 2015-04-07 Cadence Design Systems, Inc. Methods, systems, and articles of manufacture for implementing a physical electronic design with area-bounded tracks
US8741763B2 (en) * 2012-05-07 2014-06-03 Globalfoundries Inc. Layout designs with via routing structures
US20130320451A1 (en) * 2012-06-01 2013-12-05 Taiwan Semiconductor Manufacturing Company, Ltd., ("Tsmc") Semiconductor device having non-orthogonal element
US8736061B2 (en) * 2012-06-07 2014-05-27 GlobalFoundries, Inc. Integrated circuits having a continuous active area and methods for fabricating same
US8964453B2 (en) 2012-06-28 2015-02-24 Synopsys, Inc. SRAM layouts
US8987128B2 (en) * 2012-07-30 2015-03-24 Globalfoundries Inc. Cross-coupling based design using diffusion contact structures
US9213793B1 (en) 2012-08-31 2015-12-15 Cadence Design Systems, Inc. Methods, systems, and articles of manufacture for implementing electronic designs using flexible routing tracks
US9104830B1 (en) 2013-06-28 2015-08-11 Cadence Design Systems, Inc. Methods, systems, and articles of manufacture for assigning track patterns to regions of an electronic design
US9361308B2 (en) 2012-09-28 2016-06-07 Oracle International Corporation State initialization algorithm for continuous queries over archived relations
US9563663B2 (en) 2012-09-28 2017-02-07 Oracle International Corporation Fast path evaluation of Boolean predicates
US9576978B2 (en) 2012-10-09 2017-02-21 Samsung Electronics Co., Ltd. Cells including at least one fin field effect transistor and semiconductor integrated circuits including the same
US10629550B2 (en) * 2012-10-31 2020-04-21 Delta Electronics (Shanghai) Co., Ltd Power integrated module
US10956422B2 (en) 2012-12-05 2021-03-23 Oracle International Corporation Integrating event processing with map-reduce
US9123565B2 (en) 2012-12-31 2015-09-01 Taiwan Semiconductor Manufacturing Company, Ltd. Masks formed based on integrated circuit layout design having standard cell that includes extended active region
US9098587B2 (en) 2013-01-15 2015-08-04 Oracle International Corporation Variable duration non-event pattern matching
US10298444B2 (en) 2013-01-15 2019-05-21 Oracle International Corporation Variable duration windows on continuous data streams
US9390135B2 (en) 2013-02-19 2016-07-12 Oracle International Corporation Executing continuous event processing (CEP) queries in parallel
US9047249B2 (en) 2013-02-19 2015-06-02 Oracle International Corporation Handling faults in a continuous event processing (CEP) system
ES2694509T3 (es) * 2013-02-27 2018-12-21 Monsanto Technology Llc Mezclas de tanque de glifosato y dicamba con volatilidad mejorada
USD758372S1 (en) 2013-03-13 2016-06-07 Nagrastar Llc Smart card interface
USD759022S1 (en) * 2013-03-13 2016-06-14 Nagrastar Llc Smart card interface
USD729808S1 (en) * 2013-03-13 2015-05-19 Nagrastar Llc Smart card interface
JP5895880B2 (ja) * 2013-03-18 2016-03-30 ソニー株式会社 光学素子、投射型画像表示装置および原盤
JP6034488B2 (ja) * 2013-04-25 2016-11-30 日本たばこ産業株式会社 香喫味成分を含む嗜好品の構成要素の製造方法及び香喫味成分を含む嗜好品の構成要素
KR20140128619A (ko) * 2013-04-29 2014-11-06 에스케이하이닉스 주식회사 차동 증폭기를 구비한 반도체 집적 회로 장치
US9418113B2 (en) 2013-05-30 2016-08-16 Oracle International Corporation Value based windows on relations in continuous data streams
US9165103B1 (en) 2013-06-28 2015-10-20 Cadence Design Systems, Inc. Methods, systems, and articles of manufacture for tessellating and labeling routing space for routing electronic designs
US9331016B2 (en) * 2013-07-25 2016-05-03 Qualcomm Incorporated SOC design with critical technology pitch alignment
CN108630607B (zh) * 2013-08-23 2022-04-26 株式会社索思未来 半导体集成电路装置
US8938697B1 (en) * 2013-08-27 2015-01-20 United Microelectronics Corp. Method of performing optical proximity correction for preparing mask projected onto wafer by photolithography
CN105556644B (zh) 2013-09-27 2019-04-19 英特尔公司 通过包括限制材料层在相邻区域上方的侵蚀的选择性的化学反应来在小区域上方形成材料层
US9704846B1 (en) 2013-10-04 2017-07-11 Pdf Solutions, Inc. IC chips containing a mixture of standard cells obtained from an original set of design rules and enhanced standard cells that are a substantially uniform variant of the original set of design rules and methods for making the same
KR102233211B1 (ko) * 2013-10-11 2021-03-29 삼성전자주식회사 반도체 소자
CN104701297B (zh) * 2013-12-05 2017-12-29 中芯国际集成电路制造(北京)有限公司 互连结构及其形成方法
US9934279B2 (en) 2013-12-05 2018-04-03 Oracle International Corporation Pattern matching across multiple input data streams
JP2015141929A (ja) * 2014-01-27 2015-08-03 マイクロン テクノロジー, インク. 半導体装置及びその製造方法
FR3018139B1 (fr) 2014-02-28 2018-04-27 Stmicroelectronics (Rousset) Sas Circuit integre a composants, par exemple transistors nmos, a regions actives a contraintes en compression relachees
US9551923B2 (en) * 2014-04-08 2017-01-24 Taiwan Semiconductor Manufacturing Co., Ltd. Cut mask design layers to provide compact cell height
EP3152696B1 (en) * 2014-06-09 2019-07-03 Aware, Inc. System and method for performing biometric operations in parallel
US9244978B2 (en) 2014-06-11 2016-01-26 Oracle International Corporation Custom partitioning of a data stream
US9712645B2 (en) 2014-06-26 2017-07-18 Oracle International Corporation Embedded event processing
FR3025335B1 (fr) * 2014-08-29 2016-09-23 Stmicroelectronics Rousset Procede de fabrication d'un circuit integre rendant plus difficile une retro-conception du circuit integre et circuit integre correspondant
US10120907B2 (en) 2014-09-24 2018-11-06 Oracle International Corporation Scaling event processing using distributed flows and map-reduce operations
US9886486B2 (en) 2014-09-24 2018-02-06 Oracle International Corporation Enriching events with dynamically typed big data for event processing
US9431381B2 (en) 2014-09-29 2016-08-30 Taiwan Semiconductor Manufacturing Company, Ltd. System and method of processing cutting layout and example switching circuit
US9748246B2 (en) 2014-11-06 2017-08-29 Samsung Electronics Co., Ltd. Semiconductor integrated circuits having contacts spaced apart from active regions
KR102296062B1 (ko) * 2014-11-06 2021-08-31 삼성전자주식회사 반도체 집적 회로 및 그 제조 방법
USD780763S1 (en) * 2015-03-20 2017-03-07 Nagrastar Llc Smart card interface
US9583493B2 (en) 2015-04-08 2017-02-28 Samsung Electronics Co., Ltd. Integrated circuit and semiconductor device
KR102321605B1 (ko) * 2015-04-09 2021-11-08 삼성전자주식회사 반도체 장치의 레이아웃 설계 방법 및 그를 이용한 반도체 장치의 제조 방법
USD864968S1 (en) 2015-04-30 2019-10-29 Echostar Technologies L.L.C. Smart card interface
US9543192B2 (en) * 2015-05-18 2017-01-10 Globalfoundries Singapore Pte. Ltd. Stitched devices
US9851506B2 (en) * 2015-06-04 2017-12-26 Elenion Technologies, Llc Back end of line process integrated optical device fabrication
US9618918B2 (en) * 2015-07-13 2017-04-11 James Thomas O'Keeffe System and method for estimating the number of people in a smart building
JP6568735B2 (ja) * 2015-07-17 2019-08-28 日立オートモティブシステムズ株式会社 スイッチ素子及び負荷駆動装置
WO2017018901A1 (en) 2015-07-24 2017-02-02 Oracle International Corporation Visually exploring and analyzing event streams
KR102342851B1 (ko) 2015-08-17 2021-12-23 삼성전자주식회사 반도체 칩, 테스트 시스템 및 반도체 칩의 테스트 방법
EP3167980A1 (en) * 2015-11-13 2017-05-17 SLM Solutions Group AG Unpacking device allowing residual raw material powder removal
KR102506430B1 (ko) 2015-11-27 2023-03-08 삼성전자주식회사 반도체 장치의 제조 방법
CN106952865B (zh) * 2016-01-06 2019-11-01 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US10062648B2 (en) 2016-02-26 2018-08-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and method of forming the same
US9735157B1 (en) 2016-03-18 2017-08-15 Samsung Electronics Co., Ltd. Semiconductor device and method of fabricating the same
US9721841B1 (en) 2016-04-27 2017-08-01 United Microelectronics Corp. Electronic circuit of fin FET and methof for fabricating the electronic circuit
KR20170128719A (ko) * 2016-05-13 2017-11-23 삼성전자주식회사 반도체 소자의 제조 방법
GB201609781D0 (en) * 2016-06-03 2016-07-20 Irdeto Bv Secured chip
US9741690B1 (en) * 2016-09-09 2017-08-22 Taiwan Semiconductor Manufacturing Company, Ltd. Redistribution layers in semiconductor packages and methods of forming same
US9985014B2 (en) 2016-09-15 2018-05-29 Qualcomm Incorporated Minimum track standard cell circuits for reduced area
US9837398B1 (en) * 2016-11-23 2017-12-05 Advanced Micro Devices, Inc. Metal track cutting in standard cell layouts
US10157922B2 (en) * 2016-11-28 2018-12-18 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnect metal layout for integrated circuit
KR20180069465A (ko) 2016-12-15 2018-06-25 삼성전자주식회사 수직형 트랜지스터를 구비하는 집적 회로 및 이를 포함하는 반도체 장치
US10283526B2 (en) 2016-12-21 2019-05-07 Qualcomm Incorporated Standard cell circuits employing voltage rails electrically coupled to metal shunts for reducing or avoiding increases in voltage drop
US10424574B2 (en) * 2017-01-23 2019-09-24 International Business Machines Corporation Standard cell architecture with at least one gate contact over an active area
US11347925B2 (en) 2017-05-01 2022-05-31 Advanced Micro Devices, Inc. Power grid architecture and optimization with EUV lithography
US11211330B2 (en) 2017-05-01 2021-12-28 Advanced Micro Devices, Inc. Standard cell layout architectures and drawing styles for 5nm and beyond
US10790272B2 (en) 2017-08-02 2020-09-29 Qualcomm Incorporated Manufacturability (DFM) cells in extreme ultra violet (EUV) technology
US10411020B2 (en) 2017-08-31 2019-09-10 Taiwan Semiconductor Manufacturing Co., Ltd. Using three or more masks to define contact-line-blocking components in FinFET SRAM fabrication
US10692808B2 (en) 2017-09-18 2020-06-23 Qualcomm Incorporated High performance cell design in a technology with high density metal routing
US10943045B2 (en) 2018-01-31 2021-03-09 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device including standard-cell-adapted power grid arrangement and method for generating layout diagram of same
US20190252408A1 (en) * 2018-02-13 2019-08-15 Qualcomm Incorporated Staggered self aligned gate contact
US10916498B2 (en) 2018-03-28 2021-02-09 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnect structure for logic circuit
US10748889B2 (en) 2018-06-15 2020-08-18 Samsung Electronics Co., Ltd. Power grid and standard cell co-design structure and methods thereof
US11556691B2 (en) * 2018-09-28 2023-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. Track-based fill (TBF) method for metal patterning
WO2020102262A1 (en) 2018-11-12 2020-05-22 Exxonmobil Upstream Research Company Method of placing a fluid mixture containing compressible particles into a wellbore
KR102628894B1 (ko) 2018-12-05 2024-01-24 삼성전자주식회사 단위 배선 구조를 갖는 집적 회로, 그 제조 방법 및 설계 방법
CN113950479A (zh) 2019-02-22 2022-01-18 克洛诺斯生物股份有限公司 作为syk抑制剂的缩合吡嗪的固体形式
KR20200116646A (ko) 2019-04-02 2020-10-13 삼성전자주식회사 표준 셀을 포함하는 집적 회로 및 반도체 장치
US11126775B2 (en) * 2019-04-12 2021-09-21 Taiwan Semiconductor Manufacturing Company, Ltd. IC layout, method, device, and system
DE102020115154A1 (de) 2019-06-14 2020-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. Multiplexer
US11392743B2 (en) * 2019-06-14 2022-07-19 Taiwan Semiconductor Manufacturing Company, Ltd. Multiplexer
US10796061B1 (en) 2019-08-29 2020-10-06 Advanced Micro Devices, Inc. Standard cell and power grid architectures with EUV lithography
US10854604B1 (en) * 2019-09-20 2020-12-01 Qualcomm Incorporated Offset gate contact
CN112736079A (zh) * 2019-10-28 2021-04-30 联华电子股份有限公司 具有连接pmos区域栅极结构的接触插塞的半导体元件
US11088075B2 (en) * 2019-11-01 2021-08-10 Globalfoundries U.S. Inc. Layout structures with multiple fingers of multiple lengths
US11881477B2 (en) * 2020-02-19 2024-01-23 Taiwan Semiconductor Manufacturing Company, Ltd. Dummy poly layout for high density devices
KR20210128842A (ko) 2020-04-17 2021-10-27 삼성전자주식회사 금속 하우징을 포함하는 전자 장치
US11342326B2 (en) * 2020-04-28 2022-05-24 Taiwan Semiconductor Manufacturing Co., Ltd. Self-aligned etch in semiconductor devices
EP4060738A4 (en) * 2021-02-05 2022-11-30 Changxin Memory Technologies, Inc. STANDARD CELL TEMPLATE AND SEMICONDUCTOR STRUCTURE
TW202314575A (zh) 2021-09-28 2023-04-01 聯華電子股份有限公司 積體電路布局

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05218362A (ja) * 1992-02-04 1993-08-27 Sharp Corp ゲートアレイのベーシックセル
JP2004241529A (ja) * 2003-02-05 2004-08-26 Matsushita Electric Ind Co Ltd 半導体回路装置及びその回路シミュレーション方法
JP2005268610A (ja) * 2004-03-19 2005-09-29 Matsushita Electric Ind Co Ltd スタンダードセルの設計方法及び半導体集積回路

Family Cites Families (759)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US378110A (en) * 1888-02-21 Inking apparatus for printing-presses
US626487A (en) * 1899-06-06 Edmond r
US597305A (en) * 1898-01-11 Railroad-track gage
US649266A (en) * 1900-03-26 1900-05-08 James F Atwood Garment-supporting clasp.
US669297A (en) * 1900-11-27 1901-03-05 Henry Ackermann Saw-set.
US690458A (en) * 1901-07-16 1902-01-07 Frederic N Pease Detergent and process of making same.
US1175940A (en) * 1915-06-18 1916-03-21 Hiland R Farnsworth Suction-roll.
US2973507A (en) * 1958-09-02 1961-02-28 Collins Radio Co Call recognition system
US3521242A (en) 1967-05-02 1970-07-21 Rca Corp Complementary transistor write and ndro for memory cell
US3656112A (en) * 1969-03-14 1972-04-11 Constellation Science And Tech Utility meter remote automatic reading system
US4069493A (en) 1970-10-02 1978-01-17 Thomson-Csf Novel integrated circuit and method of manufacturing same
US3794155A (en) * 1972-06-02 1974-02-26 Ashworth Bros Inc Wire mesh belt
US3792593A (en) * 1972-08-10 1974-02-19 Gen Motors Corp Fresh air damper arrangement for room air conditioner
US4197555A (en) 1975-12-29 1980-04-08 Fujitsu Limited Semiconductor device
JPS5746536A (en) 1980-09-04 1982-03-17 Matsushita Electric Ind Co Ltd Gate circuit
US4424460A (en) 1981-07-14 1984-01-03 Rockwell International Corporation Apparatus and method for providing a logical exclusive OR/exclusive NOR function
JPS5943824B2 (ja) * 1982-03-03 1984-10-24 三菱電機株式会社 半導体集積回路装置
JPS58182242A (ja) 1982-04-19 1983-10-25 Nec Corp 半導体集積回路装置
JPS58182242U (ja) 1982-05-28 1983-12-05 パイオニア株式会社 スライドロツク付プツシユボタン
JPS58215827A (ja) 1982-06-09 1983-12-15 Toshiba Corp 論理回路
JPS5943548A (ja) 1982-09-06 1984-03-10 Hitachi Ltd 半導体集積回路装置
US4613940A (en) 1982-11-09 1986-09-23 International Microelectronic Products Method and structure for use in designing and building electronic systems in integrated circuits
JPS6035532A (ja) 1983-07-29 1985-02-23 Fujitsu Ltd マスタスライス集積回路装置
US4575648A (en) 1983-12-23 1986-03-11 At&T Bell Laboratories Complementary field effect transistor EXCLUSIVE OR logic gates
US5121186A (en) 1984-06-15 1992-06-09 Hewlett-Packard Company Integrated circuit device having improved junction connections
KR940002772B1 (ko) 1984-08-31 1994-04-02 가부시기가이샤 히다찌세이사꾸쇼 반도체 집적회로 장치 및 그 제조방법
US5545904A (en) 1986-01-17 1996-08-13 Quick Technologies Ltd. Personalizable gate array devices
JPH0695570B2 (ja) 1985-02-07 1994-11-24 三菱電機株式会社 半導体集積回路装置
JPS61202451A (ja) 1985-03-05 1986-09-08 Nec Corp 半導体集積回路の配線構体
US4804636A (en) 1985-05-01 1989-02-14 Texas Instruments Incorporated Process for making integrated circuits having titanium nitride triple interconnect
US4975756A (en) 1985-05-01 1990-12-04 Texas Instruments Incorporated SRAM with local interconnect
US4657628A (en) 1985-05-01 1987-04-14 Texas Instruments Incorporated Process for patterning local interconnects
JPH0216605Y2 (ja) 1985-05-02 1990-05-08
US4602270A (en) 1985-05-17 1986-07-22 United Technologies Corporation Gate array with reduced isolation
JPS6247148A (ja) 1985-08-27 1987-02-28 Toshiba Corp 半導体集積回路装置
JPS62169472A (ja) 1986-01-22 1987-07-25 Hitachi Ltd 半導体集積回路装置
US5097422A (en) 1986-10-10 1992-03-17 Cascade Design Automation Corporation Method and apparatus for designing integrated circuits
US4745084A (en) 1986-11-12 1988-05-17 Vlsi Technology, Inc. Method of making a customized semiconductor integrated device
US4884115A (en) 1987-02-27 1989-11-28 Siemens Aktiengesellschaft Basic cell for a gate array arrangement in CMOS Technology
US4801986A (en) 1987-04-03 1989-01-31 General Electric Company Vertical double diffused metal oxide semiconductor VDMOS device with increased safe operating area and method
JP2742052B2 (ja) 1987-06-12 1998-04-22 日本電信電話株式会社 相補型misマスタスライス論理集積回路
JPH067345B2 (ja) 1987-06-24 1994-01-26 株式会社 エイ・ティ・ア−ル自動翻訳電話研究所 ベクトル量子化を用いた音声認識方式
US5004761A (en) * 1987-07-28 1991-04-02 Dai-Ichi Kogyo Seiyaku Co., Ltd. Process for continuously preparing acrylic polymer gel
US5119313A (en) 1987-08-04 1992-06-02 Texas Instruments Incorporated Comprehensive logic circuit layout system
KR100212098B1 (ko) 1987-09-19 1999-08-02 가나이 쓰도무 반도체 집적회로 장치 및 그 제조 방법과 반도체 집적 회로 장치의 배선기판 및 그 제조 방법
US5068603A (en) 1987-10-07 1991-11-26 Xilinx, Inc. Structure and method for producing mask-programmed integrated circuits which are pin compatible substitutes for memory-configured logic arrays
US4812688A (en) 1987-12-30 1989-03-14 International Business Machines Corporation Transistor delay circuits
JPH01284115A (ja) 1988-05-11 1989-11-15 Sharp Corp 論理回路
US5268319A (en) 1988-06-08 1993-12-07 Eliyahou Harari Highly compact EPROM and flash EEPROM devices
US4928160A (en) 1989-01-17 1990-05-22 Ncr Corporation Gate isolated base cell structure with off-grid gate polysilicon pattern
JPH02198154A (ja) 1989-01-27 1990-08-06 Hitachi Ltd 配線の形成方法及びこれを利用した半導体装置
US5224057A (en) 1989-02-28 1993-06-29 Kabushiki Kaisha Toshiba Arrangement method for logic cells in semiconductor IC device
US5351197A (en) 1989-04-13 1994-09-27 Cascade Design Automation Corporation Method and apparatus for designing the layout of a subcircuit in an integrated circuit
JPH03165061A (ja) 1989-11-22 1991-07-17 Hitachi Ltd 半導体集積回路装置
US5298774A (en) 1990-01-11 1994-03-29 Mitsubishi Denki Kabushiki Kaisha Gate array system semiconductor integrated circuit device
US5483104A (en) 1990-01-12 1996-01-09 Paradigm Technology, Inc. Self-aligning contact and interconnect structure
KR100199258B1 (ko) 1990-02-09 1999-06-15 가나이 쓰도무 반도체집적회로장치
US5084437A (en) * 1990-02-28 1992-01-28 Westinghouse Electric Corp. Method for making high-current, ohmic contacts between semiconductors and oxide superconductors
US6100025A (en) 1990-04-20 2000-08-08 Cold Spring Harbor Laboratory Cloning by complementation and related processes
US5977305A (en) 1990-04-20 1999-11-02 Cold Spring Harbor Laboratories Cloning by complementation and related processes
US5047979A (en) 1990-06-15 1991-09-10 Integrated Device Technology, Inc. High density SRAM circuit with ratio independent memory cells
US5208765A (en) 1990-07-20 1993-05-04 Advanced Micro Devices, Inc. Computer-based method and system for product development
US5057895A (en) 1990-08-06 1991-10-15 Harris Corporation Trench conductor and crossunder architecture
US5079614A (en) 1990-09-26 1992-01-07 S-Mos Systems, Inc. Gate array architecture with basic cell interleaved gate electrodes
JP3017789B2 (ja) 1990-10-18 2000-03-13 三菱電機株式会社 半導体集積回路装置のレイアウト設計方法
US5100025A (en) * 1991-03-04 1992-03-31 Mcgraw Kim A Pump dispensing apparatus
JP2851447B2 (ja) 1991-03-08 1999-01-27 三菱電機株式会社 形状シミュレーション方法
JP2714723B2 (ja) * 1991-03-15 1998-02-16 シャープ株式会社 半導体集積回路装置の製造方法
JPH05152937A (ja) 1991-11-26 1993-06-18 Hitachi Ltd 論理ゲート回路
JP3129336B2 (ja) 1991-12-09 2001-01-29 沖電気工業株式会社 半導体記憶装置
US5307180A (en) * 1991-12-18 1994-04-26 Xerox Corporation Method and apparatus for controlling the processing of digital image signals
US7071060B1 (en) 1996-02-28 2006-07-04 Sandisk Corporation EEPROM with split gate source side infection with sidewall spacers
US5242770A (en) 1992-01-16 1993-09-07 Microunity Systems Engineering, Inc. Mask for photolithography
JP2760195B2 (ja) 1992-01-20 1998-05-28 日本電気株式会社 論理回路
US5526307A (en) 1992-01-22 1996-06-11 Macronix International Co., Ltd. Flash EPROM integrated circuit architecture
US5303334A (en) * 1992-03-05 1994-04-12 Adobe Systems Incorporated System for generating a rasterized graphic image
US5367187A (en) 1992-12-22 1994-11-22 Quality Semiconductor, Inc. Master slice gate array integrated circuits with basic cells adaptable for both input/output and logic functions
IT1257184B (it) 1992-12-22 1996-01-10 Applied Research Systems Preparato ad attivita' antinfiammatoria, anticoagulante e antitumorale
US5692323A (en) * 1993-01-26 1997-12-02 Rotasole Pty. Ltd. Footwear with auto-returning turntable
US5420447A (en) 1993-01-29 1995-05-30 Sgs-Thomson Microelectronics, Inc. Double buffer base gate array cell
US5359226A (en) 1993-02-02 1994-10-25 Paradigm Technology, Inc. Static memory with self aligned contacts and split word lines
US5497334A (en) 1993-02-19 1996-03-05 International Business Machines Corporation Application generator for use in verifying a hierarchical circuit design
US5410107A (en) 1993-03-01 1995-04-25 The Board Of Trustees Of The University Of Arkansas Multichip module
FR2702595B1 (fr) 1993-03-11 1996-05-24 Toshiba Kk Structure de câblage multicouche.
US5536955A (en) 1993-03-29 1996-07-16 Toppan Electronics (Usa) Inc. Electronic devices for use in generating integrated circuit structures and method therefor
US5338963A (en) 1993-04-05 1994-08-16 International Business Machines Corporation Soft error immune CMOS static RAM cell
NL9300684A (nl) * 1993-04-22 1994-11-16 Oce Nederland Bv Werkwijze voor het halftonen van gedigitaliseerde grijswaardebeelden en beeldbewerkingsinrichting geschikt voor het uitvoeren van een dergelijke werkwijze.
US5691218A (en) 1993-07-01 1997-11-25 Lsi Logic Corporation Method of fabricating a programmable polysilicon gate array base cell structure
US5396128A (en) 1993-09-13 1995-03-07 Motorola, Inc. Output circuit for interfacing integrated circuits having different power supply potentials
JP3285438B2 (ja) 1993-10-29 2002-05-27 三菱電機株式会社 半導体記憶装置
JP3144967B2 (ja) 1993-11-08 2001-03-12 株式会社日立製作所 半導体集積回路およびその製造方法
JP2746087B2 (ja) 1993-12-01 1998-04-28 日本電気株式会社 半導体集積回路
US5625568A (en) 1993-12-22 1997-04-29 Vlsi Technology, Inc. Method and apparatus for compacting integrated circuits with standard cell architectures
JP2684980B2 (ja) 1993-12-24 1997-12-03 日本電気株式会社 半導体記憶装置及びその製造方法
US6675361B1 (en) 1993-12-27 2004-01-06 Hyundai Electronics America Method of constructing an integrated circuit comprising an embedded macro
US5756385A (en) 1994-03-30 1998-05-26 Sandisk Corporation Dense flash EEPROM cell array and peripheral supporting circuits formed in deposited field oxide with the use of spacers
US5378649A (en) 1994-04-08 1995-01-03 United Microelectronics Corporation Process for producing non-volatile memory devices having closely spaced buried bit lines and non-overlapping code implant areas
US5636002A (en) 1994-04-29 1997-06-03 Lucent Technologies Inc. Auxiliary mask features for enhancing the resolution of photolithography
JP3463180B2 (ja) 1994-05-02 2003-11-05 Necトーキン株式会社 磁性ガーネット酸化物粉末の製造方法及び磁性ガーネット酸化物膜の製造方法
US5591995A (en) * 1994-05-10 1997-01-07 Texas Instruments, Incorporated Base cell for BiCMOS and CMOS gate arrays
TW297158B (ja) 1994-05-27 1997-02-01 Hitachi Ltd
JP3202490B2 (ja) 1994-07-22 2001-08-27 株式会社東芝 集積回路のレイアウト方法及び集積回路のレイアウト装置
JP3469362B2 (ja) 1994-08-31 2003-11-25 株式会社東芝 半導体記憶装置
US5528177A (en) 1994-09-16 1996-06-18 Research Foundation Of State University Of New York Complementary field-effect transistor logic circuits for wave pipelining
US5497337A (en) 1994-10-21 1996-03-05 International Business Machines Corporation Method for designing high-Q inductors in silicon technology without expensive metalization
US5852562A (en) 1994-12-13 1998-12-22 Matsushita Electric Industrial Co., Ltd. Method and apparatus for designing an LSI layout utilizing cells having a predetermined wiring height in order to reduce wiring zones
JPH08292938A (ja) 1995-02-24 1996-11-05 Fujitsu Ltd 有限要素メッシュ発生方法及び装置、並びに解析方法及び装置
JP2647045B2 (ja) 1995-02-28 1997-08-27 日本電気株式会社 半導体記憶装置及びその製造方法
US5682323A (en) 1995-03-06 1997-10-28 Lsi Logic Corporation System and method for performing optical proximity correction on macrocell libraries
DE19509663A1 (de) * 1995-03-17 1996-09-19 Lohmann Therapie Syst Lts Verfahren zur Isolierung von Galanthamin
US5581098A (en) 1995-05-05 1996-12-03 Circuit Integration Technology, Inc. Circuit routing structure using fewer variable masks
JP3708168B2 (ja) * 1995-06-13 2005-10-19 富士通株式会社 遅延装置
JP3535615B2 (ja) 1995-07-18 2004-06-07 株式会社ルネサステクノロジ 半導体集積回路装置
US5774367A (en) 1995-07-24 1998-06-30 Motorola, Inc. Method of selecting device threshold voltages for high speed and low power
US5764533A (en) 1995-08-01 1998-06-09 Sun Microsystems, Inc. Apparatus and methods for generating cell layouts
US5754826A (en) 1995-08-04 1998-05-19 Synopsys, Inc. CAD and simulation system for targeting IC designs to multiple fabrication processes
US5841663A (en) 1995-09-14 1998-11-24 Vlsi Technology, Inc. Apparatus and method for synthesizing integrated circuits using parameterized HDL modules
JPH0993118A (ja) * 1995-09-22 1997-04-04 Kawasaki Steel Corp パストランジスタ論理回路
US5789776A (en) 1995-09-22 1998-08-04 Nvx Corporation Single poly memory cell and array
JPH0997885A (ja) 1995-09-28 1997-04-08 Denso Corp ゲートアレイ
US5973369A (en) 1997-03-11 1999-10-26 Nec Corporation SRAM having P-channel TFT as load element with less series-connected high resistance
US5723883A (en) 1995-11-14 1998-03-03 In-Chip Gate array cell architecture and routing scheme
US5640342A (en) 1995-11-20 1997-06-17 Micron Technology, Inc. Structure for cross coupled thin film transistors and static random access memory cell
JP3400215B2 (ja) 1995-11-21 2003-04-28 沖電気工業株式会社 半導体装置
JP3486725B2 (ja) 1995-11-28 2004-01-13 株式会社ルネサステクノロジ 可変論理集積回路
JP3934719B2 (ja) 1995-12-22 2007-06-20 株式会社東芝 光近接効果補正方法
US6043562A (en) 1996-01-26 2000-03-28 Micron Technology, Inc. Digit line architecture for dynamic memory
KR100229577B1 (ko) 1996-01-31 1999-11-15 포만 제프리 엘 게이트 어레이 셀 및 이것을 포함한 집적 회로 칩
US5798298A (en) 1996-02-09 1998-08-25 United Microelectronics Corporation Method of automatically generating dummy metals for multilevel interconnection
US6269472B1 (en) 1996-02-27 2001-07-31 Lsi Logic Corporation Optical proximity correction method and apparatus
US5705301A (en) 1996-02-27 1998-01-06 Lsi Logic Corporation Performing optical proximity correction with the aid of design rule checkers
US5698873A (en) 1996-03-08 1997-12-16 Lsi Logic Corporation High density gate array base cell architecture
JPH09282349A (ja) 1996-04-17 1997-10-31 Shinko Electric Ind Co Ltd データ変換処理装置
JPH09289251A (ja) 1996-04-23 1997-11-04 Matsushita Electric Ind Co Ltd 半導体集積回路のレイアウト構造およびその検証方法
JP2914292B2 (ja) 1996-04-25 1999-06-28 日本電気株式会社 半導体装置
US5740068A (en) 1996-05-30 1998-04-14 International Business Machines Corporation Fidelity enhancement of lithographic and reactive-ion-etched images by optical proximity correction
JP2809200B2 (ja) 1996-06-03 1998-10-08 日本電気株式会社 半導体装置の製造方法
US5935763A (en) 1996-06-11 1999-08-10 International Business Machines Corporation Self-aligned pattern over a reflective layer
US6026223A (en) * 1996-06-28 2000-02-15 Scepanovic; Ranko Advanced modular cell placement system with overlap remover with minimal noise
JP3311244B2 (ja) 1996-07-15 2002-08-05 株式会社東芝 基本セルライブラリ及びその形成方法
US5858194A (en) * 1996-07-18 1999-01-12 Beckman Instruments, Inc. Capillary, interface and holder
JP2918101B2 (ja) 1996-07-25 1999-07-12 日本電気株式会社 半導体集積回路のレイアウト方法
US5796128A (en) 1996-07-25 1998-08-18 Translogic Technology, Inc. Gate array with fully wired multiplexer circuits
US5759871A (en) * 1996-07-26 1998-06-02 Advanced Micro Devices, Inc. Structure for testing junction leakage of salicided devices fabricated using shallow trench and refill techniques
US5920486A (en) 1996-08-16 1999-07-06 International Business Machines Corporation Parameterized cells for generating dense layouts of VLSI circuits
US5717635A (en) 1996-08-27 1998-02-10 International Business Machines Corporation High density EEPROM for solid state file
JP3152635B2 (ja) 1996-09-09 2001-04-03 三洋電機株式会社 マスタスライス方式の基本セル、半導体集積回路装置、フリップフロップ回路、排他的論理和回路、マルチプレクサ及び加算器
US5858580A (en) 1997-09-17 1999-01-12 Numerical Technologies, Inc. Phase shifting circuit manufacture method and apparatus
US5790417A (en) 1996-09-25 1998-08-04 Taiwan Semiconductor Manufacturing Company Ltd. Method of automatic dummy layout generation
US5923060A (en) 1996-09-27 1999-07-13 In-Chip Systems, Inc. Reduced area gate array cell design based on shifted placement of alternate rows of cells
US5684733A (en) 1996-09-30 1997-11-04 Holtek Microelectronics, Inc. Fixed resistance high density parallel ROM device
JP3529563B2 (ja) * 1996-10-09 2004-05-24 株式会社東芝 半導体集積回路の再レイアウト方法及び半導体集積回路の再レイアウトプログラムを記録した媒体
US5984510A (en) 1996-11-01 1999-11-16 Motorola Inc. Automatic synthesis of standard cell layouts
US6209123B1 (en) 1996-11-01 2001-03-27 Motorola, Inc. Methods of placing transistors in a circuit layout and semiconductor device with automatically placed transistors
US6099584A (en) 1996-12-06 2000-08-08 Vsli Technology, Inc. System to fix post-layout timing and design rules violations
JP3523762B2 (ja) 1996-12-19 2004-04-26 株式会社東芝 半導体記憶装置
JP3352895B2 (ja) 1996-12-25 2002-12-03 株式会社東芝 半導体集積回路、半導体集積回路の設計方法および製造方法
JP3420694B2 (ja) 1996-12-27 2003-06-30 株式会社東芝 スタンダードセル方式の集積回路
JPH10189746A (ja) 1996-12-27 1998-07-21 Oki Electric Ind Co Ltd Lsi論理回路の配線レイアウト方法
JP3180700B2 (ja) * 1997-02-03 2001-06-25 日本電気株式会社 半導体集積回路装置
JP3036588B2 (ja) 1997-02-03 2000-04-24 日本電気株式会社 半導体記憶装置
JP3352349B2 (ja) 1997-02-24 2002-12-03 シャープ株式会社 双方向サイリスタ素子
US5900340A (en) 1997-03-03 1999-05-04 Motorola, Inc. One dimensional lithographic proximity correction using DRC shape functions
WO1998041182A1 (fr) * 1997-03-17 1998-09-24 Hitachi, Ltd. Dispositif d'aide a la marche
US5977574A (en) 1997-03-28 1999-11-02 Lsi Logic Corporation High density gate array cell architecture with sharing of well taps between cells
US5880991A (en) * 1997-04-14 1999-03-09 International Business Machines Corporation Structure for low cost mixed memory integration, new NVRAM structure, and process for forming the mixed memory and NVRAM structure
US6393601B1 (en) 1997-04-14 2002-05-21 Matsushita Electric Industrial Co., Ltd. Layout designing apparatus for integrated circuit, transistor size determining apparatus, circuit characteristic evaluating method, and transistor size determining method
JP3178799B2 (ja) 1997-04-18 2001-06-25 シャープ株式会社 Mos論理回路及びこのmos論理回路を備えた半導体装置
KR100227621B1 (ko) 1997-05-22 1999-11-01 김영환 반도체 소자의 트랜지스터 제조방법
US6005296A (en) 1997-05-30 1999-12-21 Stmicroelectronics, Inc. Layout for SRAM structure
US6445049B1 (en) 1997-06-30 2002-09-03 Artisan Components, Inc. Cell based array comprising logic, transfer and drive cells
US6282696B1 (en) 1997-08-15 2001-08-28 Lsi Logic Corporation Performing optical proximity correction with the aid of design rule checkers
US6470489B1 (en) 1997-09-17 2002-10-22 Numerical Technologies, Inc. Design rule checking system and method
US6370679B1 (en) 1997-09-17 2002-04-09 Numerical Technologies, Inc. Data hierarchy layout correction and verification method and apparatus
US6009251A (en) 1997-09-30 1999-12-28 Synopsys, Inc. Method and system for layout verification of an integrated circuit design with reusable subdesigns
US6506327B2 (en) * 1997-11-05 2003-01-14 Pedex & Co. Gmbh Process of making monofilaments
US6114071A (en) 1997-11-24 2000-09-05 Asml Masktools Netherlands B.V. Method of fine feature edge tuning with optically-halftoned mask
DE69727581D1 (de) 1997-11-28 2004-03-18 St Microelectronics Srl RAM-Speicherzelle mit niedriger Leistungsaufnahme
JP3701781B2 (ja) 1997-11-28 2005-10-05 株式会社ルネサステクノロジ 論理回路とその作成方法
US6034433A (en) * 1997-12-23 2000-03-07 Intel Corporation Interconnect structure for protecting a transistor gate from charge damage
JP3926011B2 (ja) 1997-12-24 2007-06-06 株式会社ルネサステクノロジ 半導体装置の設計方法
JP3777768B2 (ja) 1997-12-26 2006-05-24 株式会社日立製作所 半導体集積回路装置およびセルライブラリを記憶した記憶媒体および半導体集積回路の設計方法
KR100278273B1 (ko) * 1997-12-30 2001-02-01 김영환 반도체장치의콘택홀형성방법
US6249902B1 (en) 1998-01-09 2001-06-19 Silicon Perspective Corporation Design hierarchy-based placement
US6571140B1 (en) 1998-01-15 2003-05-27 Eutech Cybernetics Pte Ltd. Service-oriented community agent
JPH11214662A (ja) 1998-01-29 1999-08-06 Mitsubishi Electric Corp 半導体装置
US6091845A (en) 1998-02-24 2000-07-18 Micron Technology, Inc. Inspection technique of photomask
US6230299B1 (en) 1998-03-31 2001-05-08 Mentor Graphics Corporation Method and apparatus for extracting and storing connectivity and geometrical data for a deep sub-micron integrated circuit design
US6378110B1 (en) 1998-03-31 2002-04-23 Synopsys, Inc. Layer-based rule checking for an integrated circuit layout
JPH11297856A (ja) 1998-04-16 1999-10-29 Mitsubishi Electric Corp スタティック半導体記憶装置
JP3926928B2 (ja) * 1998-06-02 2007-06-06 セイコーエプソン株式会社 印刷装置および印刷方法並びに記録媒体
US5915199A (en) 1998-06-04 1999-06-22 Sharp Microelectronics Technology, Inc. Method for manufacturing a CMOS self-aligned strapped interconnection
US6262487B1 (en) 1998-06-23 2001-07-17 Kabushiki Kaisha Toshiba Semiconductor integrated circuit device, semiconductor integrated circuit wiring method, and cell arranging method
US6063132A (en) 1998-06-26 2000-05-16 International Business Machines Corporation Method for verifying design rule checking software
US6480989B2 (en) 1998-06-29 2002-11-12 Lsi Logic Corporation Integrated circuit design incorporating a power mesh
US6714903B1 (en) 1998-07-10 2004-03-30 Lsi Logic Corporation Placement and routing of circuits using a combined processing/buffer cell
US6240542B1 (en) 1998-07-14 2001-05-29 Lsi Logic Corporation Poly routing for chip interconnects with minimal impact on chip performance
US6182272B1 (en) 1998-07-16 2001-01-30 Lsi Logic Corporation Metal layer assignment
JP3562975B2 (ja) 1998-09-29 2004-09-08 株式会社東芝 集積回路設計方法及び集積回路設計装置
US20020008257A1 (en) 1998-09-30 2002-01-24 John P. Barnak Mosfet gate electrodes having performance tuned work functions and methods of making same
JP2000114262A (ja) * 1998-10-05 2000-04-21 Toshiba Corp 半導体装置及びその製造方法
JP3852729B2 (ja) 1998-10-27 2006-12-06 富士通株式会社 半導体記憶装置
US6275973B1 (en) 1998-10-30 2001-08-14 Lsi Logic Corporation Integrated circuit design with delayed cell selection
US6174742B1 (en) 1998-10-30 2001-01-16 Lsi Logic Corporation Off-grid metal layer utilization
US6166415A (en) 1998-11-02 2000-12-26 Mitsubishi Denki Kabushiki Kaisha Semiconductor device with improved noise resistivity
JP3680594B2 (ja) 1998-11-10 2005-08-10 株式会社日立製作所 半導体集積回路
TW476069B (en) 1998-11-20 2002-02-11 Via Tech Inc Placement and routing for array device
AU1913500A (en) 1998-11-25 2000-06-13 Nanopower, Inc. Improved flip-flops and other logic circuits and techniques for improving layouts of integrated circuits
JP4437565B2 (ja) 1998-11-26 2010-03-24 富士通マイクロエレクトロニクス株式会社 半導体集積回路装置、半導体集積回路装置の設計方法、及び、記録媒体
US6477695B1 (en) 1998-12-09 2002-11-05 Artisan Components, Inc. Methods for designing standard cell transistor structures
US6588005B1 (en) 1998-12-11 2003-07-01 Hitachi, Ltd. Method of manufacturing semiconductor integrated circuit device
KR100291384B1 (ko) 1998-12-31 2001-07-12 윤종용 반도체장치의레이아웃방법
US6159839A (en) 1999-02-11 2000-12-12 Vanguard International Semiconductor Corporation Method for fabricating borderless and self-aligned polysilicon and metal contact landing plugs for multilevel interconnections
US6347292B1 (en) * 1999-02-17 2002-02-12 Den-Con Electronics, Inc. Oilfield equipment identification method and apparatus
US6691297B1 (en) 1999-03-04 2004-02-10 Matsushita Electric Industrial Co., Ltd. Method for planning layout for LSI pattern, method for forming LSI pattern and method for generating mask data for LSI
US6480032B1 (en) 1999-03-04 2002-11-12 Intel Corporation Gate array architecture
US6974978B1 (en) 1999-03-04 2005-12-13 Intel Corporation Gate array architecture
US6194912B1 (en) 1999-03-11 2001-02-27 Easic Corporation Integrated circuit device
US6331733B1 (en) 1999-08-10 2001-12-18 Easic Corporation Semiconductor device
US6044007A (en) 1999-03-24 2000-03-28 Advanced Micro Devices, Inc. Modification of mask layout data to improve writeability of OPC
JP3986036B2 (ja) 1999-04-16 2007-10-03 株式会社日立製作所 半導体集積回路装置
US6505328B1 (en) 1999-04-27 2003-01-07 Magma Design Automation, Inc. Method for storing multiple levels of design data in a common database
US6507941B1 (en) 1999-04-28 2003-01-14 Magma Design Automation, Inc. Subgrid detailed routing
JP4565700B2 (ja) 1999-05-12 2010-10-20 ルネサスエレクトロニクス株式会社 半導体装置
US6492066B1 (en) 1999-05-28 2002-12-10 Advanced Micro Devices, Inc. Characterization and synthesis of OPC structures by fourier space analysis and/or wavelet transform expansion
US6425112B1 (en) 1999-06-17 2002-07-23 International Business Machines Corporation Auto correction of error checked simulated printed images
US6381730B1 (en) 1999-07-09 2002-04-30 Sequence Design, Inc. Method and system for extraction of parasitic interconnect impedance including inductance
US6525350B1 (en) 1999-07-16 2003-02-25 Kawasaki Steel Corporation Semiconductor integrated circuit basic cell semiconductor integrated circuit using the same
JP2001056463A (ja) 1999-08-20 2001-02-27 Casio Comput Co Ltd 液晶表示装置
JP2001068558A (ja) 1999-08-30 2001-03-16 Hitachi Ltd 半導体集積回路装置
US6174025B1 (en) * 1999-08-31 2001-01-16 Daimlerchrysler Corporation Sun roof air dam wind noise reducer
US6436805B1 (en) 1999-09-01 2002-08-20 Micron Technology, Inc. Local interconnect structures and methods for making the same
US6496965B1 (en) 1999-09-20 2002-12-17 Magma Design Automation, Inc. Automated design of parallel drive standard cells
TW423218B (en) 1999-10-06 2001-02-21 Ind Tech Res Inst Charge-redistribution low-swing differential logic circuit
US6194104B1 (en) 1999-10-12 2001-02-27 Taiwan Semiconductor Manufacturing Company Optical proximity correction (OPC) method for improving lithography process window
US6737347B1 (en) 1999-10-20 2004-05-18 Texas Instruments Incorporated Semiconductor device with fully self-aligned local interconnects, and method for fabricating the device
US6426269B1 (en) 1999-10-21 2002-07-30 International Business Machines Corporation Dummy feature reduction using optical proximity effect correction
US6255845B1 (en) 1999-11-16 2001-07-03 Advanced Micro Devices, Inc. Efficient use of spare gates for post-silicon debug and enhancements
US6570234B1 (en) 1999-11-17 2003-05-27 Aeroflex Utmc Microelectronic Systems, Inc. Radiation resistant integrated circuit design
JP2001144603A (ja) 1999-11-18 2001-05-25 Oki Micro Design Co Ltd レベルシフタ回路およびそれを含むデータ出力回路
JP4070998B2 (ja) 1999-11-18 2008-04-02 ピー・デイ・エフ ソリユーシヨンズ インコーポレイテツド テスト・ダイ
DE19955861A1 (de) * 1999-11-20 2001-05-23 Basf Ag Verfahren zur kontinuierlichen Herstellung von vernetzten feinteiligen gelförmigen Polymerisaten
JP2001168707A (ja) 1999-12-03 2001-06-22 Sony Corp 論理回路およびそれを用いた全加算器
US6421820B1 (en) 1999-12-13 2002-07-16 Infineon Technologies Ag Semiconductor device fabrication using a photomask with assist features
US6303252B1 (en) 1999-12-27 2001-10-16 United Microelectronics Corp. Reticle having assist feature between semi-dense lines
US6295224B1 (en) 1999-12-30 2001-09-25 Stmicroelectronics, Inc. Circuit and method of fabricating a memory cell for a static random access memory
KR100346832B1 (ko) 2000-01-12 2002-08-03 삼성전자 주식회사 스태틱 랜덤 억세스 메모리 소자 및 그 제조 방법
US6737199B1 (en) 2000-01-31 2004-05-18 Taiwan Semiconductor Manufacturing Company Using new pattern fracturing rules for optical proximity correction mask-making to improve critical dimension uniformity
US6408427B1 (en) 2000-02-22 2002-06-18 The Regents Of The University Of California Wire width planning and performance optimization for VLSI interconnects
US6331790B1 (en) 2000-03-10 2001-12-18 Easic Corporation Customizable and programmable cell array
US6756811B2 (en) 2000-03-10 2004-06-29 Easic Corporation Customizable and programmable cell array
US6399972B1 (en) 2000-03-13 2002-06-04 Oki Electric Industry Co., Ltd. Cell based integrated circuit and unit cell architecture therefor
US6536028B1 (en) 2000-03-14 2003-03-18 Ammocore Technologies, Inc. Standard block architecture for integrated circuit design
JP2001272228A (ja) 2000-03-24 2001-10-05 Railway Technical Res Inst 相対変位量計測システム及び相対変位量計測方法
US6356112B1 (en) 2000-03-28 2002-03-12 Translogic Technology, Inc. Exclusive or/nor circuit
US6553544B2 (en) 2000-04-04 2003-04-22 Matsushita Electric Industrial Co., Ltd. Method for design of partial circuit
JP2001306641A (ja) 2000-04-27 2001-11-02 Victor Co Of Japan Ltd 半導体集積回路の自動配置配線方法
US6416907B1 (en) 2000-04-27 2002-07-09 Micron Technology, Inc. Method for designing photolithographic reticle layout, reticle, and photolithographic process
TW512424B (en) 2000-05-01 2002-12-01 Asml Masktools Bv Hybrid phase-shift mask
US6583041B1 (en) 2000-05-01 2003-06-24 Advanced Micro Devices, Inc. Microdevice fabrication method using regular arrays of lines and spaces
JP4885365B2 (ja) 2000-05-16 2012-02-29 ルネサスエレクトロニクス株式会社 半導体装置
US6509952B1 (en) 2000-05-23 2003-01-21 Silicon Valley Group, Inc. Method and system for selective linewidth optimization during a lithographic process
US6610607B1 (en) 2000-05-25 2003-08-26 International Business Machines Corporation Method to define and tailor process limited lithographic features using a modified hard mask process
US6617621B1 (en) 2000-06-06 2003-09-09 Virage Logic Corporation Gate array architecture using elevated metal levels for customization
US6445065B1 (en) 2000-06-06 2002-09-03 In-Chip Systems, Inc. Routing driven, metal programmable integrated circuit architecture with multiple types of core cells
US6425113B1 (en) 2000-06-13 2002-07-23 Leigh C. Anderson Integrated verification and manufacturability tool
US6889370B1 (en) 2000-06-20 2005-05-03 Unisys Corporation Method and apparatus for selecting and aligning cells using a placement tool
JP2002026296A (ja) 2000-06-22 2002-01-25 Internatl Business Mach Corp <Ibm> 半導体集積回路装置
JP2002009160A (ja) 2000-06-26 2002-01-11 Nec Microsystems Ltd 半導体集積回路の自動レイアウト方法、この方法で製造した半導体集積回路及びこの方法を記録した記録媒体
US7225423B2 (en) 2000-06-30 2007-05-29 Zenasis Technologies, Inc. Method for automated design of integrated circuits with targeted quality objectives using dynamically generated building blocks
US6978436B2 (en) 2000-07-05 2005-12-20 Synopsys, Inc. Design data format and hierarchy management for phase processing
US6787271B2 (en) 2000-07-05 2004-09-07 Numerical Technologies, Inc. Design and layout of phase shifting photolithographic masks
US6733929B2 (en) 2000-07-05 2004-05-11 Numerical Technologies, Inc. Phase shift masking for complex patterns with proximity adjustments
US7028285B2 (en) 2000-07-05 2006-04-11 Synopsys, Inc. Standard cell design incorporating phase information
JP4794030B2 (ja) 2000-07-10 2011-10-12 ルネサスエレクトロニクス株式会社 半導体装置
US6516459B1 (en) 2000-07-10 2003-02-04 Mentor Graphics Corporation Integrated circuit design correction using fragment correspondence
US6632741B1 (en) 2000-07-19 2003-10-14 International Business Machines Corporation Self-trimming method on looped patterns
US6574786B1 (en) 2000-07-21 2003-06-03 Aeroflex UTMC Microelectronics Systems, Inc. Gate array cell generator using cadence relative object design
US20050136340A1 (en) 2000-07-21 2005-06-23 Asml Netherlands B.V. Lithographic apparatus and methods, patterning structure and method for making a patterning structure, device manufacturing method, and device manufactured thereby
US6523162B1 (en) 2000-08-02 2003-02-18 Numerical Technologies, Inc. General purpose shape-based layout processing scheme for IC layout modifications
JP4357101B2 (ja) 2000-08-23 2009-11-04 株式会社ルネサステクノロジ 半導体記憶装置
JP4764987B2 (ja) 2000-09-05 2011-09-07 富士電機株式会社 超接合半導体素子
AU2001290937A1 (en) 2000-09-13 2002-04-02 Massachusetts Institute Of Technology Method of design and fabrication of integrated circuits using regular arrays and gratings
US6800883B2 (en) 2000-09-21 2004-10-05 Matsushita Electric Industrial Co., Ltd. CMOS basic cell and method for fabricating semiconductor integrated circuit using the same
US6453457B1 (en) 2000-09-29 2002-09-17 Numerical Technologies, Inc. Selection of evaluation point locations based on proximity effects model amplitudes for correcting proximity effects in a fabrication layout
US6625801B1 (en) 2000-09-29 2003-09-23 Numerical Technologies, Inc. Dissection of printed edges from a fabrication layout for correcting proximity effects
US6557162B1 (en) 2000-09-29 2003-04-29 Numerical Technologies, Inc. Method for high yield reticle formation
US6794677B2 (en) 2000-10-02 2004-09-21 Matsushita Electric Industrial Co., Ltd. Semiconductor integrated circuit device and method for fabricating the same
US6555450B2 (en) 2000-10-04 2003-04-29 Samsung Electronics Co., Ltd. Contact forming method for semiconductor device
US6566720B2 (en) 2000-10-05 2003-05-20 United Memories, Inc. Base cell layout permitting rapid layout with minimum clock line capacitance on CMOS standard-cell and gate-array integrated circuits
US6978437B1 (en) 2000-10-10 2005-12-20 Toppan Photomasks, Inc. Photomask for eliminating antenna effects in an integrated circuit and integrated circuit manufacture with same
KR20020034313A (ko) 2000-10-31 2002-05-09 박종섭 에스램셀의 제조 방법
US6569328B1 (en) * 2000-11-02 2003-05-27 Gary D. Haggard Underdrain filtration system with stamped perforations
US6498088B1 (en) 2000-11-09 2002-12-24 Micron Technology, Inc. Stacked local interconnect structure and method of fabricating same
US6703170B1 (en) 2000-12-13 2004-03-09 Dupont Photomasks, Inc. Method and apparatus for reducing loading effects on a semiconductor manufacturing component during an etch process
JP2002184870A (ja) 2000-12-18 2002-06-28 Mitsubishi Electric Corp スタティック型半導体記憶装置
KR100355036B1 (ko) 2000-12-22 2002-10-05 삼성전자 주식회사 크로스 커플드 트랜지스터 쌍의 레이아웃 방법
US6992394B2 (en) 2000-12-28 2006-01-31 Infineon Technologies Ag Multi-level conductive lines with reduced pitch
US6553559B2 (en) 2001-01-05 2003-04-22 International Business Machines Corporation Method to determine optical proximity correction and assist feature rules which account for variations in mask dimensions
US6578190B2 (en) 2001-01-11 2003-06-10 International Business Machines Corporation Process window based optical proximity correction of lithographic images
JP2002289703A (ja) 2001-01-22 2002-10-04 Nec Corp 半導体記憶装置およびその製造方法
JP2002252161A (ja) 2001-02-23 2002-09-06 Hitachi Ltd 半導体製造システム
US6792591B2 (en) 2001-02-28 2004-09-14 Asml Masktools B.V. Method of identifying an extreme interaction pitch region, methods of designing mask patterns and manufacturing masks, device manufacturing methods and computer programs
JP4928675B2 (ja) 2001-03-01 2012-05-09 エルピーダメモリ株式会社 半導体装置
KR100496420B1 (ko) 2001-03-02 2005-06-17 삼성에스디아이 주식회사 2층구조의 소오스/드레인 전극을 갖는 박막 트랜지스터 및그의 제조방법과 이를 이용한 액티브 매트릭스형 표시소자및 그의 제조방법
JP4736206B2 (ja) 2001-03-05 2011-07-27 大日本印刷株式会社 フォトマスクパタン欠陥検査方法および微細図形パタンの検出方法
EP1241525B1 (en) 2001-03-14 2004-12-15 ASML MaskTools B.V. An optical proximity correction method utilizing ruled ladder bars as sub-resolution assist features
US6732334B2 (en) 2001-04-02 2004-05-04 Matsushita Electric Industrial Co., Ltd. Analog MOS semiconductor device, manufacturing method therefor, manufacturing program therefor, and program device therefor
US6514849B1 (en) 2001-04-02 2003-02-04 Advanced Micro Devices, Inc. Method of forming smaller contact size using a spacer hard mask
US6574779B2 (en) 2001-04-12 2003-06-03 International Business Machines Corporation Hierarchical layout method for integrated circuits
US6505327B2 (en) 2001-04-13 2003-01-07 Numerical Technologies, Inc. Generating an instance-based representation of a design hierarchy
US6524870B2 (en) 2001-04-24 2003-02-25 Pell, Iii Edwin A. Method and apparatus for improving resolution of objects in a semiconductor wafer
JP4187947B2 (ja) 2001-04-26 2008-11-26 株式会社東芝 パターン補正方法、パターン補正装置、およびパターン補正プログラムを記録した記録媒体
US6936908B2 (en) 2001-05-03 2005-08-30 Ixys Corporation Forward and reverse blocking devices
US6553562B2 (en) 2001-05-04 2003-04-22 Asml Masktools B.V. Method and apparatus for generating masks utilized in conjunction with dipole illumination techniques
US6590289B2 (en) 2001-05-17 2003-07-08 Lsi Logic Corporation Hexadecagonal routing
US6523156B2 (en) 2001-06-08 2003-02-18 Library Technologies, Inc. Apparatus and methods for wire load independent logic synthesis and timing closure with constant replacement delay cell libraries
US6759282B2 (en) 2001-06-12 2004-07-06 International Business Machines Corporation Method and structure for buried circuits and devices
JP2002368135A (ja) 2001-06-12 2002-12-20 Hitachi Ltd 半導体記憶装置
JP4746770B2 (ja) 2001-06-19 2011-08-10 ルネサスエレクトロニクス株式会社 半導体装置
US6609235B2 (en) 2001-06-22 2003-08-19 Bae Systems Information And Electronic Systems Integration, Inc. Method for providing a fill pattern for an integrated circuit design
US7079989B2 (en) 2001-06-29 2006-07-18 Shmuel Wimer Arrangements for automatic re-legging of transistors
US6835591B2 (en) 2001-07-25 2004-12-28 Nantero, Inc. Methods of nanotube films and articles
DE10137830A1 (de) 2001-08-02 2003-02-27 Infineon Technologies Ag Verfahren zum Herstellen einer selbstjustierten Struktur auf einem Halbleiter-Wafer
US6792329B2 (en) * 2001-08-22 2004-09-14 Milliken & Company Construction of colored images on absorbent substrates using a computer-aided design system
US20030042830A1 (en) * 2001-08-29 2003-03-06 Gregorio Michael A. Pivoting television support shelf
DE10143723B4 (de) 2001-08-31 2006-09-28 Infineon Technologies Ag Verfahren zur Optimierung eines Layouts für eine Maske zur Verwendung bei der Halbleiterherstellung
US6684382B2 (en) 2001-08-31 2004-01-27 Numerical Technologies, Inc. Microloading effect correction
US6633182B2 (en) 2001-09-05 2003-10-14 Carnegie Mellon University Programmable gate array based on configurable metal interconnect vias
JP4786836B2 (ja) 2001-09-07 2011-10-05 富士通セミコンダクター株式会社 配線接続部設計方法及び半導体装置
JP2003092250A (ja) 2001-09-18 2003-03-28 Hitachi Ltd 半導体装置及びその製造方法
JP3989213B2 (ja) 2001-09-25 2007-10-10 シャープ株式会社 パストランジスタ論理回路
JP3637299B2 (ja) 2001-10-05 2005-04-13 松下電器産業株式会社 半導体記憶装置
US7175940B2 (en) 2001-10-09 2007-02-13 Asml Masktools B.V. Method of two dimensional feature model calibration and optimization
JP2003124339A (ja) 2001-10-11 2003-04-25 Toshiba Corp 半導体装置およびその製造方法
JP3526450B2 (ja) 2001-10-29 2004-05-17 株式会社東芝 半導体集積回路およびスタンダードセル配置設計方法
JP2003142584A (ja) 2001-11-05 2003-05-16 Matsushita Electric Ind Co Ltd 半導体集積回路装置の設計方法
US6833593B2 (en) 2001-11-09 2004-12-21 Thin Film Electronics Asa Electrode means, a method for its manufacture, an apparatus comprising the electrode means as well as use of the latter
US6673638B1 (en) 2001-11-14 2004-01-06 Kla-Tencor Corporation Method and apparatus for the production of process sensitive lithographic features
JP2003218238A (ja) 2001-11-14 2003-07-31 Mitsubishi Electric Corp 半導体記憶装置
JP3789351B2 (ja) 2001-11-30 2006-06-21 株式会社日立製作所 反射型液晶表示装置及びその製造方法
JP2003168640A (ja) 2001-12-03 2003-06-13 Hitachi Ltd 半導体装置の製造方法
JP2003188361A (ja) 2001-12-20 2003-07-04 Mitsubishi Electric Corp ゲートアレイ構造の半導体集積回路
JP3828419B2 (ja) * 2001-12-25 2006-10-04 株式会社東芝 半導体装置及びその製造方法
US6787469B2 (en) 2001-12-28 2004-09-07 Texas Instruments Incorporated Double pattern and etch of poly with hard mask
US7159197B2 (en) 2001-12-31 2007-01-02 Synopsys, Inc. Shape-based geometry engine to perform smoothing and other layout beautification operations
US6817000B2 (en) 2002-01-02 2004-11-09 International Business Machines Corporation Delay correlation analysis and representation for vital complaint VHDL models
US7085701B2 (en) 2002-01-02 2006-08-01 International Business Machines Corporation Size reduction techniques for vital compliant VHDL simulation models
JP2003203993A (ja) 2002-01-10 2003-07-18 Mitsubishi Electric Corp 半導体記憶装置及びその製造方法
US6749972B2 (en) 2002-01-15 2004-06-15 Taiwan Semiconductor Manufacturing Co., Ltd. Optical proximity correction common process window maximization over varying feature pitch
US6721926B2 (en) 2002-01-25 2004-04-13 Intel Corporation Method and apparatus for improving digital circuit design
US6662350B2 (en) 2002-01-28 2003-12-09 International Business Machines Corporation FinFET layout generation
US6820248B1 (en) 2002-02-14 2004-11-16 Xilinx, Inc. Method and apparatus for routing interconnects to devices with dissimilar pitches
US6904582B1 (en) 2002-02-28 2005-06-07 Dupont Photomasks, Inc. Photomask for reducing power supply voltage fluctuations in an integrated circuit and integrated circuit manufactured with the same
JP2003264231A (ja) 2002-03-11 2003-09-19 Mitsubishi Electric Corp レイアウト設計方法および半導体装置
TWI252516B (en) 2002-03-12 2006-04-01 Toshiba Corp Determination method of process parameter and method for determining at least one of process parameter and design rule
US7386433B2 (en) 2002-03-15 2008-06-10 Synopsys, Inc. Using a suggested solution to speed up a process for simulating and correcting an integrated circuit layout
US6732338B2 (en) 2002-03-20 2004-05-04 International Business Machines Corporation Method for comprehensively verifying design rule checking runsets
US6765245B2 (en) * 2002-03-25 2004-07-20 Bae Systems Information And Electronic Systems Integration Inc. Gate array core cell for VLSI ASIC devices
US6754121B2 (en) 2002-03-29 2004-06-22 Stmicroelectronics, Inc. Sense amplifying circuit and method
US6745372B2 (en) 2002-04-05 2004-06-01 Numerical Technologies, Inc. Method and apparatus for facilitating process-compliant layout optimization
US6789246B1 (en) 2002-04-07 2004-09-07 Barcelona Design, Inc. Method and apparatus for automatic layout of circuit structures
JP2003308691A (ja) * 2002-04-11 2003-10-31 Elpida Memory Inc 半導体記憶装置
US7252909B2 (en) 2002-04-18 2007-08-07 Taiwan Semiconductor Manufacturing Co., Ltd. Method to reduce CD non-uniformity in IC manufacturing
JP4190796B2 (ja) 2002-04-24 2008-12-03 Necエレクトロニクス株式会社 露光原版の作成方法
US6992925B2 (en) 2002-04-26 2006-01-31 Kilopass Technologies, Inc. High density semiconductor memory cell and memory array using a single transistor and having counter-doped poly and buried diffusion wordline
US6826738B2 (en) 2002-05-10 2004-11-30 Pdf Solutions, Inc. Optimization of die placement on wafers
US6794914B2 (en) 2002-05-24 2004-09-21 Qualcomm Incorporated Non-volatile multi-threshold CMOS latch with leakage control
JP2004013920A (ja) 2002-06-03 2004-01-15 Mitsubishi Electric Corp 半導体記憶装置
US6980211B2 (en) 2002-06-04 2005-12-27 Springsoft, Inc. Automatic schematic diagram generation using topology information
US7124386B2 (en) 2002-06-07 2006-10-17 Praesagus, Inc. Dummy fill for integrated circuits
US20030229875A1 (en) 2002-06-07 2003-12-11 Smith Taber H. Use of models in integrated circuit fabrication
US7712056B2 (en) 2002-06-07 2010-05-04 Cadence Design Systems, Inc. Characterization and verification for integrated circuit designs
US7152215B2 (en) 2002-06-07 2006-12-19 Praesagus, Inc. Dummy fill for integrated circuits
WO2003104921A2 (en) 2002-06-07 2003-12-18 Praesagus, Inc. Characterization adn reduction of variation for integrated circuits
US7363099B2 (en) 2002-06-07 2008-04-22 Cadence Design Systems, Inc. Integrated circuit metrology
US7774726B2 (en) 2002-06-07 2010-08-10 Cadence Design Systems, Inc. Dummy fill for integrated circuits
US6795953B2 (en) 2002-06-11 2004-09-21 Hpl Technologies, Inc. Method for avoiding false failures attributable to dummy interconnects during defect analysis of an integrated circuit design
JP3879063B2 (ja) 2002-06-11 2007-02-07 富士通株式会社 半導体装置およびその製造方法
JP2004022070A (ja) 2002-06-17 2004-01-22 Renesas Technology Corp 半導体記憶装置
US7039882B2 (en) 2002-06-17 2006-05-02 Amar Pal Singh Rana Technology dependent transformations for Silicon-On-Insulator in digital design synthesis
JP4036688B2 (ja) 2002-06-18 2008-01-23 松下電器産業株式会社 自動配置配線用スタンダードセルライブラリ及び半導体集積装置
EP1376676A3 (en) 2002-06-24 2008-08-20 Interuniversitair Microelektronica Centrum Vzw Multibit non-volatile memory device and method
JP4462528B2 (ja) 2002-06-24 2010-05-12 株式会社日立製作所 半導体集積回路装置
US6687895B2 (en) 2002-07-03 2004-02-03 Numerical Technologies Inc. Method and apparatus for reducing optical proximity correction output file size
US6998722B2 (en) 2002-07-08 2006-02-14 Viciciv Technology Semiconductor latches and SRAM devices
JP2004040042A (ja) 2002-07-08 2004-02-05 Fujitsu Ltd 半導体記憶装置
US7063923B2 (en) 2002-07-11 2006-06-20 United Electronics Corp. Optical proximity correction method
US20040009409A1 (en) 2002-07-11 2004-01-15 Jiunn-Ren Hwang Optical proximity correction method
WO2004008245A2 (en) 2002-07-12 2004-01-22 Cadence Design Systems, Inc. Method and system for context-specific mask inspection
JP4416384B2 (ja) 2002-07-19 2010-02-17 株式会社ルネサステクノロジ 半導体集積回路
KR100445638B1 (ko) 2002-07-26 2004-08-25 삼성전자주식회사 전기적으로 분리된 영역들을 연결하는 상호 연결 구조 및그 제조방법
US7739624B2 (en) 2002-07-29 2010-06-15 Synopsys, Inc. Methods and apparatuses to generate a shielding mesh for integrated circuit devices
US7171645B2 (en) 2002-08-06 2007-01-30 Matsushita Electric Industrial Co., Ltd. Semiconductor device, method of generating pattern for semiconductor device, method of manufacturing semiconductor device and device of generating pattern used for semiconductor device
KR100493025B1 (ko) 2002-08-07 2005-06-07 삼성전자주식회사 반도체 메모리 장치의 제조 방법
US7143380B1 (en) 2002-08-08 2006-11-28 Xilinx, Inc. Method for application of network flow techniques under constraints
FR2843481B1 (fr) 2002-08-08 2005-09-16 Soisic Memoire sur substrat du type silicium sur isolant
US6789244B1 (en) 2002-08-08 2004-09-07 Xilinx, Inc. Placement of clock objects under constraints
US6785875B2 (en) 2002-08-15 2004-08-31 Fulcrum Microsystems, Inc. Methods and apparatus for facilitating physical synthesis of an integrated circuit design
US6854100B1 (en) 2002-08-27 2005-02-08 Taiwan Semiconductor Manufacturing Company Methodology to characterize metal sheet resistance of copper damascene process
US7345511B2 (en) 2002-08-29 2008-03-18 Technion Research & Development Foundation Ltd. Logic circuit and method of logic circuit design
JP3795846B2 (ja) 2002-08-29 2006-07-12 富士通株式会社 半導体装置
US6734521B2 (en) 2002-08-30 2004-05-11 Texas Instruments Incorporated Integrated circuit cells
DE10241170A1 (de) 2002-09-05 2004-03-18 Infineon Technologies Ag Hochdichter NROM-FINFET
US20040049754A1 (en) 2002-09-06 2004-03-11 Sun Microsystems, Inc. Method and apparatus for filling and connecting filler material in a layout
TWI274969B (en) 2002-09-11 2007-03-01 Asml Masktools Bv Method and computer program product of generating masks and mask generated thereby, device manufacturing method and device manufactured thereby, and method of printing pattern
US6807663B2 (en) 2002-09-23 2004-10-19 Numerical Technologies, Inc. Accelerated layout processing using OPC pre-processing
US6928635B2 (en) 2002-09-25 2005-08-09 Numerical Technologies, Inc. Selectively applying resolution enhancement techniques to improve performance and manufacturing cost of integrated circuits
US7327597B1 (en) 2002-10-02 2008-02-05 Cisco Technology, Inc. Static random access memory architecture
WO2004034463A1 (ja) 2002-10-10 2004-04-22 Fujitsu Limited レイアウト方法及び装置並びにそのプログラム及び記録媒体
US7214579B2 (en) 2002-10-24 2007-05-08 Nxp Bv. Self-aligned 2-bit “double poly CMP” flash memory cell
US6994939B1 (en) 2002-10-29 2006-02-07 Advanced Micro Devices, Inc. Semiconductor manufacturing resolution enhancement system and method for simultaneously patterning different feature types
US7053424B2 (en) 2002-10-31 2006-05-30 Yamaha Corporation Semiconductor integrated circuit device and its manufacture using automatic layout
US7219326B2 (en) 2002-12-16 2007-05-15 Intrinsity, Inc. Physical realization of dynamic logic using parameterized tile partitioning
JP3848248B2 (ja) 2002-12-17 2006-11-22 株式会社東芝 Sramセルおよびそれを用いたメモリ集積回路
US6953956B2 (en) 2002-12-18 2005-10-11 Easic Corporation Semiconductor device having borderless logic array and flexible I/O
US7378710B2 (en) * 2002-12-19 2008-05-27 International Business Machines Corporation FinFET SRAM cell using inverted FinFET thin film transistors
US7093228B2 (en) 2002-12-20 2006-08-15 Lsi Logic Corporation Method and system for classifying an integrated circuit for optical proximity correction
JP4202120B2 (ja) 2002-12-27 2008-12-24 セイコーインスツル株式会社 集積回路の最適化設計装置
EP1434264A3 (en) 2002-12-27 2017-01-18 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method using the transfer technique
US6898770B2 (en) 2003-01-09 2005-05-24 Lsi Logic Corporation Split and merge design flow concept for fast turnaround time of circuit layout design
JP4136684B2 (ja) 2003-01-29 2008-08-20 Necエレクトロニクス株式会社 半導体装置及びそのダミーパターンの配置方法
US6996790B2 (en) 2003-01-30 2006-02-07 Synopsys, Inc. System and method for generating a two-dimensional yield map for a full layout
US6884712B2 (en) 2003-02-07 2005-04-26 Chartered Semiconductor Manufacturing, Ltd. Method of manufacturing semiconductor local interconnect and contact
US6777146B1 (en) 2003-02-21 2004-08-17 International Business Machines Corporation Method of optical proximity correction with sub-resolution assists
JP2004253730A (ja) 2003-02-21 2004-09-09 Renesas Technology Corp 半導体集積回路装置およびその製造方法
US7149999B2 (en) 2003-02-25 2006-12-12 The Regents Of The University Of California Method for correcting a mask design layout
JP4531340B2 (ja) 2003-02-27 2010-08-25 ルネサスエレクトロニクス株式会社 マルチプレクサセルのレイアウト構造
US20040229135A1 (en) 2003-02-27 2004-11-18 Jun Wang Multiple exposure method for circuit performance improvement
JP4290457B2 (ja) 2003-03-31 2009-07-08 株式会社ルネサステクノロジ 半導体記憶装置
JP3920804B2 (ja) 2003-04-04 2007-05-30 松下電器産業株式会社 半導体記憶装置
US6931617B2 (en) 2003-04-21 2005-08-16 Synopsys, Inc. Mask cost driven logic optimization and synthesis
JP3684232B2 (ja) * 2003-04-25 2005-08-17 株式会社東芝 半導体装置
TW594991B (en) 2003-04-29 2004-06-21 Faraday Tech Corp Integrated circuit with one metal layer for programming functionality of a logic operation module
EP1623349B1 (en) 2003-05-07 2018-01-24 Conversant Intellectual Property Management Inc. Managing power on integrated circuits using power islands
US7065731B2 (en) 2003-05-07 2006-06-20 Cadence Design Systems, Inc. Removal of acute angles in a design layout
US7093208B2 (en) 2003-05-12 2006-08-15 International Business Machines Corporation Method for tuning a digital design for synthesized random logic circuit macros in a continuous design space with optional insertion of multiple threshold voltage devices
JP2004342757A (ja) 2003-05-14 2004-12-02 Toshiba Corp 半導体集積回路及びその設計方法
US7063920B2 (en) 2003-05-16 2006-06-20 Asml Holding, N.V. Method for the generation of variable pitch nested lines and/or contact holes using fixed size pixels for direct-write lithographic systems
JP4233381B2 (ja) 2003-05-21 2009-03-04 株式会社ルネサステクノロジ 半導体装置とその製造方法
US7062740B2 (en) 2003-05-22 2006-06-13 Taiwan Semiconductor Manufacturing Co., Ltd. System and method for reducing design cycle time for designing input/output cells
US7770144B2 (en) 2003-05-28 2010-08-03 Eric Dellinger Modular array defined by standard cell logic
US7107551B1 (en) 2003-05-30 2006-09-12 Prolific, Inc. Optimization of circuit designs using a continuous spectrum of library cells
US7183611B2 (en) 2003-06-03 2007-02-27 Micron Technology, Inc. SRAM constructions, and electronic systems comprising SRAM constructions
US7291878B2 (en) 2003-06-03 2007-11-06 Hitachi Global Storage Technologies Netherlands B.V. Ultra low-cost solid-state memory
US7400627B2 (en) 2003-06-05 2008-07-15 Brooktree Broadband Holding, Inc. ATM header compression using hash tables
US6992916B2 (en) 2003-06-13 2006-01-31 Taiwan Semiconductor Manufacturing Co., Ltd. SRAM cell design with high resistor CMOS gate structure for soft error rate improvement
JP4245418B2 (ja) 2003-06-25 2009-03-25 富士通マイクロエレクトロニクス株式会社 斜め方向配線を有する半導体集積回路装置及びそのレイアウト方法
US20050009312A1 (en) * 2003-06-26 2005-01-13 International Business Machines Corporation Gate length proximity corrected device
US6900999B1 (en) 2003-06-30 2005-05-31 Integrated Device Technology, Inc. Ternary content addressable memory (TCAM) cells with small footprint size and efficient layout aspect ratio
KR100577610B1 (ko) 2003-07-15 2006-05-10 삼성전자주식회사 반도체 장치, 반도체 장치의 제조 방법 및 에스램 장치,에스램 장치 제조 방법.
US6993741B2 (en) 2003-07-15 2006-01-31 International Business Machines Corporation Generating mask patterns for alternating phase-shift mask lithography
EP1519421A1 (en) 2003-09-25 2005-03-30 Interuniversitair Microelektronica Centrum Vzw Multiple gate semiconductor device and method for forming same
US6921982B2 (en) 2003-07-21 2005-07-26 International Business Machines Corporation FET channel having a strained lattice structure along multiple surfaces
EP1569273A3 (fr) 2003-07-30 2005-09-14 St Microelectronics S.A. Lignes conductrices enterrées dans des zones d'isolement
JP4398195B2 (ja) 2003-08-08 2010-01-13 パナソニック株式会社 半導体記憶装置
US6924560B2 (en) 2003-08-08 2005-08-02 Taiwan Semiconductor Manufacturing Co., Ltd. Compact SRAM cell with FinFET
JP4620942B2 (ja) 2003-08-21 2011-01-26 川崎マイクロエレクトロニクス株式会社 半導体集積回路のレイアウト方法、そのレイアウト構造、およびフォトマスク
TWI220268B (en) 2003-09-17 2004-08-11 Faraday Tech Corp Method for programming a routing layout design through one via layer
US6957402B2 (en) 2003-09-24 2005-10-18 Artisan Components, Inc. Yield maximization in the manufacture of integrated circuits
US7345909B2 (en) 2003-09-24 2008-03-18 Yen-Jen Chang Low-power SRAM memory cell
KR100516226B1 (ko) * 2003-09-25 2005-09-23 동부아남반도체 주식회사 에스램 테스트용 셀 및 에스램 셀 테스트 방법
JP2005114752A (ja) 2003-10-02 2005-04-28 Yamaha Corp 演奏装置
JP4599048B2 (ja) 2003-10-02 2010-12-15 川崎マイクロエレクトロニクス株式会社 半導体集積回路のレイアウト構造、半導体集積回路のレイアウト方法、およびフォトマスク
JP4632287B2 (ja) 2003-10-06 2011-02-16 株式会社日立製作所 半導体集積回路装置
US7155689B2 (en) 2003-10-07 2006-12-26 Magma Design Automation, Inc. Design-manufacturing interface via a unified model
FR2860920A1 (fr) 2003-10-14 2005-04-15 St Microelectronics Sa Procede de realisation de connexions conductrices de circuits integres, et circuit integre mettant en oeuvre des telles connexions
JP2005123524A (ja) 2003-10-20 2005-05-12 Toshiba Corp 半導体装置及びその製造方法
JP2005123537A (ja) 2003-10-20 2005-05-12 Sony Corp 半導体装置及び製造方法
US6867073B1 (en) 2003-10-21 2005-03-15 Ziptronix, Inc. Single mask via method and device
JP4346410B2 (ja) 2003-10-28 2009-10-21 東芝メモリシステムズ株式会社 半導体集積回路の配線設計方法及び半導体集積回路
US7329953B2 (en) 2003-10-29 2008-02-12 Taiwan Semiconductor Manufacturing Co., Ltd. Structure for reducing leakage currents and high contact resistance for embedded memory and method for making same
JP2005149265A (ja) 2003-11-18 2005-06-09 Olympus Corp 演算処理システム及び演算処理装置
US7015871B2 (en) * 2003-12-18 2006-03-21 Kathrein-Werke Kg Mobile radio antenna arrangement for a base station
US7269803B2 (en) 2003-12-18 2007-09-11 Lsi Corporation System and method for mapping logical components to physical locations in an integrated circuit design environment
US7052972B2 (en) 2003-12-19 2006-05-30 Micron Technology, Inc. Method for forming sublithographic features during the manufacture of a semiconductor device and a resulting in-process apparatus
KR100702552B1 (ko) 2003-12-22 2007-04-04 인터내셔널 비지네스 머신즈 코포레이션 이중 게이트 FinFET 디자인을 위한 자동화 레이어생성 방법 및 장치
JP4585197B2 (ja) 2003-12-22 2010-11-24 ルネサスエレクトロニクス株式会社 レイアウト設計方法およびフォトマスク
ATE501907T1 (de) 2003-12-26 2011-04-15 Tan-Cheng Huang Hydraulische scheibenbremse
JP2005197345A (ja) 2004-01-05 2005-07-21 Hitachi Ltd 半導体装置
JP2005203447A (ja) 2004-01-13 2005-07-28 Toshiba Corp 半導体集積回路、半導体集積回路設計システム及び半導体集積回路設計方法
US7064068B2 (en) 2004-01-23 2006-06-20 Taiwan Semiconductor Manufacturing Company, Ltd. Method to improve planarity of electroplated copper
KR100564612B1 (ko) 2004-02-19 2006-03-28 삼성전자주식회사 하드 디스크 드라이브
US7523429B2 (en) 2004-02-20 2009-04-21 Takumi Technology Corporation System for designing integrated circuits with enhanced manufacturability
WO2005081066A1 (en) 2004-02-24 2005-09-01 The University Of Hong Kong Rectangular contact lithography for circuit performance improvement
US7335966B2 (en) 2004-02-26 2008-02-26 Triad Semiconductor, Inc. Configurable integrated circuit capacitor array using via mask layers
US7084476B2 (en) 2004-02-26 2006-08-01 International Business Machines Corp. Integrated circuit logic with self compensating block delays
US7353492B2 (en) 2004-02-26 2008-04-01 International Business Machines Corporation Method of IC fabrication, IC mask fabrication and program product therefor
JP2005243928A (ja) 2004-02-26 2005-09-08 Fujitsu Ltd トレンチアイソレーションで分離されたトランジスタ対を有する半導体装置
US7115343B2 (en) 2004-03-10 2006-10-03 International Business Machines Corporation Pliant SRAF for improved performance and manufacturability
JP4317777B2 (ja) 2004-03-10 2009-08-19 パナソニック株式会社 半導体集積回路
US7423298B2 (en) * 2004-03-17 2008-09-09 Sharp Kabushiki Kaisha Bidirectional photothyristor chip, optical lighting coupler, and solid state relay
DE102004014472B4 (de) 2004-03-24 2012-05-03 Infineon Technologies Ag Anwendungsspezifischer integrierter Halbleiter-Schaltkreis
US7126837B1 (en) 2004-03-26 2006-10-24 Netlogic Microsystems, Inc. Interlocking memory/logic cell layout and method of manufacture
EP1730777B1 (en) 2004-04-01 2007-09-19 Soisic Improved layout of a sram memory cell
US7653890B2 (en) 2004-04-02 2010-01-26 Cadence Design Systems, Inc. Modeling resolution enhancement processes in integrated circuit fabrication
WO2005098954A1 (en) 2004-04-02 2005-10-20 Triad Semiconductor, Inc. Via configurable architecture for customization of analog circuitry in a semiconductor device
TWI297446B (en) 2004-04-02 2008-06-01 Clear Shape Technologies Inc Delta information design closure in integrated circuit fabrication
US20050229130A1 (en) 2004-04-07 2005-10-13 Aprio Technologies, Inc. Method and apparatus for selective, incremental, reconfigurable and reusable semiconductor manufacturing resolution-enhancements
US7404173B2 (en) 2004-04-07 2008-07-22 Aprio Technologies, Inc. Intermediate layout for resolution enhancement in semiconductor fabrication
US7115920B2 (en) 2004-04-12 2006-10-03 International Business Machines Corporation FinFET transistor and circuit
JP2007534258A (ja) * 2004-04-20 2007-11-22 コーニンクレッカ フィリップス エレクトロニクス エヌ ヴィ 低スキューの対称差動出力信号を有するレールツーレール同相モード動作を有する高速差動レシーバ
WO2005111874A2 (en) 2004-05-07 2005-11-24 Mentor Graphics Corporation Integrated circuit layout design methodology with process variation bands
US7194712B2 (en) 2004-05-12 2007-03-20 Synopsys, Inc. Method and apparatus for identifying line-end features for lithography verification
US7053668B2 (en) 2004-05-25 2006-05-30 Kabushiki Kaisha Toshiba SOI sense amplifier with cross-coupled body terminal
US6975133B1 (en) 2004-05-27 2005-12-13 International Business Machines Corporation Logic circuits having linear and cellular gate transistors
US7426710B2 (en) 2004-05-27 2008-09-16 Verisilicon Holdings, Co. Ltd. Standard cell library having cell drive strengths selected according to delay
US7257017B2 (en) 2004-05-28 2007-08-14 Taiwan Semiconductor Manufacturing Company, Ltd. SRAM cell for soft-error rate reduction and cell stability improvement
KR100591158B1 (ko) 2004-06-01 2006-06-19 동부일렉트로닉스 주식회사 반도체 소자의 게이트 전극의 제조 방법
US20070257277A1 (en) 2004-06-04 2007-11-08 Nec Corporation Semiconductor Device and Method for Manufacturing the Same
JP4834853B2 (ja) 2004-06-10 2011-12-14 シャープ株式会社 薄膜トランジスタ回路、薄膜トランジスタ回路の設計方法、薄膜トランジスタ回路の設計プログラム、設計プログラム記録媒体、及び表示装置
JP4248451B2 (ja) 2004-06-11 2009-04-02 パナソニック株式会社 半導体装置およびそのレイアウト設計方法
JP4778689B2 (ja) * 2004-06-16 2011-09-21 パナソニック株式会社 標準セル、標準セルライブラリおよび半導体集積回路
US7327591B2 (en) * 2004-06-17 2008-02-05 Texas Instruments Incorporated Staggered memory cell array
US7003068B2 (en) 2004-06-21 2006-02-21 Kenet, Inc. Device for subtracting or adding a constant amount of charge in a charge-coupled device at high operating frequencies
JP4405865B2 (ja) 2004-06-24 2010-01-27 富士通マイクロエレクトロニクス株式会社 多層配線構造の製造方法及びfib装置
JP4175649B2 (ja) * 2004-07-22 2008-11-05 松下電器産業株式会社 半導体装置
KR101234746B1 (ko) 2004-07-27 2013-02-19 이에이직 코포레이션 구조화된 집적회로 소자
US7176508B2 (en) 2004-07-27 2007-02-13 International Business Machines Corporation Temperature sensor for high power very large scale integration circuits
TWM261152U (en) * 2004-07-30 2005-04-11 Hsiao-Wei Nien Roll curtains
DE102005003457B4 (de) * 2004-07-30 2009-12-03 IfP Privates Institut für Produktqualität GmbH Verfahren und Teilesatz zur mikrobiologischen Bestimmung von Vitamen
JP2006049780A (ja) * 2004-08-09 2006-02-16 Elpida Memory Inc 半導体集積回路装置
US7093213B2 (en) 2004-08-13 2006-08-15 International Business Machines Corporation Method for designing an integrated circuit defect monitor
US7365432B2 (en) 2004-08-23 2008-04-29 Taiwan Semiconductor Manufacturing Company, Ltd. Memory cell structure
JP2006073696A (ja) 2004-09-01 2006-03-16 Matsushita Electric Ind Co Ltd スタンダードセルを用いた半導体集積回路とその設計方法
US7632610B2 (en) 2004-09-02 2009-12-15 Intel Corporation Sub-resolution assist features
US7227183B2 (en) 2004-09-17 2007-06-05 International Business Machines Corporation Polysilicon conductor width measurement for 3-dimensional FETs
US20060063334A1 (en) 2004-09-17 2006-03-23 International Business Machines Corporation Fin FET diode structures and methods for building
US7185294B2 (en) 2004-09-23 2007-02-27 Verisilicon Holdings, Co Ltd Standard cell library having globally scalable transistor channel length
DE102004047263B4 (de) 2004-09-24 2010-04-22 Qimonda Ag Verfahren zum Erzeugen eines Abbildungsfehler vermeidenden Maskenlayouts für eine Maske
KR100594295B1 (ko) 2004-09-24 2006-06-30 삼성전자주식회사 층 성장을 이용한 게이트 형성 방법 및 이에 따른 게이트구조
US7337421B2 (en) 2004-09-30 2008-02-26 Cadence Design Systems, Inc. Method and system for managing design corrections for optical and process effects based on feature tolerances
US7466607B2 (en) 2004-09-30 2008-12-16 Analog Devices, Inc. Memory access system and method using de-coupled read and write circuits
JP2006100718A (ja) 2004-09-30 2006-04-13 Matsushita Electric Ind Co Ltd 半導体集積回路装置の動作解析方法、これに用いられる解析装置およびこれを用いた最適化設計方法
JP2006114668A (ja) 2004-10-14 2006-04-27 Sony Corp 半導体集積回路およびその製造方法
US7487475B1 (en) 2004-10-15 2009-02-03 Cadence Design Systems, Inc. Systems, methods, and apparatus to perform statistical static timing analysis
JP2006119195A (ja) 2004-10-19 2006-05-11 Nec Electronics Corp 配線のレイアウト方法
US7458045B2 (en) 2004-10-29 2008-11-25 Synopsys, Inc. Silicon tolerance specification using shapes as design intent markers
US7302651B2 (en) 2004-10-29 2007-11-27 International Business Machines Corporation Technology migration for integrated circuits with radical design restrictions
US7706021B2 (en) * 2004-11-01 2010-04-27 Canon Kabushiki Kaisha Image processing apparatus and image processing method for suppressing jaggies in the edge portions of image
JP4768251B2 (ja) 2004-11-01 2011-09-07 株式会社東芝 半導体集積回路の設計方法、半導体集積回路の設計システム及び半導体集積回路の製造方法
WO2006052738A2 (en) * 2004-11-04 2006-05-18 Fabbrix, Inc. A method and process for design of integrated circuits using regular geometry patterns to obtain geometrically consistent component features
US7350183B2 (en) 2004-11-05 2008-03-25 International Business Machines Corporation Method for improving optical proximity correction
KR100587692B1 (ko) 2004-11-05 2006-06-08 삼성전자주식회사 반도체 메모리 장치에서의 회로 배선 배치구조와 그에따른 배치방법
JP2006156778A (ja) * 2004-11-30 2006-06-15 Matsushita Electric Ind Co Ltd 半導体装置及びそのレイアウト設計方法
US7465973B2 (en) 2004-12-03 2008-12-16 International Business Machines Corporation Integrated circuit having gates and active regions forming a regular grating
US7424696B2 (en) 2004-12-03 2008-09-09 Lsi Corporation Power mesh for multiple frequency operation of semiconductor products
US7345330B2 (en) 2004-12-09 2008-03-18 Omnivision Technologies, Inc. Local interconnect structure and method for a CMOS image sensor
JP2006165365A (ja) * 2004-12-09 2006-06-22 Renesas Technology Corp 半導体装置および半導体装置の製造方法
US7396732B2 (en) 2004-12-17 2008-07-08 Interuniversitair Microelektronica Centrum Vzw (Imec) Formation of deep trench airgaps and related applications
JP4357409B2 (ja) 2004-12-17 2009-11-04 株式会社東芝 半導体集積回路装置及びその設計方法
JP2007043049A (ja) 2004-12-20 2007-02-15 Matsushita Electric Ind Co Ltd セル、スタンダードセル、スタンダードセル配置方法、スタンダードセルライブラリ、ならびに半導体集積回路
FR2879816B1 (fr) 2004-12-20 2007-06-08 Atmel Nantes Sa Sa Circuit electronique comprenant au moins une premiere et une seconde paires differentielles dont les transistors partagent un meme caisson
CN101091252B (zh) 2004-12-28 2012-09-05 斯班逊有限公司 半导体装置以及控制半导体装置操作的方法
US7106620B2 (en) 2004-12-30 2006-09-12 International Business Machines Corporation Memory cell having improved read stability
US7743349B2 (en) 2004-12-31 2010-06-22 Tela Innovations, Inc. Method and system for finding an equivalent circuit representation for one or more elements in an integrated circuit
US7509621B2 (en) 2005-01-03 2009-03-24 Synopsys, Inc. Method and apparatus for placing assist features by identifying locations of constructive and destructive interference
US7366997B1 (en) 2005-01-11 2008-04-29 Synplicity, Inc. Methods and apparatuses for thermal analysis based circuit design
JP2006196627A (ja) 2005-01-12 2006-07-27 Nec Electronics Corp 半導体装置、及び半導体装置の設計プログラム
DE102005002533B4 (de) 2005-01-14 2007-09-13 Infineon Technologies Ag Verfahren zum Erzeugen eines Abbildungsfehler vermeidenden Maskenlayouts für eine Maske
JP4455356B2 (ja) 2005-01-28 2010-04-21 Necエレクトロニクス株式会社 半導体装置
JP4602112B2 (ja) 2005-02-17 2010-12-22 株式会社東芝 半導体集積回路の製造方法及び半導体集積回路
KR20060092408A (ko) 2005-02-17 2006-08-23 삼성전자주식회사 고성능 배타적 오아 및 배타적 노아 회로 및 방법
JP5018475B2 (ja) 2005-02-23 2012-09-05 富士通セミコンダクター株式会社 半導体回路装置及びその半導体回路装置の製造方法
US7421678B2 (en) 2005-02-24 2008-09-02 Synopsys, Inc. Assist feature placement using a process-sensitivity model
US7200835B2 (en) 2005-02-24 2007-04-03 Texas Instruments Incorporated Method of locating sub-resolution assist feature(s)
US7287237B2 (en) 2005-02-24 2007-10-23 Icera Inc. Aligned logic cell grid and interconnect routing architecture
US7266787B2 (en) 2005-02-24 2007-09-04 Icera, Inc. Method for optimising transistor performance in integrated circuits
US7721246B2 (en) 2005-02-24 2010-05-18 Synopsys, Inc. Method and apparatus for quickly determining the effect of placing an assist feature at a location in a layout
US7188322B2 (en) * 2005-02-25 2007-03-06 International Business Machines Corporation Circuit layout methodology using a shape processing application
TWI281317B (en) 2005-03-07 2007-05-11 Sunplus Technology Co Ltd Self DC-bias high frequency logic gate, NAND gate, and NOR gate using the same
US7304874B2 (en) 2005-03-08 2007-12-04 Lsi Corporation Compact ternary and binary CAM bitcell architecture with no enclosed diffusion areas
US7992122B1 (en) 2005-03-25 2011-08-02 Gg Technology, Inc. Method of placing and routing for power optimization and timing closure
US7563701B2 (en) 2005-03-31 2009-07-21 Intel Corporation Self-aligned contacts for transistors
US7882456B2 (en) 2005-04-09 2011-02-01 Cadence Design Systems, Inc. Optical lithography correction process
JP4634849B2 (ja) 2005-04-12 2011-02-16 株式会社東芝 集積回路のパターンレイアウト、フォトマスク、半導体装置の製造方法、及びデータ作成方法
TWI334962B (en) 2005-04-12 2010-12-21 Asml Masktools Bv A method, program product and apparatus for performing double exposure lithography
JP4921723B2 (ja) 2005-04-18 2012-04-25 株式会社東芝 半導体装置の製造方法
TWI297101B (en) 2005-04-20 2008-05-21 Nanya Technology Corp Phase shifting mask for equal line/space dense line patterns
US7506300B2 (en) 2005-04-29 2009-03-17 Cadence Design Systems, Inc. Apparatus and method for breaking up and merging polygons
US7480891B2 (en) * 2005-04-29 2009-01-20 Cadence Design Systems, Inc. Method and apparatus of model-based photomask synthesis
US7441211B1 (en) 2005-05-06 2008-10-21 Blaze Dfm, Inc. Gate-length biasing for digital circuit optimization
US8044437B1 (en) 2005-05-16 2011-10-25 Lsi Logic Corporation Integrated circuit cell architecture configurable for memory or logic elements
JP4936418B2 (ja) 2005-05-17 2012-05-23 ルネサスエレクトロニクス株式会社 半導体装置とその製造方法、及び半導体装置の設計プログラム
US7308669B2 (en) 2005-05-18 2007-12-11 International Business Machines Corporation Use of redundant routes to increase the yield and reliability of a VLSI layout
JP4912016B2 (ja) 2005-05-23 2012-04-04 ルネサスエレクトロニクス株式会社 半導体記憶装置
WO2006126125A1 (en) 2005-05-26 2006-11-30 Nxp B.V. Electronic device
US7411252B2 (en) 2005-06-21 2008-08-12 International Business Machines Corporation Substrate backgate for trigate FET
US7960791B2 (en) 2005-06-24 2011-06-14 International Business Machines Corporation Dense pitch bulk FinFET process by selective EPI and etch
US7492013B2 (en) 2005-06-27 2009-02-17 International Business Machines Corporation Systems and arrangements to interconnect components of a semiconductor device
US8405216B2 (en) 2005-06-29 2013-03-26 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure for integrated circuits
EP1907957A4 (en) 2005-06-29 2013-03-20 Otrsotech Ltd Liability Company INVESTMENT METHODS AND SYSTEMS
JP2007012855A (ja) 2005-06-30 2007-01-18 Matsushita Electric Ind Co Ltd 半導体集積回路、標準セル、標準セルライブラリ、半導体集積回路の設計方法および半導体集積回路の設計装置
US7236396B2 (en) 2005-06-30 2007-06-26 Texas Instruments Incorporated Area efficient implementation of small blocks in an SRAM array
JP2007013060A (ja) 2005-07-04 2007-01-18 Matsushita Electric Ind Co Ltd 半導体装置
JP2007018588A (ja) 2005-07-06 2007-01-25 Toshiba Corp 半導体記憶装置および半導体記憶装置の駆動方法
US7235424B2 (en) 2005-07-14 2007-06-26 Taiwan Semiconductor Manufacturing Co., Ltd. Method and apparatus for enhanced CMP planarization using surrounded dummy design
WO2007014053A2 (en) 2005-07-22 2007-02-01 Nanopower Technologies, Inc. High sensitivity rfid tag integrated circuits
CN101228478B (zh) 2005-07-22 2012-08-08 富士通半导体股份有限公司 光掩模图形数据生成方法、用此数据生成的光掩模及用此掩模的半导体器件制造方法
US7404154B1 (en) 2005-07-25 2008-07-22 Lsi Corporation Basic cell architecture for structured application-specific integrated circuits
US7934172B2 (en) 2005-08-08 2011-04-26 Micronic Laser Systems Ab SLM lithography: printing to below K1=.30 without previous OPC processing
US7568174B2 (en) 2005-08-19 2009-07-28 Cadence Design Systems, Inc. Method for checking printability of a lithography target
JP2007093861A (ja) 2005-09-28 2007-04-12 Renesas Technology Corp マスクパターン設計方法および半導体装置の製造方法
US7749662B2 (en) 2005-10-07 2010-07-06 Globalfoundries Inc. Process margin using discrete assist features
US7485934B2 (en) 2005-10-25 2009-02-03 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated semiconductor structure for SRAM cells
JP4796817B2 (ja) 2005-10-31 2011-10-19 エルピーダメモリ株式会社 基本セル設計方法、レイアウト設計方法、設計装置およびプログラム
US20070106971A1 (en) 2005-11-04 2007-05-10 Lizotech, Inc. Apparatus for a routing system
US7397260B2 (en) 2005-11-04 2008-07-08 International Business Machines Corporation Structure and method for monitoring stress-induced degradation of conductive interconnects
US7569309B2 (en) 2005-11-09 2009-08-04 Texas Instruments Incorporated Gate critical dimension variation by use of ghost features
US7527900B2 (en) 2005-11-10 2009-05-05 United Microelectronics Corp. Reticle and optical proximity correction method
US7934184B2 (en) 2005-11-14 2011-04-26 Takumi Technology Corporation Integrated circuit design using modified cells
JP2007141971A (ja) 2005-11-15 2007-06-07 Matsushita Electric Ind Co Ltd 半導体集積回路の設計方法
DE102006027178A1 (de) 2005-11-21 2007-07-05 Infineon Technologies Ag Multi-Fin-Bauelement-Anordnung und Verfahren zum Herstellen einer Multi-Fin-Bauelement-Anordnung
JPWO2007063990A1 (ja) 2005-12-02 2009-05-07 日本電気株式会社 半導体装置およびその製造方法
US7543262B2 (en) 2005-12-06 2009-06-02 Cadence Design Systems, Inc. Analog layout module generator and method
US7569310B2 (en) 2005-12-07 2009-08-04 Intel Corporation Sub-resolution assist features for photolithography with trim ends
US7512017B2 (en) 2005-12-21 2009-03-31 Intel Corporation Integration of planar and tri-gate devices on the same substrate
JP4774294B2 (ja) 2005-12-26 2011-09-14 富士通株式会社 集積回路レイアウト装置、その方法及びプログラム
EP1804282A1 (en) 2005-12-29 2007-07-04 Interuniversitair Microelektronica Centrum vzw ( IMEC) Methods for manufacturing dense integrated circuits
US7640522B2 (en) 2006-01-14 2009-12-29 Tela Innovations, Inc. Method and system for placing layout objects in a standard-cell layout
US7614030B2 (en) 2006-01-17 2009-11-03 Taiwan Semiconductor Manufacturing Co., Ltd. Scattering bar OPC application method for mask ESD prevention
JP5091462B2 (ja) 2006-01-19 2012-12-05 パナソニック株式会社 セルおよび半導体装置
JP4675249B2 (ja) 2006-02-07 2011-04-20 パナソニック株式会社 位置依存変動量計算方法並びに回路解析方法
US7480880B2 (en) 2006-02-21 2009-01-20 International Business Machines Corporation Method, system, and program product for computing a yield gradient from statistical timing
US7469401B2 (en) 2006-02-22 2008-12-23 International Business Machines Corporation Method for using partitioned masks to build a chip
JP4644614B2 (ja) 2006-02-27 2011-03-02 富士通セミコンダクター株式会社 レイアウトエディタ装置、配線表示方法、及び配線表示プログラム
JP4791855B2 (ja) 2006-02-28 2011-10-12 株式会社東芝 半導体記憶装置
US8247846B2 (en) 2006-03-09 2012-08-21 Tela Innovations, Inc. Oversized contacts and vias in semiconductor chip defined by linearly constrained topology
US7446352B2 (en) * 2006-03-09 2008-11-04 Tela Innovations, Inc. Dynamic array architecture
US7956421B2 (en) 2008-03-13 2011-06-07 Tela Innovations, Inc. Cross-coupled transistor layouts in restricted gate level layout architecture
US9563733B2 (en) 2009-05-06 2017-02-07 Tela Innovations, Inc. Cell circuit and layout with linear finfet structures
US8225239B2 (en) 2006-03-09 2012-07-17 Tela Innovations, Inc. Methods for defining and utilizing sub-resolution features in linear topology
US7763534B2 (en) 2007-10-26 2010-07-27 Tela Innovations, Inc. Methods, structures and designs for self-aligning local interconnects used in integrated circuits
US8839175B2 (en) 2006-03-09 2014-09-16 Tela Innovations, Inc. Scalable meta-data objects
US7943967B2 (en) * 2006-03-09 2011-05-17 Tela Innovations, Inc. Semiconductor device and associated layouts including diffusion contact placement restriction based on relation to linear conductive segments
US8658542B2 (en) 2006-03-09 2014-02-25 Tela Innovations, Inc. Coarse grid design methods and structures
US9009641B2 (en) 2006-03-09 2015-04-14 Tela Innovations, Inc. Circuits with linear finfet structures
US8245180B2 (en) 2006-03-09 2012-08-14 Tela Innovations, Inc. Methods for defining and using co-optimized nanopatterns for integrated circuit design and apparatus implementing same
US7908578B2 (en) * 2007-08-02 2011-03-15 Tela Innovations, Inc. Methods for designing semiconductor device with dynamic array section
US9035359B2 (en) * 2006-03-09 2015-05-19 Tela Innovations, Inc. Semiconductor chip including region including linear-shaped conductive structures forming gate electrodes and having electrical connection areas arranged relative to inner region between transistors of different types and associated methods
US8225261B2 (en) 2006-03-09 2012-07-17 Tela Innovations, Inc. Methods for defining contact grid in dynamic array architecture
US7932545B2 (en) * 2006-03-09 2011-04-26 Tela Innovations, Inc. Semiconductor device and associated layouts including gate electrode level region having arrangement of six linear conductive segments with side-to-side spacing less than 360 nanometers
JP2007265179A (ja) 2006-03-29 2007-10-11 Fujitsu Ltd レイアウト検証方法、レイアウト検証装置
JP4882455B2 (ja) 2006-03-31 2012-02-22 富士通セミコンダクター株式会社 半導体集積回路のユニットセルおよびユニットセルを使用した配線方法および配線プログラム
US7437691B2 (en) 2006-04-11 2008-10-14 International Business Machines Corporation VLSI artwork legalization for hierarchical designs with multiple grid constraints
US7484197B2 (en) 2006-04-14 2009-01-27 International Business Machines Corporation Minimum layout perturbation-based artwork legalization with grid constraints for hierarchical designs
US7509622B2 (en) 2006-04-17 2009-03-24 Synopsys, Inc. Dummy filling technique for improved planarization of chip surface topography
JP5579959B2 (ja) 2006-04-18 2014-08-27 ピーエスフォー ルクスコ エスエイアールエル 半導体装置
US7407890B2 (en) 2006-04-21 2008-08-05 International Business Machines Corporation Patterning sub-lithographic features with variable widths
US7355906B2 (en) 2006-05-24 2008-04-08 International Business Machines Corporation SRAM cell design to improve stability
US7941776B2 (en) 2006-05-26 2011-05-10 Open-Silicon Inc. Method of IC design optimization via creation of design-specific cells from post-layout patterns
WO2007149004A1 (en) 2006-06-13 2007-12-27 Freescale Semiconductor, Inc. Methods and apparatus for simulating distributed effects
US7317339B1 (en) 2006-06-16 2008-01-08 Via Technologies, Inc. N-domino register with accelerated non-discharge path
US7459792B2 (en) 2006-06-19 2008-12-02 Taiwan Semiconductor Manufacturing Co., Ltd. Via layout with via groups placed in interlocked arrangement
US7992117B2 (en) 2006-06-20 2011-08-02 Adtran, Inc. System and method for designing a common centroid layout for an integrated circuit
JP2008004796A (ja) 2006-06-23 2008-01-10 Matsushita Electric Ind Co Ltd 半導体装置および回路素子レイアウト方法
US7763932B2 (en) 2006-06-29 2010-07-27 International Business Machines Corporation Multi-bit high-density memory device and architecture and method of fabricating multi-bit high-density memory devices
US7444609B2 (en) 2006-06-29 2008-10-28 International Business Machines Corporation Method of optimizing customizable filler cells in an integrated circuit physical design process
US7739627B2 (en) 2006-07-05 2010-06-15 Chew Marko P System and method of maximizing integrated circuit manufacturing yield with context-dependent yield cells
JP2008027940A (ja) 2006-07-18 2008-02-07 Matsushita Electric Ind Co Ltd 半導体集積回路の設計方法および回路シミュレーション方法
DE102006037162B4 (de) 2006-08-01 2008-08-21 Qimonda Ag Verfahren und Vorrichtung und deren Verwendung zur Prüfung des Layouts einer elektronischen Schaltung
US7966579B2 (en) 2006-08-04 2011-06-21 Infineon Technologies Ag Methods of optical proximity correction
JP5080578B2 (ja) 2006-08-04 2012-11-21 サガンテック イスラエル リミテッド 回路レイアウトを所定のグリッドに適応させるための方法及びシステム
US7873929B2 (en) 2006-08-14 2011-01-18 The Regents Of The University Of California Method, apparatus and system for designing an integrated circuit including generating at least one auxiliary pattern for cell-based optical proximity correction
US7886262B2 (en) 2006-08-15 2011-02-08 Chew Marko P System and method of maximizing integrated circuit manufacturing yield with fabrication process simulation driven layout optimization
TW200811704A (en) 2006-08-31 2008-03-01 Univ Nat Yunlin Sci & Tech Full adder of complementary type carry logic voltage compensator
KR100773353B1 (ko) 2006-09-26 2007-11-05 삼성전자주식회사 기판 플러그를 가지는 반도체 장치들 및 그의 형성방법들
US7434185B2 (en) 2006-09-27 2008-10-07 International Business Machines Corporation Method and apparatus for parallel data preparation and processing of integrated circuit graphical design data
JP4362785B2 (ja) 2006-09-28 2009-11-11 エルピーダメモリ株式会社 半導体装置の製造方法
US20080082952A1 (en) 2006-09-29 2008-04-03 Texas Instruments Incorporated Method of inclusion of sub-resolution assist feature(s)
JP4814044B2 (ja) 2006-10-05 2011-11-09 ルネサスエレクトロニクス株式会社 パターン設計方法
US8230379B2 (en) 2006-10-20 2012-07-24 Kabushiki Kaisha Toshiba Layout generating method for semiconductor integrated circuits
JP2008103610A (ja) 2006-10-20 2008-05-01 Matsushita Electric Ind Co Ltd 半導体集積回路の配線構造およびその設計方法と設計装置
US7624369B2 (en) 2006-10-31 2009-11-24 International Business Machines Corporation Closed-loop design for manufacturability process
US7802219B2 (en) 2006-11-30 2010-09-21 Cadence Design Systems, Inc. Flat placement of cells on non-integer multiple height rows in a digital integrated circuit layout
US7774739B2 (en) 2006-11-30 2010-08-10 Texas Instruments Incorporated Methods for adjusting shifter width of an alternating phase shifter having variable width
US8378407B2 (en) 2006-12-07 2013-02-19 Tower Semiconductor, Ltd. Floating gate inverter type memory cell and array
US8156450B2 (en) 2006-12-18 2012-04-10 Cadence Design Systems, Inc. Method and system for mask optimization
US7814447B2 (en) 2006-12-29 2010-10-12 Cadence Design Systems, Inc. Supplant design rules in electronic designs
US8178905B2 (en) 2007-01-12 2012-05-15 Panasonic Corporation Layout structure of semiconductor device
US7535751B2 (en) 2007-02-12 2009-05-19 Taiwan Semioconductor Manufacturing Co., Ltd. Dual-port SRAM device
JP5217180B2 (ja) 2007-02-20 2013-06-19 富士通セミコンダクター株式会社 静電放電保護装置の製造方法
US8667443B2 (en) 2007-03-05 2014-03-04 Tela Innovations, Inc. Integrated circuit cell library for multiple patterning
US7578368B2 (en) * 2007-03-07 2009-08-25 Foxconn Technology Co., Ltd. Speaker set for electronic product
US20080216207A1 (en) 2007-03-09 2008-09-11 Shen-Hai Tsai Finger pressing massage glove
KR100911187B1 (ko) 2007-03-13 2009-08-06 주식회사 하이닉스반도체 래치 구조 및 그것을 포함하는 비트라인 센스앰프 구조
US7575973B2 (en) 2007-03-27 2009-08-18 Sandisk 3D Llc Method of making three dimensional NAND memory
US7543252B2 (en) 2007-03-28 2009-06-02 International Business Machines Corporation Migration of integrated circuit layout for alternating phase shift masks
US7791109B2 (en) 2007-03-29 2010-09-07 International Business Machines Corporation Metal silicide alloy local interconnect
US7757196B2 (en) 2007-04-04 2010-07-13 Cisco Technology, Inc. Optimizing application specific integrated circuit pinouts for high density interconnect printed circuit boards
US7723786B2 (en) 2007-04-11 2010-05-25 Ronald Kakoschke Apparatus of memory array using FinFETs
US7964267B1 (en) 2007-04-13 2011-06-21 Bae Systems Tensylon H.P.M., Inc. Ballistic-resistant panel including high modulus ultra high molecular weight polyethylene tape
US7453125B1 (en) 2007-04-24 2008-11-18 Infineon Technologies Ag Double mesh finfet
US20080283910A1 (en) 2007-05-15 2008-11-20 Qimonda Ag Integrated circuit and method of forming an integrated circuit
JP4461154B2 (ja) 2007-05-15 2010-05-12 株式会社東芝 半導体装置
US7911830B2 (en) 2007-05-17 2011-03-22 Integrated Magnetoelectronics Scalable nonvolatile memory
JP4445521B2 (ja) 2007-06-15 2010-04-07 株式会社東芝 半導体装置
US7898040B2 (en) 2007-06-18 2011-03-01 Infineon Technologies Ag Dual gate FinFET
US7923337B2 (en) 2007-06-20 2011-04-12 International Business Machines Corporation Fin field effect transistor devices with self-aligned source and drain regions
US7759194B2 (en) 2008-07-25 2010-07-20 Semiconductor Manufacturing International (Shanghai) Corporation Electrically programmable device with embedded EEPROM and method for making thereof
JP2009025914A (ja) 2007-07-17 2009-02-05 Nec Electronics Corp 半導体集積回路の設計方法及び設計プログラム
US7625790B2 (en) 2007-07-26 2009-12-01 International Business Machines Corporation FinFET with sublithographic fin width
US7700466B2 (en) 2007-07-26 2010-04-20 International Business Machines Corporation Tunneling effect transistor with self-aligned gate
US20090057780A1 (en) 2007-08-27 2009-03-05 International Business Machines Corporation Finfet structure including multiple semiconductor fin channel heights
US8156451B2 (en) 2007-09-14 2012-04-10 Renesas Electronics Corporation Method of manufacturing photomask
KR100905157B1 (ko) 2007-09-18 2009-06-29 주식회사 하이닉스반도체 반도체 소자의 미세 패턴 형성 방법
JP2009088085A (ja) 2007-09-28 2009-04-23 Tokyo Electron Ltd 半導体装置の製造方法、半導体装置の製造装置、制御プログラム及びプログラム記憶媒体
US20090101940A1 (en) 2007-10-19 2009-04-23 Barrows Corey K Dual gate fet structures for flexible gate array design methodologies
US8042070B2 (en) 2007-10-23 2011-10-18 International Business Machines Corporation Methods and system for analysis and management of parametric yield
JP2009130238A (ja) 2007-11-27 2009-06-11 Fujitsu Microelectronics Ltd 半導体装置
JP5193582B2 (ja) 2007-12-12 2013-05-08 株式会社東芝 半導体装置の製造方法
EP2251901A4 (en) 2007-12-14 2012-08-29 Fujitsu Ltd SEMICONDUCTOR DEVICE
JP2009152368A (ja) 2007-12-20 2009-07-09 Hitachi Ltd 半導体装置およびその製造方法
US7825437B2 (en) 2007-12-28 2010-11-02 Intel Corporation Unity beta ratio tri-gate transistor static random access memory (SRAM)
WO2009083385A2 (en) 2007-12-31 2009-07-09 Arcelik Anonim Sirketi A cooling device
US7957178B2 (en) 2008-01-04 2011-06-07 Texas Instruments Incorporated Storage cell having buffer circuit for driving the bitline
US7934173B2 (en) 2008-01-14 2011-04-26 Taiwan Semiconductor Manufacturing Company, Ltd. Reverse dummy insertion algorithm
US7926001B2 (en) 2008-01-16 2011-04-12 Cadence Design Systems, Inc. Uniformity for semiconductor patterning operations
US7984395B2 (en) 2008-01-17 2011-07-19 Synopsys, Inc. Hierarchical compression for metal one logic layer
US8453094B2 (en) 2008-01-31 2013-05-28 Tela Innovations, Inc. Enforcement of semiconductor structure regularity for localized transistors and interconnect
US8866254B2 (en) 2008-02-19 2014-10-21 Micron Technology, Inc. Devices including fin transistors robust to gate shorts and methods of making the same
US7962878B2 (en) 2008-02-26 2011-06-14 Infineon Technologies Ag Method of making an integrated circuit using pre-defined interconnect wiring
US8423947B2 (en) 2008-03-13 2013-04-16 International Business Machines Corporation Gridded glyph geometric objects (L3GO) design method
US7939443B2 (en) 2008-03-27 2011-05-10 Tela Innovations, Inc. Methods for multi-wire routing and apparatus implementing same
US8173544B2 (en) 2008-05-02 2012-05-08 Texas Instruments Incorporated Integrated circuit having interleaved gridded features, mask set and method for printing
US7958465B2 (en) 2008-05-08 2011-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. Dummy pattern design for reducing device performance drift
US7917877B2 (en) 2008-05-09 2011-03-29 Cadence Design Systems, Inc. System and method for circuit schematic generation
EP2117045A1 (en) 2008-05-09 2009-11-11 Imec Design Methodology for MuGFET ESD Protection Devices
US7830025B2 (en) 2008-05-19 2010-11-09 United Microelectronics Corp. Contact layout structure
US7853915B2 (en) 2008-06-24 2010-12-14 Synopsys, Inc. Interconnect-driven physical synthesis using persistent virtual routing
US7894685B2 (en) * 2008-07-01 2011-02-22 Texas Instruments Incorporated Method and apparatus for reducing ringing artifacts
WO2010009209A2 (en) * 2008-07-15 2010-01-21 Bal Seal Engineering Spring-latched connection for torque transmitting shaft
MY152456A (en) 2008-07-16 2014-09-30 Tela Innovations Inc Methods for cell phasing and placement in dynamic array architecture and implementation of the same
GB0812905D0 (en) * 2008-07-16 2008-08-20 Rolls Royce Plc Fuel injection system
US8516819B2 (en) * 2008-07-16 2013-08-27 Siemens Energy, Inc. Forward-section resonator for high frequency dynamic damping
US8176739B2 (en) * 2008-07-17 2012-05-15 General Electric Company Coanda injection system for axially staged low emission combustors
US20100011770A1 (en) * 2008-07-21 2010-01-21 Ronald James Chila Gas Turbine Premixer with Cratered Fuel Injection Sites
US8136072B2 (en) 2008-11-03 2012-03-13 Arm Limited Standard cell placement
US8363455B2 (en) 2008-12-04 2013-01-29 David Rennie Eight transistor soft error robust storage cell
JP2010141047A (ja) 2008-12-10 2010-06-24 Renesas Technology Corp 半導体集積回路装置および半導体集積回路装置の製造方法
US20100187609A1 (en) 2009-01-27 2010-07-29 Synopsys, Inc. Boosting transistor performance with non-rectangular channels
WO2010099673A1 (en) 2009-03-06 2010-09-10 Kaixin Inc. Leadless integrated circuit package having high density contacts
US8116121B2 (en) 2009-03-06 2012-02-14 Kabushiki Kaisha Toshiba Semiconductor device and manufacturing methods with using non-planar type of transistors
US8184472B2 (en) 2009-03-13 2012-05-22 International Business Machines Corporation Split-gate DRAM with lateral control-gate MuGFET
US8004042B2 (en) 2009-03-20 2011-08-23 Taiwan Semiconductor Manufacturing Company, Ltd. Static random access memory (SRAM) cell and method for forming same
US8053299B2 (en) 2009-04-17 2011-11-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabrication of a FinFET element
US8076236B2 (en) 2009-06-01 2011-12-13 Globalfoundries Inc. SRAM bit cell with self-aligned bidirectional local interconnects
US8782586B2 (en) 2009-07-16 2014-07-15 Cadence Design Systems, Inc. Method, system, and program product for routing an integrated circuit to be manufactured by doubled patterning
US8294212B2 (en) 2009-09-18 2012-10-23 Taiwan Semiconductor Manufacturing Company, Ltd. Methods and apparatus for SRAM bit cell with low standby current, low supply voltage and high speed
US8675397B2 (en) 2010-06-25 2014-03-18 Taiwan Semiconductor Manufacturing Company, Ltd. Cell structure for dual-port SRAM
US8860107B2 (en) 2010-06-03 2014-10-14 International Business Machines Corporation FinFET-compatible metal-insulator-metal capacitor
US8839162B2 (en) 2010-07-14 2014-09-16 International Business Machines Corporation Specifying circuit level connectivity during circuit design synthesis
US8796759B2 (en) 2010-07-15 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. Fin-like field effect transistor (FinFET) device and method of manufacturing same
US9159627B2 (en) 2010-11-12 2015-10-13 Tela Innovations, Inc. Methods for linewidth modification and apparatus implementing the same
US8418111B2 (en) 2010-11-24 2013-04-09 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for achieving multiple patterning technology compliant design layout
US8402397B2 (en) 2011-07-26 2013-03-19 Mentor Graphics Corporation Hotspot detection based on machine learning
US8689164B2 (en) 2011-10-18 2014-04-01 National Taiwan University Method of analytical placement with weighted-average wirelength model
US9006841B2 (en) 2011-12-30 2015-04-14 Stmicroelectronics International N.V. Dual port SRAM having reduced cell size and rectangular shape
FR2996950B1 (fr) 2012-10-11 2016-01-01 Dolphin Integration Sa Réseau de mémoire base sur des bascules

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05218362A (ja) * 1992-02-04 1993-08-27 Sharp Corp ゲートアレイのベーシックセル
JP2004241529A (ja) * 2003-02-05 2004-08-26 Matsushita Electric Ind Co Ltd 半導体回路装置及びその回路シミュレーション方法
JP2005268610A (ja) * 2004-03-19 2005-09-29 Matsushita Electric Ind Co Ltd スタンダードセルの設計方法及び半導体集積回路

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2016540378A (ja) * 2013-11-22 2016-12-22 クアルコム,インコーポレイテッド ゲートパターニングのためのリソグラフィマージプロセス

Also Published As

Publication number Publication date
US20100023911A1 (en) 2010-01-28
US20130168778A1 (en) 2013-07-04
US8258551B2 (en) 2012-09-04
US20100006898A1 (en) 2010-01-14
US8823062B2 (en) 2014-09-02
US8129752B2 (en) 2012-03-06
US20100023906A1 (en) 2010-01-28
US8207053B2 (en) 2012-06-26
JP5638642B2 (ja) 2014-12-10
US20160079381A1 (en) 2016-03-17
US7952119B2 (en) 2011-05-31
US8089098B2 (en) 2012-01-03
US20100017770A1 (en) 2010-01-21
US8089099B2 (en) 2012-01-03
US20100012985A1 (en) 2010-01-21
US20100019283A1 (en) 2010-01-28
US20190019810A1 (en) 2019-01-17
US8058671B2 (en) 2011-11-15
US20100032723A1 (en) 2010-02-11
US8129757B2 (en) 2012-03-06
US8022441B2 (en) 2011-09-20
US8134183B2 (en) 2012-03-13
US20100025736A1 (en) 2010-02-04
US8030689B2 (en) 2011-10-04
US8089103B2 (en) 2012-01-03
US20100017769A1 (en) 2010-01-21
US20070210391A1 (en) 2007-09-13
US7948013B2 (en) 2011-05-24
EP1999793A4 (en) 2009-11-11
US20170365620A1 (en) 2017-12-21
US8134185B2 (en) 2012-03-13
US8946781B2 (en) 2015-02-03
US20100006948A1 (en) 2010-01-14
US20100011328A1 (en) 2010-01-14
US20100011331A1 (en) 2010-01-14
US8129755B2 (en) 2012-03-06
US20100006903A1 (en) 2010-01-14
US20160379991A1 (en) 2016-12-29
US8264007B2 (en) 2012-09-11
US20130207165A1 (en) 2013-08-15
US20100006899A1 (en) 2010-01-14
US20130161760A1 (en) 2013-06-27
US20100037194A1 (en) 2010-02-11
US20150249041A1 (en) 2015-09-03
US20100032724A1 (en) 2010-02-11
US20170053937A1 (en) 2017-02-23
US7906801B2 (en) 2011-03-15
US20100037195A1 (en) 2010-02-11
US10217763B2 (en) 2019-02-26
US20100019288A1 (en) 2010-01-28
US8089102B2 (en) 2012-01-03
US20100011332A1 (en) 2010-01-14
US20100001321A1 (en) 2010-01-07
EP1999793B1 (en) 2013-05-08
US20150270218A1 (en) 2015-09-24
US7446352B2 (en) 2008-11-04
US8088680B2 (en) 2012-01-03
US8134186B2 (en) 2012-03-13
JP2009529787A (ja) 2009-08-20
US20100025731A1 (en) 2010-02-04
US20100019287A1 (en) 2010-01-28
US8264008B2 (en) 2012-09-11
US9443947B2 (en) 2016-09-13
US20100006897A1 (en) 2010-01-14
US20100006900A1 (en) 2010-01-14
US8035133B2 (en) 2011-10-11
US20130175639A1 (en) 2013-07-11
US7932544B2 (en) 2011-04-26
US8129756B2 (en) 2012-03-06
US20100011329A1 (en) 2010-01-14
US20100025732A1 (en) 2010-02-04
US8129753B2 (en) 2012-03-06
US20100017768A1 (en) 2010-01-21
US20100017771A1 (en) 2010-01-21
US7923757B2 (en) 2011-04-12
US8129751B2 (en) 2012-03-06
US20100023907A1 (en) 2010-01-28
US7910958B2 (en) 2011-03-22
EP2450953A2 (en) 2012-05-09
WO2007103587A2 (en) 2007-09-13
US8089101B2 (en) 2012-01-03
US8258547B2 (en) 2012-09-04
US20100012984A1 (en) 2010-01-21
US20170358600A1 (en) 2017-12-14
US20100017772A1 (en) 2010-01-21
US20100096671A1 (en) 2010-04-22
US8110854B2 (en) 2012-02-07
US8101975B2 (en) 2012-01-24
US20100019284A1 (en) 2010-01-28
EP1999793A2 (en) 2008-12-10
US20100006902A1 (en) 2010-01-14
US20100006947A1 (en) 2010-01-14
US20100025734A1 (en) 2010-02-04
US7948012B2 (en) 2011-05-24
US20180374871A1 (en) 2018-12-27
US8258550B2 (en) 2012-09-04
US20100017766A1 (en) 2010-01-21
US20100017767A1 (en) 2010-01-21
US9425273B2 (en) 2016-08-23
US8217428B2 (en) 2012-07-10
US20100012981A1 (en) 2010-01-21
US20100012986A1 (en) 2010-01-21
US20170365621A1 (en) 2017-12-21
US8253173B2 (en) 2012-08-28
US20100023908A1 (en) 2010-01-28
US10141335B2 (en) 2018-11-27
US8129750B2 (en) 2012-03-06
US20100019280A1 (en) 2010-01-28
US8088682B2 (en) 2012-01-03
US20180374872A1 (en) 2018-12-27
US20180374873A1 (en) 2018-12-27
US20100019281A1 (en) 2010-01-28
US20100019285A1 (en) 2010-01-28
US20170186771A1 (en) 2017-06-29
US7842975B2 (en) 2010-11-30
US8088681B2 (en) 2012-01-03
US20100019286A1 (en) 2010-01-28
US8436400B2 (en) 2013-05-07
US8129754B2 (en) 2012-03-06
US7910959B2 (en) 2011-03-22
US9905576B2 (en) 2018-02-27
US8258548B2 (en) 2012-09-04
US20100012982A1 (en) 2010-01-21
US8134184B2 (en) 2012-03-13
EP2450953A3 (en) 2017-10-11
US8264009B2 (en) 2012-09-11
US20100032721A1 (en) 2010-02-11
US20100025733A1 (en) 2010-02-04
US20130200462A1 (en) 2013-08-08
US20100011333A1 (en) 2010-01-14
US8258552B2 (en) 2012-09-04
US8921897B2 (en) 2014-12-30
US8253172B2 (en) 2012-08-28
US8089100B2 (en) 2012-01-03
US8258549B2 (en) 2012-09-04
US7943966B2 (en) 2011-05-17
US8138525B2 (en) 2012-03-20
US8072003B2 (en) 2011-12-06
US9425272B2 (en) 2016-08-23
US20100032722A1 (en) 2010-02-11
US20100011330A1 (en) 2010-01-14
US8952425B2 (en) 2015-02-10
US7989848B2 (en) 2011-08-02
US20100011327A1 (en) 2010-01-14
US20130249013A1 (en) 2013-09-26
US20090014811A1 (en) 2009-01-15
US20100032726A1 (en) 2010-02-11
US20100025735A1 (en) 2010-02-04
US20130200436A1 (en) 2013-08-08
US10141334B2 (en) 2018-11-27
US8198656B2 (en) 2012-06-12
US20100006950A1 (en) 2010-01-14
US20100012983A1 (en) 2010-01-21
US8129819B2 (en) 2012-03-06
US7989847B2 (en) 2011-08-02
US20130168777A1 (en) 2013-07-04
US8089104B2 (en) 2012-01-03
US10186523B2 (en) 2019-01-22
WO2007103587A3 (en) 2008-12-31
JP5260325B2 (ja) 2013-08-14
US8088679B2 (en) 2012-01-03
US8921896B2 (en) 2014-12-30
US20100019282A1 (en) 2010-01-28
US20100006986A1 (en) 2010-01-14

Similar Documents

Publication Publication Date Title
JP5260325B2 (ja) ダイナミックアレイ・アーキテクチャ
JP6289567B2 (ja) 集積回路デバイス
US9035359B2 (en) Semiconductor chip including region including linear-shaped conductive structures forming gate electrodes and having electrical connection areas arranged relative to inner region between transistors of different types and associated methods
TWI521678B (zh) 動態陣列結構

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130731

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20140120

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20140421

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20140424

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20140718

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20140922

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20141022

R150 Certificate of patent or registration of utility model

Ref document number: 5638642

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees