JP4070998B2 - テスト・ダイ - Google Patents

テスト・ダイ Download PDF

Info

Publication number
JP4070998B2
JP4070998B2 JP2001539173A JP2001539173A JP4070998B2 JP 4070998 B2 JP4070998 B2 JP 4070998B2 JP 2001539173 A JP2001539173 A JP 2001539173A JP 2001539173 A JP2001539173 A JP 2001539173A JP 4070998 B2 JP4070998 B2 JP 4070998B2
Authority
JP
Japan
Prior art keywords
test
active
die
structures
yield
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2001539173A
Other languages
English (en)
Other versions
JP2003517193A5 (ja
JP2003517193A (ja
Inventor
イー スタイン ブライアン
エム スタシヤウアー デイビツド
エフ リー シエリー
エイチ ワイナー カート
ヘス クリストフアー
ウエイランド ラーグ
ジエイ サイプリツカス デニス
バリシヤイー ラケーシユ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
PDF Solutions Inc
Original Assignee
PDF Solutions Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US09/442,699 external-priority patent/US6449749B1/en
Application filed by PDF Solutions Inc filed Critical PDF Solutions Inc
Publication of JP2003517193A publication Critical patent/JP2003517193A/ja
Publication of JP2003517193A5 publication Critical patent/JP2003517193A5/ja
Application granted granted Critical
Publication of JP4070998B2 publication Critical patent/JP4070998B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/30Structural arrangements specially adapted for testing or measuring during manufacture or treatment, or specially adapted for reliability measurements
    • H01L22/34Circuits for electrically characterising or monitoring manufacturing processes, e. g. whole test die, wafers filled with test structures, on-board-devices incorporated on each die, process control monitors or pad structures thereof, devices in scribe line
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Automation & Control Theory (AREA)
  • Semiconductor Integrated Circuits (AREA)
  • Tests Of Electronic Circuits (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Logic Circuits (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Description

【0001】
本願は米国特許出願第09/442,699号(1999年11月18日出願)の一部継続出願である。また、本願においては、米国特許仮出願第60/166,307号(1999年11月18日出願)および米国特許仮出願第60/166,308号(1999年11月18日出願)に基づく権利を行使する。
【0002】
発明の背景
本発明は、一般的には集積回路の製造、より詳しくは製造歩留りを向上させるシステムおよび方法に関する。
【0003】
線幅がサブミクロンとなるまで発展を続けてきたシリコンをベースとする集積回路技術では、現在、数百万個の回路要素から成るチップを製造できる。このプロセスは非常に複雑であって、半導体、金属、絶縁体といった様々な材質のマルチレベルパターンを生成するための多数のプロセスステップを必要とする。各回路部分は、マルチレベル構造を有する金属配線によって、多数の微小なバイアホールを通して相互に接続される。各プロセスステップでは、これらの微細構造体の構造や材質に3次元の統計的ばらつきが生じる。系統的な欠陥やランダムな欠陥を含むこれらの統計的ばらつきは製品の歩留りと特性の両方を低下させ得る。歩留りや特性を低下させる要因はチップやウェハの各点ごとに変化し得るし、また、ウェハごとにも変化し得る。
【0004】
プロセス機能に関する高度の知識に基づいて行われる集積回路チップの最初の設計シミュレーションでは、標準の素子論理単位セルとメモリ単位セルとアナログ単位セルとを規定する標準セルライブラリと、多層膜構造および能動素子構造の限界と所望の寸法とを決定する設計ルールと、が作成される。この情報は、集積回路製品を製造するためのマスクセットの製作に使用される。また、各マスクレベルにおける多数のプロセスを詳細に規定する一組の製造プロセス仕様も作成される。各プロセスレベル用に製作されたマスクによって、Si基板に平行な2次元、つまり各プロセス層の平面内の寸法が規定される。さらに、製造プロセス仕様に基づいてその材質と特性とが決定されると共に、Si基板に垂直な第3の次元、つまり拡散深さや金属層の厚さや熱成長酸化層および堆積酸化層の厚さが決定される。
【0005】
新たなチップの設計に際して安定で実用的な製造プロセスを構成するためには、設計の反復が何度か必要となる場合がある。これらの反復ではマスクセットと製造仕様の両方の変更が起こり得る。このようなハイテク製品の出荷の生産サイクルのモデル化には、古典的なS字型の「学習曲線」が一般的に用いられている。この曲線の最初の平坦部分は設計およびプロセスの初期の試行の部分を表しており、一般には、非常に低く、安定しない歩留りでの生産時期に対応する。この初期段階では、低くとも安定した歩留りを得るべくプロセスを安定化するために製造プロセス仕様に変更を加えることができる。この生産サイクルの、いわゆる「立上り」部分は製品歩留りが安定化すると共に急速に向上する時期である。「学習曲線」の最後の部分は比較的平坦であり、製品歩留りが平坦で安定した時期に対応する。この段階では製品コストは実質的に歩留りで決まる。これは、全ての製造コストがほぼ一定となるからである。良く知られているように、この学習曲線サイクルの最初の2つの部分の製造コストは非常に高くなる。これは、数十億ドルの製造設備の減価償却費や高度な技術者の人件費によるものである。したがって、収益がプラスになるのは「立上り」サイクルの或る時点からであり、事業全体として収益が得られるのは、一般に、一定歩留りサイクルの初期からである。
【0006】
この30年間の集積回路技術では、回路の集積度は指数関数的に増加してきた。これは、特徴的「線幅」をサブミクロンにまで縮小することにより達成された。これにより、新製品の導入や既存製品の保守に対する経済的要件を考慮することが重要となってきている。つまりこれは、コスト要因がこの産業にとって非常に重要になってきているからである。
【0007】
一般に集積回路の初期設計段階では、プロセスの変更を包括的に試行することによってではなく、複雑なシミュレーションプログラムを使用することによって、歩留りや特性の要因の最適化が行われる。プロセスの変更ではなくシミュレーションプログラムを使用する動機は、プロセスステップを操作するにはずっと大きなコストが必要となるからである。
【0008】
集積回路製品の統計的歩留りモデルを作成するために、観察された欠陥のクラスを設計ルールやプロセスステップと関係づける高度なシミュレーションプログラムが作成されている。たとえば、マスクセットからのデータを所与としてチップ内の歩留り分布を予測する試みが為されている。これらのプログラムは集積回路技術に関する知識面では寄与しているが、新製品や既存製品の歩留りや特性に関して直接的な効果を上げることは困難であった。これは、結果として得られる設計が極度に広い範囲の変化を含む場合には、1つのプログラムで集積回路製品の1つのクラスをカバーすることが非常に困難だからである。この例は、ランダムな論理素子の大型のアセンブリの或る特定の設計における相互接続の形式に関する(マルチレベルの)配線の長さや形状およびバイアホールにおける広範囲の変化である。このような場合には、この予測範囲を超える変化をシミュレーションモデルに取り入れることは困難である。結論たして、従来技術に係るシミュレーションプログラムは最初の製品設計を作成する際には有用であるが、学習曲線を最適化したり、初期設計の特性を向上させる上ではあまり有用ではなかった。
【0009】
集積回路チップはウェハレベルで総合的な全数検査を受ける。これを行う製品テスタは非常に高価であるが、機能することをテストすることを主目的として設計されている。つまり、この結果からはチップの一応の特性が計測されるのみである。これは、プローブ接触点の配置や計測回路の能力が制限されていることにより、このチップの通常動作であるナノ秒スイッチング速度の正確な計測ができないからである。また製品テスタでは、製品設計上の決定要因を解析するための最悪状況での配線およびバイア(via)の多数の経路や最悪状況でのゲート論理回路のファンイン−ファンアウト状態を計測することができない。したがって製品テスタでは、歩留りに大きなばらつきがある場合でもこの原因を判定することができず、また、集積回路製品の現状の歩留りや特性を向上させるための充分な情報が得られない。
【0010】
従来技術では、製品チップのチップレチクル内のスクライブ線領域(または、ボンディングパッド間の領域)にプロセスモニタ回路を設けることによりこの問題に対処してきた。このテスト構造は、通常、「スクライブ線モニタ(SLM:scribe line monitor)」と呼ばれる。この種のモニタの初期のものでは、このテスト構造について行ったDCテストの結果からシミュレーションモデルを用いてAC動作を外挿することを意図していた。最近の従来技術では、各素子の特性や歩留りを部分的にテストできるリングオシレータやマルチプレキサ素子などをSLMに内部回路として設けるACテスト法が開発されている。しかし、この場合のSLMの回路集積度は、製品チップ内の高集積度回路の大型アセンブリの挙動を正しく再現するには適切ではないことが分かっている。これは、マスクの光学的効果やフォトリソグラフィプロセスによって起こる。イワサ氏らによる米国特許第5,703,308号では、製品構造内部と共通のテストトランジスタに接続することによりこの問題を軽減している。他のSLMの設計例としては、回路遅延に影響を及ぼす最悪状況を再現するように選んだ配線長さとバイアホールのいくつかの組合せから成るものが挙げられる。他の例としては、論理素子の平均スイッチング時間を計測するために反転ゲートをいくつか直列接続したものもある。スガサワラ氏による米国特許第6,124,143号では、1より多いレベルで配線とバイアホールの再現を行っている。
【0011】
シミュレーションプログラムやチップテスト法によって歩留りを予測する試みの成功の度合は様々である。したがって、集積回路製品の歩留り予測のためのシステムおよび方法を改良する必要がある。
【0012】
発明の概要
特性解析ビヒクルが単一のダイから構成されており、能動素子内の第1の複数のプロセスばらつきとこの能動素子の周囲の領域に影響を与える第2の複数のプロセスばらつきとによる電気特性のばらつきの計測を可能にする素子近傍テストモジュールを備えている。この素子近傍テストモジュールは、少なくとも1つの能動テスト素子とダミー素子アレイとを備えており、能動テスト素子はこのアレイ内に配置されている。
【0013】
詳細な説明
米国特許出願第09/442,699号(1999年11月18日出願)、米国特許仮出願第60/166,307号(1999年11月18日出願)、および米国特許仮出願第60/166,308号(1999年11月18日出願)の全体は、参照により本明細書に包含される。
【0014】
本発明の実施例において鍵となる素子は、様々な集積回路ファミリーに対する如何なる製造プロセスをも包括的に再現できる単一チップ、すなわち素子近傍/プロセス近傍特性解析ビヒクル(DN/PNCV)である。DN/PNCVは、製品の歩留りと特性を決定する製造プロセスの決定要因を効果的に計測する手段となる。
【0015】
またDN/PNCVは、上述の「学習曲線」の最初の2つの部分の期間を著しく短縮する手段を提供する。さらに生産サイクルの最終段階にあっては、DN/PNCVを用いて集積回路製品の歩留りと特性を向上させることができる。つまりDN/PNCVは、一般に、生産サイクルの何れの開発段階においても歩留りおよび/または特性の向上のために利用することができる。したがってDN/PNCVは、サブミクロン集積回路技術における開発および製造で生じる重要な問題の大部分に対して、これを解決するための新たなアプローチを提供する。
【0016】
DNモジュールは、素子に影響を与える第1の複数のプロセスばらつきと、このテストすべき素子の周囲にあるその他の素子に影響を与える第2の複数のプロセスばらつきとによる素子特性への影響をテストする。同様にPNモジュールは、この構造に影響を与える第1の複数のプロセスばらつきによるこの構造の電気的特性への影響と、周囲のその他の構造に影響を与える第2の複数のプロセスばらつきによる影響とをテストする。(これらの構造としては、櫛形構造やスネーク構造などが挙げられる。)
【0017】
図1は、全体を符号10で示した本発明に係る集積回路歩留りを予測するためのシステムで行われるステップを示すブロック図である。システム10では少なくとも1種類の特性解析ビヒクル(vehicle)12を使用する。特性解析ビヒクル12は、最終製品に組込まれる少なくとも1種類の構造を再現する少なくとも1つの特定の構造を組込んだ集積回路構造体を構成するに必要な情報を含んだソフトウエアの形式であることが好ましい。たとえば特性解析ビヒクル12は、考察対象とするプロセスフロー(process flow)の金属相互接続モジュールの良好性と生産性を試験するために所定のリソグラフィ層の特性解析を行うことができるテストビヒクルを構成しても良い。この構造体は、製造プロセスを流れている実際の製品ないし製品種類に充分類似した構造および寸法を有していなければならない。これは、製造プロセスで製品に影響を与え得る様々な障害を確実に把握したり、その兆候を捉えるために必要である。特性解析ビヒクル12は、提案されている製品レイアウトの1以上の属性に整合する構造を備えている。たとえば特性解析ビヒクル12は、提案されている製品レイアウト(線幅、線間隔、線繰返し周期、線屈曲、線延伸など)を再現する構造を備えたレイアウトを有するCVモジュールであっても良い。これにより、この特定の設計に影響を与えたり歩留りを低下させたりし得る障害を判定できる。
【0018】
特性解析ビヒクル12は、また、提案された設計の1以上の能動領域と近傍構造を再現しても良い。これにより、近傍レイアウトが素子特性やプロセスパラメータに与える影響を調べたり、レイアウト属性の関数として素子パラメータをモデル化したり、製品特性に最も影響を与える素子を判定したりできる。
【0019】
製造中の製品に発生し得る歩留りに関する問題を評価して診断するための情報を提供することに加えて、特性解析ビヒクル12は、正確な歩留りを予測するための歩留りモデル16を構成するように設計される。これらの歩留りモデル16は、生産計画や、全プロセスに渡る歩留り改善活動の順位づけや、生産性向上のためにこの製品の元の設計を変更すること等の目的で利用できるが、目的はこれらに限定されない。
【0020】
本発明において考察する特性解析ビヒクル12のテスト構造の大部分は電気的テスト用に設計されている。したがって、各特性解析ビヒクルによって評価されるモジュールの障害や欠陥の検出の信頼性は非常に高い。通常の検査機器ではこのように高い信頼性は得られない。また、比較的、データ収集の速度が速く、データ量が大きい。これは電気的テストは高速で安価だからである。したがって、統計的に有効な診断モデルおよび/または歩留りモデルを作成できる。
【0021】
特性解析ビヒクル12は、レチクルセット(reticle set)の製作に用いるテープまたはディスク上にGDS2レイアウトの形式で形成されることが好ましい。このレチクルセットは、歩留りモデル16を作成するための製造サイクル14の所定の部分で使用される。したがって歩留りモデル16は、特性解析ビヒクル12を備えたレチクルセットを用いた所定の製造プロセスステップを経たウェハの少なくとも一部分からの計測データに基づいて構成されることが好ましい。
【0022】
歩留りモデル16には、特性解析ビヒクル12に規定されたレイアウトを組込むだけでなく、これを製作するプロセス操作で導入された人為構造を組込む。さらに、歩留りモデル16にはプロトタイプのアーキテクチャパターンやレイアウトパターンを組込んでも良く、また、電気的テストデータの収集を可能とする構造や、歩留り予測の精度や信頼性を向上させるために動作速度でのプロトタイプ部分のテストを可能にする構造を組込んでも良い。
【0023】
抽出エンジン(抽出装置:extraction engine)18は、提案された製品レイアウト20からレイアウト属性を抽出すると共にこの情報を歩留りモデル16に供給して製品歩留り予測22を得るためのツールである。このレイアウト属性としては、たとえば、バイア冗長性、臨界面積、正味長さ分布、線幅/間隔分布が挙げられる。こうして、提案された製品レイアウト20から得られるレイアウト属性と、特性解析ビヒクル12からの情報に基づいて作成された歩留りモデル16からのデータとが与えられれば製品歩留り22が予測できる。本発明に係るシステムおよび方法を用いれば、所定の属性、機能ブロック、または機能層に関連づけた製品歩留り予測や、製品レイアウト全体としての歩留り予測が得られる。
【0024】
図2は、本発明に係る集積回路歩留り予測システム10のブロック図である。このシステム10は、抽出エンジン28によって製品レイアウト20から設計属性26を抽出するための、全体を符号24で示すフィードバックループを更に備えている。本発明のこの特徴によれば、特性解析ビヒクル12は製品レイアウト20の属性を用いて開発することができる。この場合には製品レイアウト20の属性を抽出して、属性の範囲が特性解析ビヒクル12内に分布することを保障することができる。たとえば、製品レイアウト20を解析して線間隔分布、線幅分布、密集度分布、およびアイランドパターン数を決定すれば製造プロセスの設計ルールの全体セットの部分セットを開発することができ、この部分セットは考察対象の特定の製品レイアウトに適用できる。パターンに関しては、この製品レイアウト解析は最も普通のパターン、2番目に普通のパターン、等々を判定する。これらは抽出エンジン28によって抽出され、特性解析ビヒクル12に組込むためにこれらの全てのパターンを包含する設計属性(design attribute)26が作成される。密集度(集積度)に関しては、製品レイアウト解析により第1の金属層の密集度が10〜50%であると判定された場合には、特性解析ビヒクル12はこの第1の金属層を10〜50%の全範囲で含む。
【0025】
図3には、素子近傍およびプロセス近傍テストエンジニアリンググループ(DN/PNCV)や他の特殊な構造モジュールを備えたDN/PNCVテストビヒクルのレイアウトを示す。素子近傍(DN)部分は200以上のNMOSおよびPMOSトランジスタ構造を備えている。DNCVの目的は、ダイ内のパターンばらつきがトランジスタ特性に与える影響を計測することである。テスト素子をダミートランジスタアレイ内に配置することにより局所的なパターン依存性を求める。DNCVにおける基本的変量(variant)はポリシリコン密集度と能動領域密集度である。また、コンタクト−ゲート間隔の影響を調べるための特殊な構造が組込まれている。
【0026】
この設計におけるPNCV部分は、典型的には17以上の部分モジュールから構成されている。これらのモジュールを備えた領域は製品チップの大きな面積に相応するサイズを再現している。これらのモジュールの目的は、ダイ内のパターンばらつきが系統的な歩留り低下に与える影響を、ブリッジング、接合漏れ電流、CD線幅ばらつき、および、コンタクトおよびバイアの形成と関連づけて計測することである。DNCVと同様にPNCVは、テスト構造体周囲の局所的プロセス環境を変化させることによりパターン依存特性解析を行う。こうしてPNCVは、通常の製造中に起こり得るダイ内パターン依存性プロセスばらつきを効果的にシミュレーションできる。DNCVとPNCVの両方でプロセス近傍の効果を把握することにより、プロセスをデバッグし生産に向けて準備するためのより包括的なビヒクルを構成できる。これらのCVとは別に、ウェルおよびチャンネルのプロファイルや、N+およびP+シート抵抗の特性解析を行うビヒクルが特殊な構造モジュールとして設けてある。また、その他の構造体はコンタクト−ゲート間隔の効果を調べるためのものである。
【0027】
2.0 DN/PNCVの平面構造および説明
本節ではDN/PNCVの各モジュールの平面構造および基本事項の説明を行う。本節で説明する各モジュールの詳細な説明は第3節で行う。
【0028】
図3に示したように、DN/PNCVテストチップは4つの主要なモジュール、すなわちDNCV、PNCV、MOSCAP、TESTCHIP、から構成されている。DNモジュールは、電気的な素子特性のばらつきを局所的プロセス環境の関数として計測する。DNモジュールは2種類のサブモジュール(すなわち、NMOSおよびPMOS)と3つのプロセス効果サブモジュール(すなわち、poly_variant(ポリ変数)、active_variant(能動変数)、special_structure(特殊構造))に分かれている。
【0029】
図4はDNCVの平面図である。poly_variantサブモジュールはポリシリコン密集度と電気的素子特性の関係を計測する。macro_effect(マクロ効果)とmicro_effect(ミクロ効果)の両方を調べる。poly_variantモジュールと同様に、active_variant構造体ではactive_area(能動領域)密集度がトランジスタの電気的特性に与える影響を調べる。また、special_structureモジュールではcontact_to_poly(コンタクト−ポリ)間隔、contact_to_contact(コンタクト−コンタクト)間隔、poly_to_poly(ポリ−ポリ)間隔の効果を、コンタクトサイズによる影響と共に調べる。
【0030】
図5に示したPNテストビヒクルは4種類の効果モジュール(すなわち、bridging_structure(ブリッジ構造)、CD(critical dimension)_and_sheet_resistance(臨界寸法およびシート抵抗)、junction_leakage(接合漏れ電流)、contact_and_via(コンタクトおよびバイア))に分かれている。bridging_structure素子は5つのモジュールから構成されており、それぞれ、フィールド酸化膜上のpoly_to_polyブリッジング、poly_to_activeブリッジング(NおよびP)、フィールド酸化膜の周縁部でのストリング(stringer)によるpoly_to_polyブリッジング(NおよびP)を調べる。CD_and_sheet_resistance構造体ではCD制御(たとえば、線幅)を計測すると共に、能動領域(N+およびP+)、ゲート(N+およびP+)、および相互接続層(金属1、金属2、金属3、金属4)のシート抵抗を計測する。チップのこの部分は合計で4つのサブモジュールと62の個別構造体から構成されている。junction_leakage部分は、合計24の素子から成る2つのモジュールから構成されており、N+およびP+能動領域の面積漏れ電流と、ゲートやフィールド部で区切られているこの能動領域の周縁部漏れ電流とを計測する。またcontact_and_viaサブモジュールは、4層金属プロセス用の全てのコンタクトおよびバイアの形成に関するガイドラインを得ることを目的としている。この部分は、合計90のテスト構造体から成る6つのサブモジュールから構成されている。
【0031】
図5に示してあるMOSCAPモジュールおよびTESTCHIPモジュールは、能動領域のシート抵抗やwell_profile(ウェルプロファイル)およびchannel_profile(チャンネルプロファイル)を計測する特殊な素子と、コンタクト−ポリゲート間隔が歩留りに与える影響を判定するための構造体とを備えている。
【0032】
3.0 モジュールおよび構造体の説明
本節ではDN/PNCVの組成および構造を説明する。DNCV、PNCV、MOSCAPモジュール、およびTESTCHIPモジュールを第3.1節〜第3.4節の各節で取扱う。第4節では特殊素子の配置、パッドの配置、計測パラメータについて説明する。
【0033】
3.1 DNCV
DNCVの目的は、局所的なプロセス環境がMOSトランジスタの最終的な電気特性に与える影響を計測することである。これは、ポリ領域密集度や能動領域密集度などのパラメータに関して特定の値に調整することができるダミー素子の「海」の中にテストすべき素子を配置することで行われる。このようなレイアウトの一例を図6に示す。この図では面積の大部分がダミー構造体で満たされている。テストすべき素子はダミー素子アレイの中央に配置されている。このようにして、局所的なプロセス環境が効果的に制御できる。DNモジュールはNMOSおよびPMOSという2種類のモジュールから構成されている。これらは組成が等しく、また、poly_variant、active_variant、special_structureという3つの効果サブモジュールから成る。各サブモジュールを以下の第3.1.1節〜第3.1.3節で詳述する。
【0034】
3.1.1 poly_variant
ポリシリコンエッチのローディング効果は最終的な回路特性に潜在的に影響を与え得る。これは、マクロおよびミクロの両方の密集度効果によってダイ内でのゲート長に予期しないテスト不可能なばらつきを生じるからである。マクロおよびミクロのポリシリコン密集度がゲート長に与える影響をゲート長およびゲート幅の関数として調べる設計実験(DOE:design of experiments)では、poly_variantモジュールは54のトランジスタ構造体を備えている。ゲート長および能動幅に関する設計実験を図7にまとめた。ゲート長/能動幅を6つのトランジスタセットで変化させると共に、マクロなポリシリコン密集度を変化させた別の設計実験を行った。マクロな変化は、各テスト構造体内のダミートランジスタについてゲート長とゲート幅を調整することにより作り出した。ミクロな密集度効果は、近接するゲートフィンガの数を変化させることにより制御した。概略ポリシリコン密集度とマルチゲート変更のリストを表1に示す。
【0035】
【表1】
Figure 0004070998
【0036】
マクロローディング効果に加えて、ゲートエッチ中のミクロローディング効果をマルチゲート構造を用いて調べた。この実験では能動幅を2μmの一定値に保つと共に、ゲート長、ゲート間隔、ゲート数を図8に示すように変化させた。局所的ポリシリコン密集度を再び変化させることによりマクロローディング効果も調べた。マルチフィンガ構造の場合のポリシリコン密集度の変化を表2に示す。表2には、PNCVモジュールにおけるポリシリコンマルチゲートDOEのポリシリコン密集度の目標値を示している。
【0037】
【表2】
Figure 0004070998
【0038】
3.1.2 active_variant
能動層エッチローディング効果は最終的な回路特性に潜在的に影響を与え得る。これは、shallow_trench_isolation(浅いトレンチ分離)プロファイルに予期しない定量不可能なばらつきを生じるからである。このばらつきは、ポリブリッジングや漏れ電流超過などによる系統的な歩留り低下として現われる。能動領域密集度が素子特性に与える影響をゲート長およびゲート幅の関数として調べる設計実験では、active_variantモジュールは45のトランジスタ構造体を備えている。ゲート長およびゲート幅に関するDOEを図9Aおよび図9Bにまとめた。能動領域密集度は、各テスト構造体内のダミートランジスタのX間隔およびY間隔を調節することにより制御した。能動領域間隔SxおよびSyに関するDOEを図9Cに示す。この場合にはテスト構造体のダミートランジスタのゲート長は一定である。
【0039】
3.1.3 special_structure
ポリシリコン密集度および能動領域密集度に加えて、DNCVでは、コンタクト−ポリ間隔、コンタクト−コンタクト間隔、ポリ−ポリ間隔の効果を、コンタクトサイズによる影響と共に調べる。この設計実験はspecial_structureモジュールにより行われる。その際の変数の仕様を表3および図10A〜図10Cに示す。表3にはPNCVモジュールにおける特殊構造体および特殊素子の臨界寸法に関する設計実験の要約を示す。
【0040】
【表3】
Figure 0004070998
【0041】
図18は基本DNセル1800を示す平面図である。DNセル1800はその中央に、素子シート抵抗およびケルビンシート抵抗の計測に使用できる構造体1802を備えており、素子1804のゲートのシート抵抗を計測する。構造体1802は、ゲート1805の延長部であるストライブ1806を備えている。ストライブ1806は端部に4つのコンタクト1808を備えている。電流を2つのコンタクト1808に注入して、残りの2つのコンタクトの間で電圧降下を計測する。素子1804が機能することもテストできる。これは、ゲート線1805を通して電流を注入してゲート線の両端で電圧降下を計測することにより行う。これによってwに比例する指標が得られ、これをファンデルポー(Van der Pauw)計測と組合せればシート抵抗が得られる。
【0042】
3.2 PNCV
PNCVの目的は、所与のテスト構造体の周囲の環境を変化させてwithin_die(ダイ内)パターン依存性ばらつきをシミュレーションすることである。DNCVの場合と同様に、製品チップの大きな面積に匹敵する大きな面積に渡って局所的環境が制御される。このようなレイアウトの一例を図11に示す。この図では、線幅を計測するための構造体を、局所的エッチ環境を調べるための櫛形組合せ(comb−in−comb)構造体が取り囲んでいる。櫛の線幅と間隔を変化させることにより局所的なプロセス環境を効果的に制御できると共に、CDをプロセス層密集度と関係づけることができる。このようにして、このテスト構造体は実際に得られる製品のより正確な予測子として機能する。
【0043】
PNCVはbridging_structure、CD_and_sheet_resistance、junction_leakage、contact_and_viaという4つの主要なサブモジュールから構成されている。各サブモジュールを以下の第3.2.1節〜第3.2.4節で詳述する。
【0044】
3.2.1 bridging_structure
全体がスネーク構造および櫛形構造で構成されたbridging_structureモジュールは、ポリシリコンピッチおよび能動領域ピッチがポリシリコンブリッジングによって系統的な歩留り低下を惹き起こす影響を計測するために組込まれている。このモジュールはSNK_CMB_FLD、SNK_CMB_PAA、SNK_CMB_NAA、SNK_CMB_PAA_FLD、SNK_CMB_NAA_FLDという5つのサブモジュールから構成されている(SNK:スネーク構造、CMB:櫛形構造、FLD:フィールド、PAA:P型能動領域、NAA:N型能動領域)。SNK_CMB_FLDモジュールではフィールド酸化膜上のポリ−ポリブリッジングを調べる。SNK_CMB_PAAおよびSNK_CMB_NAAでは、シリサイド化プロセスで起こった側壁スペーサをまたがるポリシリコン−能動領域ブリッジングによる歩留り低下の機構を調べる。SNK_CMB_PAA_FLDおよびSNK_CMB_NAA_FLDでは、浅いトレンチ分離の処理に伴ってフィールド周縁部に沿って発生するポリシリコン−ポリシリコンブリッジングによる潜在的な問題を調べる。これらのサブモジュールは、主要な線幅と間隔を変化させるDOEを実施する。各モジュールの性質を表4にまとめる。パラメータの定義を図12Aおよび図12Bに図示する。
【0045】
【表4】
Figure 0004070998
【0046】
3.2.2 CD_and_sheet_resistance
CD_and_sheet_resistanceモジュールはエッチローディングが所与の層のCDに与える影響を計測するために組込まれている。シート抵抗は、線幅計測構造体に必要な入力を提供するために組込まれている。CD_and_sheet_resistanceはKELVIN_NGC_M1、KELVIN_M1_PGC、KELVIN_M2_M3、KELVIN_M3_M4という4つのサブモジュールから構成されている。各相互接続層に対する線幅構造体が設けてある。これらは、N+ポリ層(NGC)、N+ポリ層(PGC)、M1、M2、M3、M4である。上述の各層と、N+能動層(NAA)およびN+能動層(PAA)にはファンデルポー構造体が設けてある。表5には、PNCVに組込んだCD_and_sheet_resistanceDOEの要約と共に各DOEの仕様を示す。
【0047】
【表5】
Figure 0004070998
【0048】
図13Aおよび図13Bに調査パラメータを図示した。値DNCVの計測に用いた構造体を図14に示す。この構造で、既知の電流をコンタクトI1からコンタクトI2に流し、コンタクトV1およびコンタクトV2においてこれに対応する電圧降下を計測する。電圧降下を表す式は
【数1】
Figure 0004070998
である。ここで、DSheetは計測されたシート抵抗、Wは線幅、Lはコンタクトパッド2および3の間の間隔、V23は計測された電圧、I14は計測された電流である。このCDモジュール内では、全てのテスト構造体についてLは一定であり、DSheetはファンデルポー構造体を用いて決定される。式(1)は
【数2】
Figure 0004070998
と書き換えられる。式(2)の右辺の値は全て既知であるから線幅を正確に決定できる。
【0049】
シート抵抗の計測は、図13Aおよび図13Bに示したファンデルポー構造体を用いて行う。この構造で、コンタクト1および2の間に電流を流し、パッド3および4の間で電圧を計測する。構造は対称なのでシート抵抗は下式を用いて決定できる。
【数3】
Figure 0004070998
この計測では電流に関して特別の注意を払う必要がある。これは、ウェハの加熱(金属およびシリコン)や少数キャリヤの注入(シリコン)によって計測結果が歪められ得るからである。この構造体の電流については第4節で説明する。
【0050】
3.2.3 junction_leakage
junction_leakageモジュールは、計測した逆バイアス電流を、フィールドとゲートの両方で区切られたダイオードの面積部、周囲部、角部に起因する主要成分に分解するためにPNCVに設けたものである。またこのモジュールは、線幅およびピッチが漏れ電流に与える影響に関するデータを提供する。このモジュールはNAA_JCT_LKG(N型能動領域漏れ電流)とPAA_JCT_LKGという同一の2つのサブモジュールから構成されている。これらは、それぞれN+/PダイオードおよびP+/Nダイオードから構成されている。表6に、junction_leakageモジュールに組込まれているダイオードの設計属性を示す。パラメータの定義を図15A〜図15Cに図示する。表6には、PNCVモジュールにおける接合漏れ電流DOEの要約も示してある。
【0051】
【表6】
Figure 0004070998
【0052】
漏れ電流解析では、小さな周辺部対面積比(約6%)を有する矩形ダイオードを用いて面積漏れ電流を求める。したがって、計測した漏れ電流はダイオード面積を主に反映したものとなり、面積漏れ電流成分を近似することができる。周囲部漏れ電流は、こうして得た面積漏れ電流を、面積対周囲部比を変化させた一連のダイオードの結果と組合せることにより得られる。この周囲部解析では、計算した面積漏れ電流を周囲部構造体における漏れ電流から減じる。こうして残った電流量は周囲部漏れ電流に帰すべきものであるが、これをダイオードの周囲長で除する。このようにして一連のダイオードから得た周囲部漏れ電流(A/μm)を比較する。これらの値がほぼ等しければ周囲部成分の値は正しいと判断する。値が著しく食違う場合には、次のようにして詳細な解析を行う必要がある。すなわち、各ダイオードの漏れ電流を面積部、周囲部、角部の関数として表現し、連立方程式を解くのである。各ダイオードに対する面積部(A)、周囲部(P)、角部(C)への分解の詳細は第4節で説明する。
【0053】
3.2.4 contact_and_via
contact_and_viaモジュールは、CMOS1S全フロープロセスに含まれるcontact_and_viaエッチステップの各々に関係する系統的歩留り問題を調べるためにPNCVに設けたものである。このモジュールはCONT_NAA、CONT_PAA、CONT_NGC、VIA1、VIA2、VIA3という6つのサブモジュールから構成されている(CONT:コンタクト、VIA:バイア)。各モジュールは15のコンタクト構造体またはバイア構造体を有するので、構造体の総数は90となる。これらのテスト構造体は2つの設計実験用に分類される。第1のものは、線幅、冗長性、および間隔がバイア歩留りに与える影響を計測する。このDOEを表7に示す。この表にはPNCVにおけるcontact_and_viaモジュールに関するDOEも含めてある。この表ではDRは最小設計ルールであり、「広い」はこの最小寸法より充分大きい或る値を示す。
【0054】
【表7】
Figure 0004070998
【0055】
この設計実験のパラメータ空間を表8に示す。この表にはDNCVモジュールにおけるバイア整列ミスに関するDOEも含めてある。表8で用いた寸法の定義を図16に示した。
【0056】
【表8】
Figure 0004070998
【0057】
3.3 MOSCAP
MOSCAPモジュールの目的は、PNCVでは取り扱わない個々の注入部分のシート抵抗および静電容量を求めることである。MOSCAPは、5つのシート抵抗構造体と12の静電容量構造体とを備えている単一のモジュールとして構成されている。このテスト構造体の特性を表9に示す。この表には、DNCVモジュールの注入部分のソース/ドレインのシート抵抗と静電容量に関するDOEも含めてある。
【0058】
【表9】
Figure 0004070998
【0059】
シート抵抗は第3.2.2節で説明したファンデルポー法を用いて計測する。より詳しくはMOSCAPのファンデルポー構造体により、深いソース/ドレインおよび浅い延長注入部の抵抗値を計測する。この静電容量構造体は、標準厚の酸化層を有する素子におけるゲート−ウェル静電容量とゲート−(ウェル+チャンネル)静電容量を計測すると共に、厚い酸化層を有する高電圧トランジスタのゲート−(ウェル+チャンネル)静電容量を計測するために組込まれている。
【0060】
3.4 TESTCHIP
TESTCHIPモジュールの目的はゲート−コンタクト間隔の影響を調べることである。このモジュールはCVの1つの列当り16の素子(8つのNMOSと8つのPMOS)を備えている。この特性解析に用いる構造体では、図17に示したような非対称なコンタクト間隔が用いられている。表10には、設計実験で調べるゲート−コンタクト間隔の値の範囲の一例を示す。
【0061】
【表10】
Figure 0004070998
【0062】
この構造体では修正した櫛形組合せ配置を用いている。各櫛形構造体は57.6μm長さの80本のゲートフィンガを備えており、臨界周囲全長は4608μmとなる。ゲートコンタクトと能動コンタクトとの間に電圧を印加してこの間の電流を計測することにより素子テストを行う。
【0063】
4.0 テスト手順
本節ではDN/PNCVの各モジュールに関するテスト手順を説明する。図18に示したパッド配置と符号は全てのサブモジュールに関して共通である。DNCV、PNCV、MOSCAPモジュール、およびTESTCHIPモジュールで行うテストに必要な個別の計測手順を、それぞれ第4.1節〜第4.4節で説明する。
【0064】
4.1 DNCV計測
DNCVでは、全ての素子に対して単一のテスト配置で行うことができる。計測は東芝標準MOSトランジスタ電流−電圧レシピを用いて行う。このレシピでは、ゲート電圧およびドレイン電圧(VGおよびVD)を変化させてドレイン電流(ID)を計測する。
【0065】
15のパッドフレームの内の13個に対して、パッド<1>および<17>は、全てのトランジスタからそれぞれウェルおよびソースへの共通コンタクトである。この他のパッドはゲート−ドレイン対として配置されている。ゲートコンタクトはパッド<2>〜<16>を占め、ドレインコンタクトはパッド<18>〜<32>を占める。つまりゲート−ドレイン対は、<GATE_PAD_NUMBER(ゲートパッド数)>−<GATE_PAD_NUMBER+16>として配置されている。
【0066】
列8および15(列番号方式に関しては図1(図3)のDNCVマップを参照)は標準配置の例外となっている。列8はNMOSトランジスタとPMOSトランジスタの両方を備えている。したがってパッド<1>および<17>は、それぞれPMOSのウェルとソースへのコンタクトを提供している。またパッド<10>および<26>は、それぞれNMOSのウェルとソースへのコンタクトを提供している。列15は空いているので、このウェルコンタクトとソースコンタクトを、それぞれパッド<3>および<19>に配置する。パッド<1>、<2>、<17>、および<18>は使用しない。
【0067】
4.2 PNCV計測
17のPNCVモジュールの各々のテスト配置を第4.2.1節〜第4.2.4節に説明する。各計測について、使用するパッドと各パッドの計測条件(電圧、電流、等)を説明する。
【0068】
4.2.1 スネーク/櫛形配置
SNK_CMB_FLD:
【表11】
Figure 0004070998
SNK_CMB_NAA,SNK_CMB_PAA、SNK_CMB_NAA_FLD、SNK_CMB_PAA_FLD:
【表12】
Figure 0004070998
【0069】
4.2.2 junction_leakage配置
NAA_JCT_LKG:
【表13】
Figure 0004070998
PAA_JCT_LKG:
【表14】
Figure 0004070998
【0070】
4.2.3 コンタクト/バイアチェーン配置
CONT_NAA、CONT_PAA、CONT_NGC、VIA2、VIA3:
【表15】
Figure 0004070998
VIA1:
【表16】
Figure 0004070998
【0071】
4.2.4 ケルビン配置
KELVIN_NGC_M1:
【表17】
Figure 0004070998
KELVIN_PGC_M1:
【表18】
Figure 0004070998
KELVIN_M2_M3:
【表19】
Figure 0004070998
KELVIN_M3_M4:
【表20】
Figure 0004070998
【0072】
4.3 MOSCAP計測
MOSCAPは単一のテスト配置で実行できる。この配置を以下に示す。
【表21】
Figure 0004070998
【0073】
4.4 TESTCHIP計測
TESTCHIPは単一のテスト配置で実行できる。この配置を以下に示す。
【表22】
Figure 0004070998
【0074】
素子近傍モジュールとプロセス近傍モジュールの両方を単一のダイに組込むことにより素子計測をプロセス近傍計測と関連づけることができる。これによって、素子特性を予測するシミュレーションモデルが検証できる。
【0075】
バイア、素子、シリサイド、ポリ用などのその他の特性解析ビヒクルが設計され使用されることがある。しかし、これらを設計する手順と技術は同一のものである。一例として、抽出エンジンと歩留りモデルに関して金属特性解析ビヒクルを説明する。
【0076】
再び図1および図2を参照する。抽出エンジン18は2つの主な目的を有する。(1)これは、特性解析ビヒクルの設計に際して使用するレベルの範囲(線幅、線間隔、密集度、等)を決定するために使用される。さらに、(2)これは、歩留り予測のための歩留りモデルで使用する製品レイアウトの属性を抽出するために使用される。(1)の点に関しては、特性解析ビヒクルの一例においてスネーク構造体、櫛形構造体、およびケルビン構造体をどのようにして選択するかということに関連して既に説明した。したがって、以下では主に(2)の点に関して説明する。
【0077】
製品レイアウトから抽出できる属性はほとんど無数に存在するので、各製品に対する属性を全て列挙ないし抽出することは不可能である。したがって、どの属性を抽出すべきかをガイドする手順が必要となる。通常、抽出される属性は特性解析ビヒクルが決定する。これは以下の手順から成る。
1.特性解析ビヒクルにある全ての構造体を列挙する。
2.あるファミリに属する全ての構造体が特定の属性に関する実験を構成するように各構造体をグループまたはファミリに分類する。たとえば、上述の金属特性解析ビヒクルではファミリ分類表は以下のようになる。
Figure 0004070998
【0078】
3.各ファミリに対して、製品レイアウトからどの属性を抽出すべきかを決定する。選択すべき属性は正確には、どの属性を調査すべきかにより決定される。たとえば或るファミリによって、異なる範囲の間隔に関する歩留りを調べる場合には、間隔のヒストグラムまたは各間隔の短絡可能面積を抽出する必要がある。この例では、必要な属性表は以下のようになる。
Figure 0004070998
4.上述のように、抽出した属性を近似的歩留りモデルにおいて使用する。
【0079】
その他の特性解析ビヒクルに関しては、ファミリーや必要な属性は明らかに異なる。しかし、手順や使用法は上述のものと類似している。
【0080】
上述のように、歩留りモデル16は、特性解析ビヒクル12を備えたレチクルセットを用いた所定の製造プロセスステップを経たウェハの少なくとも一部分からの計測データに基づいて構成されることが好ましい。好適な実施例では、歩留りはランダム成分と系統的成分との積として、以下のようにモデル化される。
【数4】
Figure 0004070998
【0081】
siおよびYrjを決定する方法および技法としては以下のようなものが挙げられる。
系統的歩留りモデル
系統的な歩留り低下の機構には様々な種類があり製造工程ごとに異なるので、可能な系統的歩留りモデルを全て列挙することは現実的でない。しかし、以下の2つは非常に一般的な技法であり、本明細書で説明した特性解析ビヒクルおよび方法論の観点での使用法の特に優れた例となる。
【0082】
面積に基づくモデル
面積に基づくモデルは以下のように表現できる。
【数5】
Figure 0004070998
【0083】
ここで、qは特性解析ビヒクルで調べるべき線幅、線間隔、線長、幅/間隔比、密集度、等の設計因子。Y(q)は設計因子qを有する構造体の特性解析ビヒクルから得られる歩留り。A(q)はこの構造体の短絡可能面積。A(q)は製品レイアウト上で種類qとなる全ての場合に関する短絡可能面積。Y(q)は、歩留り低下の機構がランダム欠陥のみによると仮定した場合のこの構造体の予測歩留り。この量を計算する手順は、ランダム歩留りモデルと関連づけて以下で説明する。
【0084】
短絡可能面積の定義は図19に示した例で明瞭に示されている。この種のテスト構造体は、間隔sを伴う屈曲を備えた幅広い線を或る製造工程で製作できるかどうかを判定するために利用される。この例のテスト構造体では、端子(1)および(2)の間に電圧を印加して端子(1)から(2)に流れる電流を計測することによって短絡を調べる。この電流が所定のしきい値(通常、1〜100nA)を超える場合に短絡と判断する。短絡可能面積は、ブリッジングが発生した場合に短絡が起こり得る面積として定義する。図19の例では短絡可能面積はほぼx*sである。A(q)は、製品レイアウト上で図19に示したパターン(すなわち、間隔sを伴う45度の屈曲を備えた広い線)が正確にまたは近似的に起こる全ての場合に関する短絡可能面積である。Y(q)は、以下に説明する臨界面積法を用いてこの構造体のランダム歩留り限度を予測することによって求められる。
【0085】
このモデルの有効性は、特性解析ビヒクル上に配置する構造体の数とサイズとに依存する。たとえば、図19に示した角度つき屈曲テスト構造体が特性解析ビヒクル上に配置されていない場合、または歩留り値を有意な精度で求めるに充分な個数だけ配置されていない場合には、製品レイアウト上の広い線の屈曲での歩留り低下をモデル化することはできない。このテスト構造体をどの程度の大きさと個数で特性解析ビヒクル上に配置すべきかを正確に決定することは困難である。しかし経験的には、特性解析ビヒクル上の各テスト構造体の短絡可能面積の合計はA(q)/A(q)<10を満たすことが望ましい。
【0086】
以上の説明では短絡に注目した。これは、歩留り低下の機構としては断線より短絡が優勢だからである。しかし短絡可能面積を断線可能面積に置き換えれば、断線による歩留り低下の機構もこの歩留りモデルを用いて同様にモデル化できる。
【0087】
場合数に基づく歩留りモデル
場合数に基づくモデルの一般形式は以下のように表現できる。
【数6】
Figure 0004070998
【0088】
ここで、Y(q)およびY(q)は面積に基づくモデルの場合と同一である。N(q)は、特性解析ビヒクル上のテストパターンと同一ないし類似の単位セルパターンが製品レイアウト上に現われる場合数である。N(q)は単位セルパターンが特性解析ビヒクル上に現われる場合数である。
【0089】
たとえば、図20には、間隔sの近傍の線の端部におけるT字型の終端の歩留りを調べるためのテストパターンの一例を示す。端子(1)および(2)の間に電圧を印加して短絡電流を計測することによってこのテストパターンを調べる。特性解析ビヒクル上のどこかにこのパターンが25回反復している場合には、各テスト構造体ごとに5つの単位セルが設けてあるので、N(q)=25×5=125となる。
【0090】
近くに間隔sを伴ったこの単位セルパターンの場合数を製品レイアウトから求めれば、この種の構造の系統的歩留りが予測できる。たとえば、構造体ごとに500の単位セルを有する5つの構造体がある場合にはN(q)=2500となる。ある製品のN(q)が10,000であり、特性解析ビヒクル上で計測したテスト構造体の歩留りが98.20%である場合には、以下に説明する技法を用いてY(q)=99.67%と予測できる。これらの値を上式に代入すれば以下のようになる。
【数7】
Figure 0004070998
【0091】
ランダム歩留りモデル
ランダム成分は以下のように表現できる。
【数8】
Figure 0004070998
【0092】
ここで、CA(x)は欠陥サイズxに対する臨界面積。DSD(x)は欠陥サイズ分布。これは、マリー氏による「VLSI回路のCADのためのリソグラフィ関連歩留り低下のモデル化」(IEEE Trans. on CAD、1985年7月、161〜177ページ)にも説明されている。なお、この文献の全体は参照により本明細書に包含される。Xは、確実に観察ないし計測できる最小の欠陥サイズ。これは通常、設計ルールの最小線間隔に設定する。臨界面積は、サイズxの欠陥が発生した場合に短絡が起こる面積である。非常に小さいxに対しては臨界面積はほぼゼロであるが、非常に大きな欠陥サイズの場合には、チップ全体の面積に匹敵する臨界面積となる。臨界面積および抽出技法に関する更なる説明は、ナグ氏およびマリー氏による「VLSI回路の歩留り予測」(Techcon90、1990年10月16〜18日、サンノゼ市)、ナグ氏およびマリー氏による「大規模ICにおける短絡に関する臨界面積の階層的抽出」(VLSIシステムにおける欠陥および故障耐性に関するIEEE国際会議講演記録、IEEEコンピュータ学会出版部、1995年、10〜18ページ)、バベル氏、マリー氏、ワース氏、ナグ氏、ハルトマン氏、シュミット−ランドジーデル氏、およびグリープ氏による「AFFCCA:円形欠陥およびリソグラフィ変形レイアウトを有する臨界面積解析のツール」(VLSIシステムにおける欠陥および故障耐性に関するIEEE国際会議講演記録、IEEEコンピュータ学会出版部、1995年、19〜27ページ)、オーヤング氏およびマリー氏による「大規模VLSI−ICにおける臨界面積の効果的抽出」(半導体製造に関するIEEE国際会議講演記録、1996年、301〜304ページ)、オーヤング氏、プレスカッツ氏、およびマリー氏による「大規模VLSI回路における断線に関する臨界面積の抽出」(VLSIシステムにおける欠陥および故障耐性に関するIEEE国際会議講演記録、1996年、21〜29ページ)に説明されている。これらの文献の全体は参照により本明細書に包含される。
【0093】
欠陥サイズ分布は、サイズxを有する欠陥の欠陥密集度を表す。欠陥サイズ分布に関しては様々なモデルが提案されている。(たとえば、マリー氏による「歩留りモデル−比較研究」(スタッパ氏編「VLSIシステムにおける欠陥および故障耐性」、プレナム出版社、ニューヨーク市、1990年)や、スタッパ氏による「集積回路の欠陥感受性のモデル化」(IBM J. Res. Develop.、第27巻、第6号、1983年11月)を参照されたい。これらの文献の全体は参照により本明細書に包含される。)しかし一例を挙げれば、最も普通にも散られる分布は
【数9】
Figure 0004070998
である。ここで、Dは、xより大きな観察された欠陥の総数(欠陥/cm)。Pは、欠陥数がサイズと共に減少する率を表す無次元数。典型的にはPは2〜4である。Kは以下のような規格化因子である。
【数10】
Figure 0004070998
【0094】
以下の2つの節では、特性解析ビヒクルから欠陥サイズ分布を抽出する技法を説明する。
【0095】
ネスト構造体技法
ネスト構造体は欠陥サイズ分布を抽出するために設計される。これは図21に示したように、幅wと間隔sとを有するN本の線から構成される。線1と2の間、2と3の間、3と4の間、・・・、N−1とNの間の短絡電流を計測することにより、この構造をテストする。電流が所定の限度を超える場合に短絡と判断する。また、線1、2、3、・・・、N−1、Nの抵抗値をを計測することにより、断線をテストできる。抵抗値が所定の限度を超える場合に断線と判断する。同時に短絡している線の数を調べることにより欠陥サイズ分布を求めることができる。
【0096】
2線のみが短絡している場合には欠陥サイズはsより大きく、3w+2sより大きくはない。sより小さい欠陥は短絡を起こさせない。3w+2sより大きい欠陥は少なくとも3線の短絡を起こさせる。様々な短絡線数に対して、サイズの範囲は以下のようになる。
【表23】
Figure 0004070998
【0097】
範囲には重複がある。したがって、欠陥サイズ分布を直接の計算から求めることはできない。この制約はpの抽出に制限を加えるだけである。したがってpを予測するためには、全ての偶数番号線を用いて分布を計算し、次に、全ての奇数番号線を用いて計算する。最後に2つの値を平均してpを予測する。pを抽出するためにはln(log)(x線短絡の欠陥数)対log([x−1]s+[x−2]w)のプロットを行う。この直線の傾斜が−pとなることが証明できる。線の各組での欠陥数を数えてこの構造体の面積で除すればDが求まる。しかし、非常に大きいDに対してはこの予測は楽観的すぎる。このテスト構造体に類似した構造体から欠陥サイズ分布を抽出することに関する更なる情報は、たとえば、ケール氏、マリー氏、およびトーマス氏による「テスト構造体データを用いたIC層中の欠陥サイズ分布の抽出」(半導体製造に関するIEEE紀要、第7巻、第3号、1994年8月、354〜368ページ)に説明されている。この文献の全体は参照により本明細書に包含される。
【0098】
一例として、100ダイを有する1つのウェハから得た以下のデータを考察する。
【0099】
【表24】
Figure 0004070998
【0100】
構造体サイズを1cmとすれば、Dは98+11+4+2+1=133/(100*1)=1.33欠陥/cmとなる。また、log(欠陥数)対log([x−1]s+[x−2]w)のプロット(図22)からはp=2.05が求まる。
【0101】
櫛形構造体技法
幅=間隔=sの櫛形を仮定すれば、この構造体の歩留りは以下のように表される。
【数11】
Figure 0004070998
【0102】
したがって、ln[|ln(Y)|]対ln(s)のプロットの傾斜からpが予測できる。Dを抽出する技法は上述のものと同じである。
【0103】
歩留りの影響および評価
充分な数の特性解析ビヒクルを用いて実験を行って各特性解析ビヒクルに関する歩留り予測を行えば、結果をスプレッドシートに記入して歩留り改善活動の優先順位づけをすることができる。表XIV〜表XVIには、このようなスプレッドシートに記入する情報の一例を示す。表では、金属歩留り、ポリおよび能動領域(AA)の歩留り(表XIV)、コンタクトおよびバイアの歩留り(表XV)、そして素子歩留り(表XVI)の各部分に区分けしてある。左欄は系統的な歩留り低下機構であり、右欄はランダムな歩留り低下機構である。系統的欠陥の正確な種類は製品や技術ごとに異なるので、表XIV〜表XVIに示したものは一例である。
【0104】
通常、スプレッドシートに列挙した各モジュールに対して目標値が設定される。モジュール歩留りが目標値から離れるほど、この問題を解決するためにより多くの努力と資源が投入される。たとえば、表XIV〜表XVIに示した例の各モジュールに対する目標値を95%と設定した場合には、(M→M)バイア(75.12%)、(M→M)バイア(81.92%)、M短絡(82.25%)、ポリへのコンタクト(87.22%)の順で目標値を下回っており、(M→M3)バイアが最も努力を要し、ポリへのコンタクトは努力が少なくて良い。
【0105】
各モジュール内でも、どの部分で最も歩留り低下が大きいかを知ることができる。つまり、或る特定の系統的機構により歩留りが低下しているのか、またはランダム欠陥の問題に過ぎないのか、またはこれらの組合せなのか、ということである。たとえば表XVにおける(M→M)バイアの歩留り低下は、M上で長い金属ランナへ接続するバイアに影響を与えている系統的問題のレベル(77.40%)が著しい。(M→M)バイアは、ランダム欠陥問題(92.49%)に加えて、これと同じ問題を抱えている(91.52%)。(M→M)バイアの歩留り問題を解決するためにはこれら2つの問題を解決しなければならない。
【0106】
表XIVにおけるMの歩留り低下も、狭い間隔の近傍の広い線に影響を与えている系統的問題(99.66%)に加えて、ランダム欠陥問題(85.23%)を抱えている。これらの問題を解決するためには金属1を改善しなければならない。スプレッドシートのその他のモジュールに関しても同様のことが言える。
【0107】
歩留りが最低のモジュールについては、更に別の特性解析ビヒクルを多数用いて実験を行う必要がある。モジュール歩留りの向上を試行、改善、実現するためには、通常これらの特性解析ビヒクルを分割する。目標値内にあるモジュールに関しても、このモジュールの歩留りに低下やその他の変化が起こっていないことを確認するために、簡略化したフローでの特性解析ビヒクルを用いて定期的にモニタする必要がある。しかしこのような特性解析ビヒクルを用いた実験は、問題のあるモジュールに対する実験より頻度を落して良い。
【0108】
(公開時に提供されなかった)
【0109】
(公開時に提供されなかった)
【0110】
【表25】
Figure 0004070998
【表26】
Figure 0004070998
【0111】
【表27】
Figure 0004070998
【表28】
Figure 0004070998
【表29】
Figure 0004070998
【0112】
【表30】
Figure 0004070998
【0113】
本発明を実施例に基づいて説明してきたが、これに限定するものではない。本発明の範囲から乖離することなく当業者によって容易に為され得るその他の変更や実施例を含むように、添付の請求範囲は広く解釈すべきである。
【図面の簡単な説明】
【図1】 図1は、本発明に係るシステムの好適な実施例において行われるステップを示すブロック図である。
【図2】 図2は、フィードバックを行う本発明に係るシステムにおいて追加されるステップを示すブロック図である。
【図3】図3は、本発明に係る素子近傍/プロセス近傍(DN/PN:device neighborhood/process neighborhood)特性解析ビヒクル(CV:characterization vehicle)の平面図である。
【図4】 図4は、図3に示したDNCVの平面図である。
【図5】 図5は、図3に示したPNCVの平面図である。
【図6】 図6Aは、ダミー素子に囲まれた図4のDNCV内のテスト中の素子を示す図である。
図6Bは、図6Aの細部を示す拡大図である。
【図7】 図7は、図4のDNCVにおけるゲート長と能動幅についての代表的なDOE(design of experiments:設計実験)変数を示す図である。
【図8】 図8は、図4のDNCVにおけるポリゲート素子のゲート長とポリ間隔についての代表的なDOE変数を示す図である。
【図9】 図9Aおよび図9Bは、図4のDNCVにおける能動長と能動幅を有する能動領域と、能動領域間隔と、についての代表的なDOE変数を示す図である。
図9Cは、図9Aおよび図9Bで言及した図4のDNCVの構造寸法を示す図である。
【図10】 図10A〜図10Cは、表3に示した測定のための図4のDNCVの特殊構造の寸法を示す図である。
【図11】 図11は、図5のPNCVにおける線幅構造を備えた代表的なPNモジュールの平面図である。
【図12】 図12Aおよび図12Bは、表4で言及した図5のPNCVにおけるブリッジ構造および櫛形構造を示す図である。
【図13】 図13Aおよび図13Bは、表5で言及した臨界寸法とシート抵抗を測定するための図5のPNCVの構造を示す図である。
【図14】 図14は、図5のPNCVにおける臨界寸法を測定するためのケルビン構造を示す平面図である。
【図15】 図15A〜図15Cは、表6で言及した接合漏れ電流を測定するための図5のPNCVの構造を示す図である。
【図16】 図16は、図5のPNCVにおけるコンタクトとバイアの整列を調べるための構造を示す図である。
【図17】 図17は、図5に示したTESTCHIPモジュールにおけるゲート−コンタクト間隔の影響を調べるための構造を示す図である。
【図18】 図18は、基本素子近傍セルの詳細を示す模式図である。
【図19】 図19は、特性解析ビヒクルにおける「短絡可能領域」の定義を示す図である。
【図20】 図20は、T字形終端部の歩留りを解析するためのテストパターンを示す図である。
【図21】 図21は、欠陥サイズ分布を求めるためのネスト構造を示す図である。
【図22】 図22は、短絡線数、線間隔、および線幅に関係するパラメータに対して故障を対数プロットした図である。

Claims (15)

  1. 複数の能動素子内の第1の複数のプロセスばらつきと前記能動素子の周囲の領域に影響を与える第2の複数のプロセスばらつきとによる前記複数の能動素子の電気的性能のばらつきの計測を可能にする第1のテスト・モジュールを含み、
    前記第1のテスト・モジュールは同じテスト・ダイ中に第1の複数のテスト構造体を含み、各テスト構造体は、各能動テスト素子と、各能動テスト素子が配置されたダミー素子のアレイと、を有し、
    前記第1の複数のテスト構造体は、異なる素子の能動領域密集度、ポリシリコン密集度および構成素子相互間の間隔を含み、
    さらに、第2の複数のダイ内パターンのばらつきによる製品の歩留まりのばらつきの計測を可能にする第2のテスト・モジュールを含み、前記第2のテスト・モジュールは第2の複数のテスト構造体を含み、
    前記第2の複数のテスト構造体は、複数のスネーク構造体、複数の櫛形構造体、および複数の異なる寸法をもったファンデルポー構造体を含むものである、
    テスト・ダイ
  2. テスト構造体は、各テスト構造体ごとにそれぞれ異なる能動領域密集度を有する能動テスト素子とダミー素子とを有するものである、請求項1に記載のテスト・ダイ
  3. テスト構造体は、各テスト構造体ごとにそれぞれ異なるポリシリコン密集度を有する能動テスト素子とダミー素子とを有するものである、請求項1に記載のテスト・ダイ
  4. テスト構造体は、各テスト構造体ごとにコンタクトとポリシリコン領域の間の間隔がそれぞれ異なる能動テスト素子とダミー素子とを有するものである、請求項1に記載のテスト・ダイ
  5. テスト構造体は、各テスト構造体ごとにポリシリコン領域相互間の間隔がそれぞれ異なる能動テスト素子とダミー素子とを有するものである、請求項1に記載のテスト・ダイ
  6. テスト構造体は、各テスト構造体ごとにコンタクト相互間の間隔がそれぞれ異なる能動テスト素子とダミー素子とを有するものである、請求項1に記載のテスト・ダイ
  7. 複数のスネーク構造体および櫛形構造体が、ポリシリコンと能動領域のピッチによって生じるポリシリコン・ブリッジングの程度の計測を可能にするように構成されている、請求項に記載のテスト・ダイ
  8. 前記第2のテスト・モジュールは、異なるゲート長と幅を有する複数のトランジスタを含むものである、請求項1に記載のテスト・ダイ
  9. 前記第2のテスト・モジュールは、ゲート長、ゲート間隔およびゲート数が変更される複数のトランジスタを含むものである、請求項1に記載のテスト・ダイ。
  10. 前記第2のテスト・モジュールは、さらに複数の構造体を含み、各構造体は小さな周囲対面積比を有する矩形のダイオードを含むものである、請求項に記載のテスト・ダイ
  11. 前記複数の構造体は、さらにそれぞれ異なる面積対周囲比を有する複数のダイオードを含むものである、請求項10に記載のテスト・ダイ
  12. 前記第2のテスト・モジュールは、さらに、線幅、冗長性よび線間隔がバイア歩留りに与える影響の計測を可能にするべく配置された複数の構造体を含むものである、請求項に記載のテスト・ダイ
  13. 記ダミー素子はダミー・トランジスタであり、
    前記第1のテスト・モジュールは、
    素子のコンタクトとポリシリコン領域の間の間隔がそれぞれ異なる複数の素子と、
    各素子のポリシリコン領域相互間の間隔がそれぞれ異なる複数の素子と、
    各素子のコンタクト相互間の間隔がそれぞれ異なる複数の素子と、
    を含むものである、
    請求項1に記載のテスト・ダイ
  14. 前記第2のテスト・モジュールは、
    構造体が小さな周囲対面積比を有する矩形のダイオードを含む複数の構造体と、
    それぞれ異なる面積対周囲比を有する複数のダイオードと、
    線幅、冗長性および線間隔がバイア歩留りに与える影響の計測を可能にするよう構成された複数の構造体と、を含むものである、
    請求項13に記載のテスト・ダイ。
  15. 前記同じダイは、さらに非対称なゲート−コンタクト間隔を有する複数の櫛形組合せ構造体を有するものである、請求項1に記載のテスト・ダイ
JP2001539173A 1999-11-18 2000-11-17 テスト・ダイ Expired - Fee Related JP4070998B2 (ja)

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
US16630799P 1999-11-18 1999-11-18
US16630899P 1999-11-18 1999-11-18
US09/442,699 1999-11-18
US60/166,307 1999-11-18
US60/166,308 1999-11-18
US09/442,699 US6449749B1 (en) 1999-11-18 1999-11-18 System and method for product yield prediction
PCT/US2000/031528 WO2001037150A1 (en) 1999-11-18 2000-11-17 System and method for product yield prediction using device and process neighborhood characterization vehicle

Publications (3)

Publication Number Publication Date
JP2003517193A JP2003517193A (ja) 2003-05-20
JP2003517193A5 JP2003517193A5 (ja) 2005-06-30
JP4070998B2 true JP4070998B2 (ja) 2008-04-02

Family

ID=27389249

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2001539173A Expired - Fee Related JP4070998B2 (ja) 1999-11-18 2000-11-17 テスト・ダイ
JP2001537778A Expired - Fee Related JP3811649B2 (ja) 1999-11-18 2000-11-17 論理特徴付けビヒクルを使用した製品歩留り予測のためのシステムおよび方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2001537778A Expired - Fee Related JP3811649B2 (ja) 1999-11-18 2000-11-17 論理特徴付けビヒクルを使用した製品歩留り予測のためのシステムおよび方法

Country Status (4)

Country Link
US (2) US6834375B1 (ja)
JP (2) JP4070998B2 (ja)
AU (2) AU1770301A (ja)
WO (1) WO2001037322A2 (ja)

Families Citing this family (83)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1430316A1 (en) * 2001-09-28 2004-06-23 PDF Solutions, Inc. Test structures for estimating dishing and erosion effects in copper damascene technology
US8417503B2 (en) * 2001-12-17 2013-04-09 International Business Machines Corporation System and method for target-based compact modeling
TWI221014B (en) * 2002-02-28 2004-09-11 Pdf Solutions Inc Back end of line clone test vehicle
US7454733B2 (en) * 2002-03-06 2008-11-18 International Business Machines Corporation Interconnect-aware methodology for integrated circuit design
WO2003104921A2 (en) * 2002-06-07 2003-12-18 Praesagus, Inc. Characterization adn reduction of variation for integrated circuits
US7363099B2 (en) * 2002-06-07 2008-04-22 Cadence Design Systems, Inc. Integrated circuit metrology
US7135344B2 (en) * 2003-07-11 2006-11-14 Applied Materials, Israel, Ltd. Design-based monitoring
US7013441B2 (en) * 2003-09-26 2006-03-14 International Business Machines Corporation Method for modeling integrated circuit yield
US7218134B1 (en) 2005-01-13 2007-05-15 Altera Corporation Adjustable data loading circuit with dynamic test mode switching for testing programmable integrated circuits
US7343581B2 (en) * 2005-06-27 2008-03-11 Tela Innovations, Inc. Methods for creating primitive constructed standard cells
US7489204B2 (en) * 2005-06-30 2009-02-10 International Business Machines Corporation Method and structure for chip-level testing of wire delay independent of silicon delay
US7353472B2 (en) * 2005-08-12 2008-04-01 International Business Machines Corporation System and method for testing pattern sensitive algorithms for semiconductor design
US7469389B2 (en) * 2005-10-07 2008-12-23 Kawasaki Microelectronics, Inc. Standard cell library, method of designing semiconductor integrated circuit, semiconductor integrated circuit pattern, and semiconductor integrated circuit
US7386815B2 (en) * 2005-10-27 2008-06-10 International Business Machines Corporation Test yield estimate for semiconductor products created from a library
JP2007140764A (ja) * 2005-11-16 2007-06-07 Fujitsu Ltd 検証支援装置、検証支援方法、検証支援プログラムおよび記録媒体
US7590968B1 (en) 2006-03-01 2009-09-15 Tela Innovations, Inc. Methods for risk-informed chip layout generation
US9035359B2 (en) 2006-03-09 2015-05-19 Tela Innovations, Inc. Semiconductor chip including region including linear-shaped conductive structures forming gate electrodes and having electrical connection areas arranged relative to inner region between transistors of different types and associated methods
US8658542B2 (en) 2006-03-09 2014-02-25 Tela Innovations, Inc. Coarse grid design methods and structures
US9563733B2 (en) 2009-05-06 2017-02-07 Tela Innovations, Inc. Cell circuit and layout with linear finfet structures
US7932545B2 (en) 2006-03-09 2011-04-26 Tela Innovations, Inc. Semiconductor device and associated layouts including gate electrode level region having arrangement of six linear conductive segments with side-to-side spacing less than 360 nanometers
US7446352B2 (en) 2006-03-09 2008-11-04 Tela Innovations, Inc. Dynamic array architecture
US7943967B2 (en) 2006-03-09 2011-05-17 Tela Innovations, Inc. Semiconductor device and associated layouts including diffusion contact placement restriction based on relation to linear conductive segments
US9230910B2 (en) 2006-03-09 2016-01-05 Tela Innovations, Inc. Oversized contacts and vias in layout defined by linearly constrained topology
US8839175B2 (en) 2006-03-09 2014-09-16 Tela Innovations, Inc. Scalable meta-data objects
US8541879B2 (en) 2007-12-13 2013-09-24 Tela Innovations, Inc. Super-self-aligned contacts and method for making the same
US8247846B2 (en) 2006-03-09 2012-08-21 Tela Innovations, Inc. Oversized contacts and vias in semiconductor chip defined by linearly constrained topology
US7908578B2 (en) 2007-08-02 2011-03-15 Tela Innovations, Inc. Methods for designing semiconductor device with dynamic array section
US7763534B2 (en) * 2007-10-26 2010-07-27 Tela Innovations, Inc. Methods, structures and designs for self-aligning local interconnects used in integrated circuits
US8653857B2 (en) 2006-03-09 2014-02-18 Tela Innovations, Inc. Circuitry and layouts for XOR and XNOR logic
US7956421B2 (en) 2008-03-13 2011-06-07 Tela Innovations, Inc. Cross-coupled transistor layouts in restricted gate level layout architecture
US8448102B2 (en) 2006-03-09 2013-05-21 Tela Innovations, Inc. Optimizing layout of irregular structures in regular layout context
US8225239B2 (en) 2006-03-09 2012-07-17 Tela Innovations, Inc. Methods for defining and utilizing sub-resolution features in linear topology
US9009641B2 (en) 2006-03-09 2015-04-14 Tela Innovations, Inc. Circuits with linear finfet structures
US8225261B2 (en) 2006-03-09 2012-07-17 Tela Innovations, Inc. Methods for defining contact grid in dynamic array architecture
US8245180B2 (en) 2006-03-09 2012-08-14 Tela Innovations, Inc. Methods for defining and using co-optimized nanopatterns for integrated circuit design and apparatus implementing same
US7672816B1 (en) * 2006-05-17 2010-03-02 Textron Innovations Inc. Wrinkle-predicting process for hydroforming
US7503020B2 (en) * 2006-06-19 2009-03-10 International Business Machines Corporation IC layout optimization to improve yield
US7586800B1 (en) 2006-08-08 2009-09-08 Tela Innovations, Inc. Memory timing apparatus and associated methods
US7644388B1 (en) * 2006-09-29 2010-01-05 Pdf Solutions, Inc. Method for reducing layout printability effects on semiconductor device performance
JP5087928B2 (ja) * 2007-01-11 2012-12-05 富士通セミコンダクター株式会社 半導体装置の歩留まり算出方法及びコンピュータプログラム
US7494893B1 (en) 2007-01-17 2009-02-24 Pdf Solutions, Inc. Identifying yield-relevant process parameters in integrated circuit device fabrication processes
US8286107B2 (en) 2007-02-20 2012-10-09 Tela Innovations, Inc. Methods and systems for process compensation technique acceleration
US7979829B2 (en) * 2007-02-20 2011-07-12 Tela Innovations, Inc. Integrated circuit cell library with cell-level process compensation technique (PCT) application and associated methods
US8667443B2 (en) 2007-03-05 2014-03-04 Tela Innovations, Inc. Integrated circuit cell library for multiple patterning
US20080312875A1 (en) * 2007-06-12 2008-12-18 Yu Guanyuan M Monitoring and control of integrated circuit device fabrication processes
US7814454B2 (en) * 2007-06-28 2010-10-12 International Business Machines Corporation Selectable device options for characterizing semiconductor devices
WO2009008151A1 (ja) * 2007-07-09 2009-01-15 Panasonic Corporation 半導体装置及び半導体装置の特性の調整方法
IE20070870A1 (en) * 2007-11-30 2009-08-05 Glonav Ltd A semiconductor integrated circuit device and a method of prototyping a semiconductor chip
US8453094B2 (en) 2008-01-31 2013-05-28 Tela Innovations, Inc. Enforcement of semiconductor structure regularity for localized transistors and interconnect
US7805693B2 (en) * 2008-02-15 2010-09-28 International Business Machines Corporation IC chip design modeling using perimeter density to electrical characteristic correlation
US8037575B2 (en) * 2008-02-28 2011-10-18 Taiwan Semiconductor Manufacturing Company, Ltd. Method for shape and timing equivalent dimension extraction
US7974723B2 (en) * 2008-03-06 2011-07-05 Applied Materials, Inc. Yield prediction feedback for controlling an equipment engineering system
US7793238B1 (en) * 2008-03-24 2010-09-07 Xilinx, Inc. Method and apparatus for improving a circuit layout using a hierarchical layout description
US7939443B2 (en) 2008-03-27 2011-05-10 Tela Innovations, Inc. Methods for multi-wire routing and apparatus implementing same
US8293546B2 (en) 2008-06-17 2012-10-23 Globalfoundries Singapore Pte. Ltd. Integrated circuit system with sub-geometry removal and method of manufacture thereof
MY152456A (en) 2008-07-16 2014-09-30 Tela Innovations Inc Methods for cell phasing and placement in dynamic array architecture and implementation of the same
US9122832B2 (en) 2008-08-01 2015-09-01 Tela Innovations, Inc. Methods for controlling microloading variation in semiconductor wafer layout and fabrication
US8015514B2 (en) * 2008-12-29 2011-09-06 International Business Machines Corporation Random personalization of chips during fabrication
KR20100078431A (ko) * 2008-12-30 2010-07-08 주식회사 동부하이텍 표준 셀 라이브러리의 성능 개선을 위한 측정 장치
US8707236B2 (en) * 2008-12-31 2014-04-22 Stmicroelectronics, Inc. Semiconductor device with integrated delay chain
US8332794B2 (en) * 2009-01-22 2012-12-11 Taiwan Semiconductor Manufacturing Company, Ltd. Circuits and methods for programmable transistor array
JP5185856B2 (ja) * 2009-02-23 2013-04-17 ルネサスエレクトロニクス株式会社 半導体記憶装置
US8327305B1 (en) * 2009-07-31 2012-12-04 Altera Corporation Voltage drop aware circuit placement
US8661392B2 (en) 2009-10-13 2014-02-25 Tela Innovations, Inc. Methods for cell boundary encroachment and layouts implementing the Same
TWI412906B (zh) * 2010-04-13 2013-10-21 Univ Nat Cheng Kung 具有虛擬量測功能的製造執行系統與製造系統
US9159627B2 (en) 2010-11-12 2015-10-13 Tela Innovations, Inc. Methods for linewidth modification and apparatus implementing the same
US8701057B2 (en) * 2011-04-11 2014-04-15 Nvidia Corporation Design, layout, and manufacturing techniques for multivariant integrated circuits
US9129076B2 (en) 2011-09-05 2015-09-08 United Microelectronics Corp. Hierarchical wafer yield prediction method and hierarchical lifetime prediction method
US8464193B1 (en) * 2012-05-18 2013-06-11 International Business Machines Corporation Optical proximity correction (OPC) methodology employing multiple OPC programs
US9058034B2 (en) 2012-08-09 2015-06-16 International Business Machines Corporation Integrated circuit product yield optimization using the results of performance path testing
US8701055B1 (en) * 2012-12-07 2014-04-15 Taiwan Semiconductor Manufacturing Co., Ltd. Macro cell based process design kit for advanced applications
US9129082B2 (en) * 2013-02-28 2015-09-08 Taiwan Semiconductor Manufacturing Company Limited Variation factor assignment
US8924913B1 (en) * 2013-06-20 2014-12-30 Altera Corporation Schematic display of connectivity in an integrated circuit design
KR102179035B1 (ko) 2014-03-07 2020-11-16 삼성전자주식회사 반도체 장치
US20160020158A1 (en) * 2014-07-21 2016-01-21 Lsi Corporation Systems and Methods for Self Test Circuit Security
US10978438B1 (en) * 2015-12-16 2021-04-13 Pdf Solutions, Inc. IC with test structures and E-beam pads embedded within a contiguous standard cell area
US10593604B1 (en) * 2015-12-16 2020-03-17 Pdf Solutions, Inc. Process for making semiconductor dies, chips, and wafers using in-line measurements obtained from DOEs of NCEM-enabled fill cells
CN106096087B (zh) * 2016-05-31 2019-08-13 上海华虹宏力半导体制造有限公司 占领图形填充方法
US10783311B2 (en) 2016-10-31 2020-09-22 Synopsys, Inc. DRC processing tool for early stage IC layout designs
KR102304317B1 (ko) * 2016-12-01 2021-09-24 에이에스엠엘 네델란즈 비.브이. 패턴 구성을 위한 방법 및 시스템
KR102658977B1 (ko) 2017-11-03 2024-04-18 도쿄엘렉트론가부시키가이샤 기능성 마이크로 전자 디바이스의 수율 향상
US11099107B2 (en) * 2018-11-30 2021-08-24 International Business Machines Corporation Component testing plan considering distinguishable and undistinguishable components
US11734141B2 (en) 2021-07-14 2023-08-22 International Business Machines Corporation Dynamic testing of systems

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3751647A (en) * 1971-09-22 1973-08-07 Ibm Semiconductor and integrated circuit device yield modeling
US4835466A (en) 1987-02-06 1989-05-30 Fairchild Semiconductor Corporation Apparatus and method for detecting spot defects in integrated circuits
US5486786A (en) * 1994-08-09 1996-01-23 Lsi Logic Corporation Process monitor for CMOS integrated circuits
JPH08148537A (ja) 1994-11-18 1996-06-07 Toshiba Corp 半導体集積回路
US5790479A (en) * 1996-09-17 1998-08-04 Xilinx, Inc. Method for characterizing interconnect timing characteristics using reference ring oscillator circuit
US5773315A (en) * 1996-10-28 1998-06-30 Advanced Micro Devices, Inc. Product wafer yield prediction method employing a unit cell approach
US6124143A (en) 1998-01-26 2000-09-26 Lsi Logic Corporation Process monitor circuitry for integrated circuits

Also Published As

Publication number Publication date
WO2001037322A3 (en) 2002-01-24
JP2003517193A (ja) 2003-05-20
JP3811649B2 (ja) 2006-08-23
AU1780701A (en) 2001-05-30
WO2001037322A2 (en) 2001-05-25
US6834375B1 (en) 2004-12-21
JP2003514475A (ja) 2003-04-15
US6795952B1 (en) 2004-09-21
AU1770301A (en) 2001-05-30
WO2001037322A9 (en) 2002-09-06

Similar Documents

Publication Publication Date Title
JP4070998B2 (ja) テスト・ダイ
US6449749B1 (en) System and method for product yield prediction
Shen et al. Inductive fault analysis of MOS integrated circuits
Kuo et al. An overview of manufacturing yield and reliability modeling for semiconductor products
Sachdev et al. Defect-oriented testing for nano-metric CMOS VLSI circuits
Khare et al. From contamination to defects, faults and yield loss: simulation and applications
Bhushan et al. CMOS test and evaluation
Liu et al. Front-end layout reflection for test chip design
Doong et al. Field-configurable test structure array (FC-TSA): Enabling design for monitor, model, and manufacturability
Khare et al. SRAM-based extraction of defect characteristics
Arora et al. Interconnect characterization of X architecture diagonal lines for VLSI design
Khare et al. Rapid failure analysis using contamination-defect-fault (CDF) simulation
Nahar The yield models and defect density monitors for integrated circuit diagnosis
Dragone et al. Design for manufacturability in the nanometer era
Sachdev et al. Functional and Parametric Defect Models
Khare Contamination-defect-fault relationship: Modeling and simulation
Cheng Design for manufacturing (DFM) in nano-CMOS era
Gaston et al. Yield prediction using calibrated critical area modelling
Appello et al. Rapid root cause analysis and process change validation with design-centric volume diagnostics in production yield enhancement
Wong et al. Micro yield modeling for IC processes
Segura et al. Failure mechanisms and testing in nanometer technologies
Semenov et al. Impact of technology scaling on bridging fault detections in sequential and combinational CMOS circuits
Rai Defect Oriented Testing for Analog/Mixed-Signal Devices
Balakrishnan Characterization and analysis of process variability in deeply-scaled MOSFETs
Tewksbury et al. Yield Models and Analysis

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20070612

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20070911

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20070926

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20071012

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20071025

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20071112

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20071218

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20080116

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110125

Year of fee payment: 3

LAPS Cancellation because of no payment of annual fees