KR101898410B1 - Xor 및 xnor 로직을 위한 회로 및 레이아웃 - Google Patents

Xor 및 xnor 로직을 위한 회로 및 레이아웃 Download PDF

Info

Publication number
KR101898410B1
KR101898410B1 KR1020177037756A KR20177037756A KR101898410B1 KR 101898410 B1 KR101898410 B1 KR 101898410B1 KR 1020177037756 A KR1020177037756 A KR 1020177037756A KR 20177037756 A KR20177037756 A KR 20177037756A KR 101898410 B1 KR101898410 B1 KR 101898410B1
Authority
KR
South Korea
Prior art keywords
gate
gate electrode
input
node
pmos transistor
Prior art date
Application number
KR1020177037756A
Other languages
English (en)
Other versions
KR20180004323A (ko
Inventor
스코트 티 벡커
Original Assignee
텔라 이노베이션스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 텔라 이노베이션스, 인코포레이티드 filed Critical 텔라 이노베이션스, 인코포레이티드
Publication of KR20180004323A publication Critical patent/KR20180004323A/ko
Application granted granted Critical
Publication of KR101898410B1 publication Critical patent/KR101898410B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03KPULSE TECHNIQUE
    • H03K19/00Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits
    • H03K19/20Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits characterised by logic function, e.g. AND, OR, NOR, NOT circuits
    • H03K19/21EXCLUSIVE-OR circuits, i.e. giving output if input signal exists at only one input; COINCIDENCE circuits, i.e. giving output only if all input signals are identical
    • H03K19/215EXCLUSIVE-OR circuits, i.e. giving output if input signal exists at only one input; COINCIDENCE circuits, i.e. giving output only if all input signals are identical using field-effect transistors
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03KPULSE TECHNIQUE
    • H03K19/00Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits
    • H03K19/20Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits characterised by logic function, e.g. AND, OR, NOR, NOT circuits
    • G06F17/5045
    • G06F17/5068
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level

Abstract

배타적 오아 회로는 제 2 입력 노드에 의해 제어되는 패스 게이트를 포함한다. 패스 게이트는 그래서 제어되는 경우 제 1 입력 노드에 존재하는 로직 상태의 버전을 출력 노드로 통과시키도록 접속된다. 송신 게이트는 제 1 입력 노드에 의해 제어된다. 송신 게이트는 그래서 제어되는 경우 제 2 입력 노드에 존재하는 로직 상태의 버전을 출력 노드로 통과시키도록 접속된다. 풀업 로직은 제 1 및 제 2 입력 노드들 모두에 의해 제어된다. 풀업 로직은 제 1 및 제 2 입력 노드들이 모두 하이인 경우 출력 노드를 로우로 구동하도록 접속된다. 배타적 노아 회로는 풀업 로직이 제 1 및 제 2 입력 노드들이 모두 하이인 경우 출력 노드를 하이로 구동하도록 접속된 풀다운 로직으로 대체되는 것을 제외하고 배타적 오아 회로와 유사하게 정의된다.

Description

XOR 및 XNOR 로직을 위한 회로 및 레이아웃{CIRCUITRY AND LAYOUTS FOR XOR AND XNOR LOGIC}
고성능 및 소형 다이 크기를 위한 추진은 회로 칩 면적을 2년마다 대략 50% 씩 감소시키도록 반도체 산업을 이끌고 있다. 칩 면적 감소는 최신 기술들로의 이동을 위한 경제적 이점을 제공한다. 50% 칩 면적 감소는 25% 와 30% 사이에서 피쳐 크기를 감소시킴으로써 달성된다. 피쳐 크기의 감소는 제조 장비 및 재료들에 있어서의 개선에 의해 가능하게 된다. 예를 들어, 리소그라피 공정에서의 개선은 소형 피쳐 크기가 달성되는 것을 가능하게 하고 있고, 화학 기계 연마 (CMP) 에서의 개선은 더 높은 수의 상호접속 층들을 부분적으로 가능하게 하고 있다.
리소그라피의 발전에 있어서, 최소 피쳐 크기가 피쳐 형상을 노광하는데 사용되는 광원의 파장에 접근함에 따라, 인접 피쳐들 사이에 의도하지 않은 상호작용들이 발생하였다. 오늘날 최소 피쳐 크기들은 45nm 아래로 감소되고 있는데 반해, 포토리소그라피 공정에 사용되는 광원의 파장은 193nm 로 유지되고 있다. 최소 피쳐 크기와 포토리소그라피 공정에 사용되는 광의 파장 사이의 차이는 리소그라피 갭으로서 정의된다. 리소그라피 갭이 증가함에 따라, 리소그라피 프로세서의 해상도 능력은 감소한다.
마스크 상의 각 형상이 광과 상호작용함에 따라 간섭 패턴이 발생한다. 인접 형상들로부터의 간섭 패턴들은 보강 간섭 또는 상쇄 간섭을 생성할 수 있다. 보강 간섭의 경우, 원치 않는 형상들이 우연히 생성될 수도 있다. 상쇄 간섭의 경우, 원하는 형상들이 우연히 제거될 수도 있다. 어떠한 경우에도, 특정 형상이 의도된 바와 상이한 방식으로 인쇄되어, 아마 디바이스 패일을 유발한다. 광학 근접 보정 (OPC; optical proximity correction) 과 같은 보정 방법들이 인접 형상들로부터의 충격을 예측하고 그 마스크를 변경하여 원하는 대로 인쇄된 형상이 제조되도록 한다. 광 상호작용 예측의 품질은 공정 지오메트리가 축소함에 따라 그리고 광 상호작용들이 더 복잡해짐에 따라 감소하고 있다.
상술한 점을 고려하여, 소형 반도체 디바이스 피쳐 크기들로의 진보를 지속시키기 위한 기술로서 리소그라피 갭의 관리를 개선시키는 해결책들이 나오고 있다.
일 실시형태에 있어서, 배타적 오아 (XOR) 로직 회로가 개시된다. XOR 로직 회로는 제 1 입력 노드, 제 2 입력 노드 및 출력 노드를 포함한다. 패스 게이트는 제 2 입력 노드에 존재하는 로직 상태에 의해 제어되도록 접속된다. 패스 게이트는 제 2 입력 노드에 존재하는 로직 상태에 의해 송신하도록 제어되는 경우 제 1 입력 노드에 존재하는 로직 상태의 버전을 출력 노드로 통과시키도록 접속된다. 송신 게이트는 제 1 입력 노드에 존재하는 로직 상태에 의해 제어되도록 접속된다. 송신 게이트는 제 1 입력 노드에 존재하는 로직 상태에 의해 송신하도록 제어되는 경우 제 2 입력 노드에 존재하는 로직 상태의 버전을 출력 노드로 통과시키도록 접속된다. 풀업 로직은 제 1 입력 노드에 존재하는 로직 상태와 제 2 입력 노드에 존재하는 로직 상태 모두에 의해 제어되도록 접속된다. 풀업 로직은 제 1 입력 노드에 존재하는 로직 상태와 제 2 입력 노드에 존재하는 로직 상태가 모두 하이인 경우 출력 노드에 존재하는 상태를 로우로 구동하도록 접속된다.
일 실시형태에 있어서, 배타적 오아 (XOR) 로직 회로 레이아웃이 개시된다. XOR 로직 회로 레이아웃은 6개의 PMOS 트랜지스터들 및 5개의 NMOS 트랜지스터들을 포함한다. 5개의 NMOS 트랜지스터들은 6개의 PMOS 트랜지스터들 중 5개와 쌍을 이루어, NMOS 및 PMOS 트랜지스터들의 각 쌍이 5개의 게이트 전극 트랙들의 각각의 게이트 전극 트랙을 따라 배치된 인접 게이트 전극 구조를 공유하도록 정의된다. 6개의 PMOS 트랜지스터들 중 6번째 PMOS 트랜지스터는 6번째 게이트 전극 트랙을 따라 배치된 게이트 전극 구조에 의해 정의되어, 6번째 PMOS 트랜지스터가 배타적 오아 로직 회로 레이아웃 내의 다른 트랜지스터와 6번째 게이트 전극 트랙을 공유하지 않는다. 6개의 게이트 전극 트랙들은 서로 평행하게 배향된다.
일 실시형태에 있어서, 배타적 노아 (XNOR) 로직 회로가 개시된다. XNOR 로직 회로는 제 1 입력 노드, 제 2 입력 노드 및 출력 노드를 포함한다. 패스 게이트는 제 2 입력 노드에 존재하는 로직 상태에 의해 제어되도록 접속된다. 패스 게이트는 제 2 입력 노드에 존재하는 로직 상태에 의해 송신하도록 제어되는 경우 제 1 입력 노드에 존재하는 로직 상태의 버전을 출력 노드로 통과하도록 접속된다. 송신 게이트는 제 1 입력 노드에 존재하는 로직 상태에 의해 제어되도록 접속된다. 송신 게이트는 제 1 입력 노드에 존재하는 로직 상태에 의해 송신하도록 제어되는 경우 제 2 입력 노드에 존재하는 로직 상태의 버전을 출력 노드로 통과하도록 접속된다. 풀다운 로직은 제 1 입력 노드에 존재하는 로직 상태와 제 2 입력 노드에 존재하는 로직 상태 모두에 의해 제어되도록 접속된다. 풀다운 로직은 제 1 입력 노드에 존재하는 로직 상태와 제 2 입력 노드에 존재하는 로직 상태가 모두 로우인 경우 출력 노드에 존재하는 로직 상태를 하이로 구동하도록 접속된다.
일 실시형태에 있어서, 배타적 NOR (XNOR) 로직 회로 레이아웃이 개시된다. XNOR 로직 회로 레이아웃은 5개의 PMOS 트랜지스터들 및 6개의 NMOS 트랜지스터들을 포함한다. 5개의 PMOS 트랜지스터들은 6개의 NMOS 트랜지스터들 중 5개와 각각 쌍을 이루어, PMOS 및 NMOS 트랜지스터들의 각 쌍이 5개의 게이트 전극 트랙들의 각각의 게이트 전극 트랙을 따라 배치된 인접 게이트 전극 구조를 공유하도록 정의된다. 6개의 NMOS 트랜지스터들 중 6번째 NMOS 트랜지스터는 6번째 게이트 전극 트랙을 따라 배치된 게이트 전극 구조에 의해 정의되어, 6번째 NMOS 트랜지스터가 배타적 노아 로직 회로 레이아웃 내의 다른 트랜지스터와 6번째 게이트 전극을 공유하지 않는다. 6개의 게이트 전극 트랙들은 서로 평행하게 배향된다.
본 발명의 다른 양태들 및 장점들은 본 발명의 예시로서 도시하는 첨부된 도면과 함께 다음의 상세한 설명으로부터 보다 명백해질 것이다.
도 1a는 통상의 XOR 로직 게이트 회로를 나타낸다.
도 1b 내지 도 1e는 도 1a의 통상의 XOR 로직 게이트 회로에 대한 상태 테이블들을 나타낸다.
도 1f는 본 발명의 일 실시형태에 따른 통상의 XOR의 레이아웃을 나타낸다.
도 1g는 종래 기술에 따른 일 예의 인버터 구성을 나타낸다.
도 2a는 본 발명의 일 실시형태에 따른 XNOR 로직 게이트 회로를 나타낸다.
도 2b 내지 도 2e는 본 발명의 일 실시형태에 따른 도 2a의 XNOR 로직 게이트 회로에 대한 상태 테이블들을 나타낸다.
도 2f는 본 발명의 일 실시형태에 따른 도 2a의 XNOR 로직 게이트 회로의 레이아웃을 나타낸다.
도 3a는 본 발명의 일 실시형태에 따른 XOR 로직 게이트 회로를 나타낸다.
도 3b 내지 도 3e는 본 발명의 일 실시형태에 따른 도 3a의 XOR 로직 게이트 회로에 대한 상태 테이블들을 나타낸다.
도 3f는 본 발명의 일 실시형태에 따른 도 3a의 XOR 로직 게이트 회로의 레이아웃을 나타낸다.
도 4a는 본 발명의 일 실시형태에 따라 제한된 게이트 레벨 레이아웃 아키텍쳐 내에 정의된 게이트 전극 트랙들의 일 예를 나타낸다.
도 4b는 본 발명의 일 실시형태에 따라 내부에 정의된 다수의 예시적인 게이트 레벨 피쳐들을 갖는 도 4a의 예시적인 제한된 게이트 레벨 레이아웃 아키텍쳐를 나타낸다.
다음의 설명에 있어서, 다수의 특정 상세들이 본 발명의 완전한 이해를 제공하기 위해 설명된다. 그러나, 본 발명은 이러한 특정 상세들의 일부 또는 전부 없이도 실시될 수 있음이 당업자에게 명백하다. 다른 예시들에 있어서, 주지된 공정 동작들은 본 발명을 불필요하게 모호하게 하지 않도록 상세하게 설명되지 않는다.
통상의 XOR 회로
도 1a는 통상의 XOR 로직 게이트 회로 (이하, "XOR (100)" ) 를 나타낸다. XOR (100) 는 2개의 입력들 (A 및 B) 과 하나의 출력 (Q) 를 포함한다. 입력 (A) 는 노드 (101) 에 제공된다. 입력 (B)는 노드 (102) 에 제공된다. 출력 (Q) 는 노드 (105) 에 제공된다. 도 1b 내지 도 1e는 XOR (100) 에 대한 상태 테이블들을 나타낸다. 도 1b 내지 도 1e에 나타낸 바와 같이, XOR (100) 는 입력들 (A 및 B) 의 다양한 상태 조합에 대한 출력 (Q) 의 적절한 상태를 제공한다.
도 1a에 나타낸 바와 같이, 입력 (A) 를 수신하는 노드 (101) 가 PMOS 트랜지스터 (117) 의 게이트 및 NMOS 트랜지스터 (120) 의 게이트에 접속된다. 노드 (101) 는 또한 인버터 (110) 의 입력에 접속된다. 인버터 (110) 의 출력은 노드 (103) 에 접속된다. 노드 (103) 는 PMOS 트랜지스터 (113) 의 게이트 및 NMOS 트랜지스터 (116) 의 게이트에 접속된다.
노드 (102) 는 PMOS 트랜지스터 (114) 의 게이트 및 NMOS 트랜지스터 (119) 의 게이트에 접속된다. 노드 (102) 는 또한 인버터 (111) 의 입력에 접속된다. 인버터 (111) 의 출력은 노드 (104) 에 접속된다. 노드 (104) 는 NMOS 트랜지스터 (115) 의 게이트 및 PMOS 트랜지스터 (118) 의 게이트에 접속된다.
PMOS 트랜지스터들 (113 및 114) 은 전원 (VDD) 과 노드 (105) 사이에 직렬 방식으로 접속되어, XOR (100) 출력 (Q) 을 제공한다. NMOS 트랜지스터들 (115 및 116) 은 기준 접지 전위 (GND) 와 노드 (105) 사이에 직렬 방식으로 접속된다. PMOS 트랜지스터들 (117 및 118) 은 전원 (VDD) 과 노드 (105) 사이에 직렬 방식으로 접속된다. NMOS 트랜지스터들 (119 및 120) 은 노드 (105) 와 기준 접지 전위 (GND) 사이에 직렬 방식으로 접속된다.
상기에 기초하여, 통상의 XOR (100) 는 2 세트의 풀업 로직을 포함하는데, 여기서 제 1 세트는 PMOS 트랜지스터들 (113 및 114) 에 의해 정의되고, 제 2 세트는 PMOS 트랜지스터들 (117 및 118) 에 의해 정의된다. 또한, XOR (100) 는 2 세트의 풀다운 로직을 포함하는데, 여기서 제 1 세트는 NMOS 트랜지스터들 (115 및 116) 에 의해 정의되고, 제 2 세트는 NMOS 트랜지스터들 (119 및 120) 에 의해 정의된다. 각각의 풀업 및 풀다운 로직 세트는 입력 (A)의 버전 및 입력 (B)의 버전 양자에 의해 제어된다. 이에 따라, 입력들 (A 및 B) 에 기초하여, 통상의 XOR (100) 의 회로는 각각 풀업 로직 세트 중 어느 것 또는 풀다운 로직 세트 중 어느 것을 사용하여 하이 또는 로우 중 어느 하나로 출력 (Q) 을 구동하도록 정의된다.
부가적으로, 인버터들 (110 및 111) 의 각각이 하나의 PMOS 트랜지스터와 하나의 NMOS 트랜지스터를 포함하는 것을 이해해야 한다. 도 1g는 종래 기술에 따른 일 예의 인버터 구성을 나타낸다. 인버터는 입력 신호 (A) 를 수신하고 출력 신호 (Q) 를 생성한다. 인버터는 입력 신호 (A) 에 의해 제어되도록 접속된 게이트, 전원 (VDD) 에 접속된 제 1 단자 및 출력 신호 (Q) 를 제공하도록 접속된 제 2 단자를 갖는 PMOS 트랜지스터 (192) 를 포함한다. 또한, 인버터는 입력 신호 (A) 에 의해 제어되도록 접속된 게이트, 출력 신호 (Q) 를 제공하도록 접속된 제 1 단자 및 기준 접지 전위 (GND) 에 접속된 제 2 단자를 갖는 NMOS 트랜지스터 (193) 를 포함한다. 인버터의 입력 (A) 이 하이인 경우 출력은 반대로 로우이고, 그 역도 성립한다. 하나의 PMOS 트랜지스터 및 하나의 NMOS 트랜지스터를 포함하는 각각의 인버터에 기초하여, 통상의 XOR (100) 는 총 6개의 PMOS 트랜지스터들 및 6개의 NMOS 트랜지스터들을 포함하는 것을 이해해야 한다.
도 1f는 본 발명의 일 실시형태에 따른 XOR (100) 의 레이아웃을 나타낸다. XOR (100) 의 레이아웃은 본 명세서에서 설명한 바와 같이 제한된 게이트 레벨 레이아웃 아키텍쳐에 따라 정의된다. 도 1a에 관해 앞서 설명한 바와 같은 다양한 PMOS 및 NMOS 트랜지스터들이 이에 따라 도 1f의 레이아웃에 라벨링된다. 또한, 도 1a에 관해 앞서 설명한 바와 같은 각종 노드들도 이에 따라 도 1f의 레이아웃에 라벨링된다. PMOS 트랜지스터 (118) 및 NMOS 트랜지스터 (119) 의 게이트 전극들은 종단 간격 (195) 만큼 게이트 레벨 내에서 이격되도록 동일 선상에 정의된다. 또한, PMOS 트랜지스터 (114) 및 NMOS 트랜지스터 (115) 의 게이트 전극들도 종단 간격 (196) 만큼 게이트 레벨 내에서 이격되도록 동일 선상에 정의된다.
제한된 게이트 레벨 아키텍쳐를 이용하여 6개의 게이트 전극 트랙들 내에 통상의 XOR (100) 를 레이아웃하기 위해서는, XOR (100) 의 게이트 레벨 내에 적어도 2개의 게이트 전극 종단 간격들, 예를 들어 (195 및 196) 을 갖는 것이 필요함을 이해해야 한다. 이러한 종단 게이트 전극 간격들은 최소 종단 간격 크기를 요구하는 적용가능한 디자인 룰에 따라 정의된다. 이에 따라, 종단 게이트 전극 간격들의 존재가 종단 게이트 전극 간격들의 부재 시 요구되게 되는 것보다 더 이격되도록 P형 및 N형 확산 영역들을 요구할 수 있고, 이로써 보다 큰 전체 셀 높이를 요구함을 알아야 한다.
XOR 회로 및 레이아웃 실시형태들
도 3a는 본 발명의 일 실시형태에 따른 XOR 로직 게이트 회로 (300) (이하, "XOR (300)") 를 나타낸다. XOR (300) 는 2개의 입력들 (A 및 B) 과 하나의 출력 (Q) 를 포함한다. 입력 (A)는 노드 (301) 에 제공된다. 입력 (B) 는 노드 (302) 에 제공된다. 출력 (Q) 는 노드 (307) 에 제공된다. 도 3b 내지 도 3e는 본 발명의 일 실시형태에 따라 XOR (300) 에 대한 상태 테이블들을 나타낸다. 도 3b 내지 도 3e에 나타낸 바와 같이, XOR (300) 는 입력들 (A 및 B) 의 다양한 상태 조합에 대한 출력 (Q) 의 적절한 상태를 제공한다.
도 3a에 나타낸 바와 같이, 입력 (A) 를 수신하는 노드 (301) 는 인버터 (310) 의 입력 및 PMOS 트랜지스터 (314) 의 게이트에 모두 접속된다. 입력 (B) 를 수신하는 노드 (302) 는 인버터 (311) 의 입력에 접속된다. 인버터 (310) 의 출력은 노드 (303) 에 접속된다. 노드 (303) 는 각각, 1) NMOS 트랜지스터 (312) 의 제 1 단자, 2) PMOS 트랜지스터 (316) 의 게이트, 및 3) NMOS 트랜지스터 (313) 의 게이트에 접속된다. 인버터 (311) 의 출력은 노드 (304) 에 접속된다. 노드 (304) 는 1) NMOS 트랜지스터 (312) 의 게이트, 2) PMOS 트랜지스터 (315) 의 게이트, 3) NMOS 트랜지스터 (313) 의 제 1 단자 및 4) PMOS 트랜지스터 (314) 의 제 1 단자에 접속된다.
노드 (305) 는 각각, 1) NMOS 트랜지스터 (312) 의 제 2 단자, 2) NMOS 트랜지스터 (313) 의 제 2 단자, 3) PMOS 트랜지스터 (314) 의 제 2 단자, 및 4) PMOS 트랜지스터 (316) 의 제 2 단자에 접속된다. PMOS 트랜지스터 (315) 의 제 1 단자는 전원 (VDD) 에 접속된다. PMOS 트랜지스터 (315) 의 제 2 단자는 노드 (306) 에 접속되며, 노드 (306) 는 PMOS 트랜지스터 (316) 의 제 1 단자에 접속된다. 노드 (305) 는 인버터 (317) 의 입력에 접속된다. 인버터 (317) 의 출력은 노드 (307) 에 접속되며, 노드 (307) 는 XOR (300)의 출력 (Q) 을 제공한다.
도 3b 내지 도 3e의 상태 테이블들은 입력 (A 및 B) 에서의 상이한 상태 조합들의 적용에 대한 XOR (300) 의 다양한 노드들 (노드 (301) 부터 노드 (307)) 의 상이한 상태들을 나타낸다. 인버터들 (310, 311 및 317) 의 각각은 하나의 PMOS 트랜지스터와 하나의 NMOS 트랜지스터를 포함한다. 이에 따라, 총 6 개의 PMOS 트랜지스터들과 6 개의 NMOS 트랜지스터들을 포함하는 통상의 XOR (100) 에 비해, XOR (300) 는 총 6개의 PMOS 트랜지스터들과 5개의 NMOS 트랜지스터들을 포함함으로써, 하나의 NMOS 트랜지스터를 세이브한다.
2 입력 XOR (300) 는 도 3b 내지 도 3e에 도시된 바와 같이 입력들 (A 및 B) 의 4 개의 독특한 조합을 처리하도록 정의된다. 특히, NMOS 트랜지스터 (313) 및 PMOS 트랜지스터 (314) 는 함께 입력 (A) 에 의해 제어되는 송신 게이트 (350) 를 정의한다. 입력 (A) 의 상태가 로우, 즉 논리 0 인 경우, 송신 게이트 (350) 는 출력 (Q) 의 상태 제어에 기여하여, 출력 (Q) 의 상태를 입력 (B) 의 상태와 매칭시킨다. NMOS 트랜지스터 (312) 는 입력 (B) 에 의해 제어되는 패스 게이트 (360) 를 정의한다. 입력 (B) 의 상태가 로우, 즉 논리 0 인 경우, 패스 게이트 (360) 는 출력 (Q) 의 상태 제어에 기여하여, 출력 (Q) 의 상태를 입력 (A) 의 상태와 매칭시킨다.
PMOS 트랜지스터들 (315 및 316) 은 함께 입력들 (A 및 B) 모두에 의해 제어되는 풀업 로직 (370) 을 정의한다. 입력 (A) 의 상태와 입력 (B) 의 상태가 모두 하이, 즉 논리 1 인 경우, 송신 게이트 (350) 및 패스 게이트 (360) 는 모두 디스에이블되고, 풀업 로직 (370) 은 출력 (Q) 의 상태를 제어하여, 출력 (Q) 의 상태가 로우, 즉 논리 0 이다. 입력들 (A 및 B) 중 어느 하나의 상태가 로우, 즉 논리 0인 경우, 풀업 로직 (370) 은 디스에이블된다.
XOR (300) 는,
● 입력 (B) 에 의해 제어되는 패스 게이트 (360) 를 통해 입력 (A) 의 상태의 버전을 출력 (Q) 로 통과시키거나,
● 입력 (A) 에 의해 제어되는 송신 게이트 (350) 을 통해 입력 (B) 의 상태의 버전을 출력 (Q) 로 통과시키거나, 또는
● 입력들 (A 및 B) 양자의 제어하에서 풀업 로직 (370) 을 통해 출력 (Q) 의 상태를 로우로 구동하는 것 중 어느 하나를 하도록 정의된다.
상기에 따라, XOR 로직 회로 (300) 는 제 1 입력 (A) 노드 (301), 제 2 입력 (B) 노드 (302), 및 출력 (Q) 노드 (307) 을 포함한다. 패스 게이트 (360) 는 제 2 입력 노드 (302) 에 존재하는 로직 상태에 의해 제어되도록 접속된다. 패스 게이트 (360) 는 제 2 입력 노드 (302) 에 존재하는 로직 상태에 의해 송신하도록 제어되는 경우 제 1 입력 노드 (301) 에 존재하는 로직 상태의 버전을 출력 노드 (307) 로 통과하도록 접속된다. 송신 게이트 (350) 는 제 1 입력 노드 (301) 에 존재하는 로직 상태에 의해 제어되도록 접속된다. 송신 게이트 (350) 는 제 1 입력 노드 (301) 에 존재하는 로직 상태에 의해 송신하도록 제어되는 경우 제 2 입력 노드 (302) 에 존재하는 로직 상태의 버전을 출력 노드 (307) 로 통과하도록 접속된다. 풀업 로직 (370) 은 제 1 입력 노드 (301) 에 존재하는 로직 상태와 제 2 입력 노드 (302) 에 존재하는 로직 상태 양자에 의해 제어되도록 접속된다. 풀업 로직 (370) 은 제 1 입력 노드 (301) 에 존재하는 로직 상태와 제 2 입력 노드 (302) 에 존재하는 로직 상태 모두가 하이인 경우 출력 노드 (307) 에 존재하는 상태를 로우로 구동하도록 접속된다.
도 3f는 본 발명의 일 실시형태에 따른 XOR (300) 의 레이아웃을 나타낸다. 일 실시형태에 있어서, XOR (300) 의 레이아웃은 본 명세서에 설명된 바와 같이, 제한된 게이트 레벨 레이아웃 아키텍쳐에 기초하여 정의된다. 인버터 (310) 는 단일 게이트 전극 트랙 (380) 을 따라 정의된 인접 게이트 전극 구조 (310G) 를 공유하는 PMOS 트랜지스터 (310P) 및 NMOS 트랜지스터 (310N) 에 의해 정의된다. 인버터 (311) 는 단일 게이트 전극 트랙 (384) 을 따라 정의된 인접 게이트 전극 구조 (311G) 를 공유하는 PMOS 트랜지스터 (311P) 및 NMOS 트랜지스터 (311N) 에 의해 정의된다. 인버터 (317) 는 단일 게이트 전극 트랙 (385) 을 따라 정의된 인접 게이트 전극 구조 (317G) 를 공유하는 PMOS 트랜지스터 (317P) 및 NMOS 트랜지스터 (317N) 에 의해 정의된다.
풀업 로직 (370) 의 PMOS 트랜지스터 (315) 와 패스 게이트 (360) 의 NMOS 트랜지스터 (312) 는 단일 게이트 전극 트랙 (381) 을 따라 정의된 인접 게이트 전극 구조 (381G) 를 공유한다. 풀업 로직 (370) 의 PMOS 트랜지스터 (316) 와 송신 게이트 (350) 의 NMOS 트랜지스터 (313) 는 단일 게이트 전극 트랙 (382) 을 따라 정의된 인접 게이트 전극 구조 (382G) 를 공유한다. 송신 게이트 (350) 의 PMOS 트랜지스터 (314) 는 단일 게이트 전극 트랙 (383) 을 따라 정의된다. 노드 (301 내지 307) 는 콘택들, 상호접속 구조들 (M1, M2) 및 비아들 (Via1) 의 다양한 조합에 의해 XOR (300) 레이아웃에 정의되어, 도 3a에 나타낸 바와 같이 다양한 트랜지스터들 사이에서 접속들을 이룬다.
제한된 게이트 전극 아키텍쳐에 따라 정의되는 경우, XOR (300) 의 레이아웃은 6개의 인접 게이트 전극 트랙들 (380 내지 385) 을 이용하여 정의된다. 일 실시형태에 있어서, 6개의 인접 게이트 전극 트랙들 (380 내지 385) 은 등간격으로 이격된다. 그러나, 다른 실시형태에 있어서, 상이한 수직 간격들이 6개의 인접 게이트 전극 트랙들 (380 내지 385) 을 분리하기 위해 사용될 수도 있다. 또한, 제한된 게이트 전극 아키텍쳐에 따라 정의되는 경우, XOR (300) 의 레이아웃은 게이트 전극 라인 단부들의 대향 배치를 요구하지 않음을 알아야 한다. 즉, XOR (300) 레이아웃 내에 임의의 소정의 게이트 전극 트랙을 따라 종단 배치된 게이트 전극 구조들이 존재하지 않는다. 이에 따라, 게이트 전극 피쳐들 사이의 종단 간격들을 제조하는 것과 관련된 리소그라피 어려움들이 회피된다.
또한, P형 확산 영역과 N형 확산 영역 사이에 소정의 게이트 전극 트랙을 따라 위치된 종단 게이트 전극 간격들도 존재하지 않기 때문에, 종단 게이트 전극 간격들의 배치/제조와 연관된 디자인 룰에 의해 좌우되게 되는 것처럼, P형 및 N형 확산 영역들 사이의 수직 레이아웃 공간이 최소 크기 요건과 부합하도록 강제되지 않는다. 이와 같이, 특정 실시형태에 있어서 원한다면, XOR (300) 레이아웃의 전체 셀 높이, 즉 VDD 와 GND 사이의 수직 거리는 서로 근접한 P형 및 N형 확산 영역들을 이격시킴으로써 감소될 수도 있다.
부가적으로, 도 3a 및 도 3f의 예시적인 실시형태들이 PMOS 트랜지스터 (315) 의 게이트가 제 2 입력 인버터 (311) 의 출력에 접속되도록, 그리고 PMOS 트랜지스터 (316) 의 게이트가 제 1 입력 인버터 (310) 의 출력에 접속되도록 정의된 풀업 로직 (370) 을 나타내더라도, PMOS 트랜지스터들 (315 및 316) 의 스택이 반전될 수 있음을 이해해야 한다. 특히, 일 실시형태에 있어서, 풀업 로직 (370) 은 PMOS 트랜지스터 (315) 의 게이트가 제 1 입력 인버터 (310) 의 출력에 접속되도록, 그리고 PMOS 트랜지스터 (316) 의 게이트가 제 2 입력 인버터 (311) 의 출력에 접속되도록 정의된다.
XNOR 회로 및 레이아웃 실시형태들
도 2a 는 본 발명의 일 실시형태에 따른 XNOR 로직 게이트 회로 (200) (이하, "XNOR (200)") 를 나타낸다. XNOR (200) 는 2 개의 입력들 (A 및 B) 과 하나의 출력 (Q) 을 포함한다. 입력 (A) 는 노드 (201) 에 제공된다. 입력 (B) 는 노드 (202) 에 제공된다. 출력 (Q) 는 노드 (207) 에 제공된다. 도 2b 내지 도 2e는 본 발명의 일 실시형태에 따른 XNOR (200) 에 대한 상태 테이블을 나타낸다. 도 2b 내지 도 2e에 나타낸 바와 같이, XNOR (200) 는 입력들 (A 및 B) 의 다양한 상태 조합에 대한 출력 (Q) 의 적절한 상태를 제공한다.
도 2a에 나타낸 바와 같이, 입력 (A) 를 수신하는 노드 (201) 는 인버터 (210) 의 입력 및 NMOS 트랜지스터 (214) 의 게이트 양자에 접속된다. 입력 (B) 를 수신하는 노드 (202) 는 인버터 (211) 의 입력에 접속된다. 인버터 (210) 의 출력은 노드 (203) 에 접속된다. 노드 (203) 는, 1) PMOS 트랜지스터 (212) 의 제 1 단자, 2) PMOS 트랜지스터 (213) 의 게이트, 및 3) NMOS 트랜지스터 (215) 의 게이트에 접속된다. 인버터 (211) 의 출력은 노드 (204) 에 접속된다. 노드 (204) 는 1) PMOS 트랜지스터 (212) 의 게이트, 2) NMOS 트랜지스터 (216) 의 게이트, 3) PMOS 트랜지스터 (213) 의 제 1 단자, 및 4) NMOS 트랜지스터 (214) 의 제 1 단자에 접속된다.
노드 (205) 는 각각, 1) PMOS 트랜지스터 (212) 의 제 2 단자, 2) PMOS 트랜지스터 (213) 의 제 2 단자, 3) NMOS 트랜지스터 (214) 의 제 2 단자, 및 4) NMOS 트랜지스터 (215) 의 제 2 단자에 접속된다. NMOS 트랜지스터 (216) 의 제 1 단자는 기준 접지 전위 (GND) 에 접속된다. NMOS 트랜지스터 (216) 의 제 2 단자는 노드 (206) 에 접속되고, 노드 (206) 은 NMOS 트랜지스터 (215) 의 제 1 단자에 접속된다. 노드 (205) 는 인버터 (217) 의 입력에 접속된다. 인버터 (217) 의 출력은 노드 (207) 에 접속되고, 노드 (207) 는 XNOR (200) 의 출력 (Q) 를 제공한다. 도 2b 내지 도 2e의 상태 테이블들은 입력들 (A 및 B) 에서 상이한 상태 조합들의 적용에 대한 XNOR (200) 의 다양한 노드들 (노드 (201) 부터 노드 (207)) 의 상이한 상태들을 나타낸다. 인버터들 (210, 211 및 217) 의 각각은 하나의 PMOS 트랜지스터 및 하나의 NMOS 트랜지스터를 포함한다. 이에 따라, XNOR (200) 는 총 5개의 PMOS 트랜지스터들과 6개의 NMOS 트랜지스터들을 포함한다.
도 2b 내지 도 2e에 도시된 바와 같이, 2 입력 XNOR (200) 는 입력들 (A 및 B) 의 4개의 독특한 조합들을 프로세싱하도록 정의된다. 특히, PMOS 트랜지스터 (213) 및 NMOS 트랜지스터 (214) 는 함께 입력 (A) 에 의해 제어되는 송신 게이트 (250) 를 정의한다. 입력 (A) 의 상태가 하이, 즉 로직 1인 경우, 송신 게이트 (250) 는 출력 (Q) 의 상태 제어에 기여하여, 출력 (Q) 의 상태를 입력 (B) 의 상태와 매칭시킨다. PMOS 트랜지스터 (212) 는 입력 (B) 에 의해 제어되는 패스 게이트 (260) 를 정의한다. 입력 (B) 의 상태가 하이, 즉 로직 1인 경우, 패스 게이트 (260) 는 출력 (Q) 의 상태 제어에 기여하여, 출력 (Q) 의 상태를 입력 (A) 의 상태와 매칭시킨다.
NMOS 트랜지스터들 (215 및 216) 은 함께 입력들 (A 및 B) 모두에 의해 제어되는 풀다운 로직 (270) 을 정의한다. 입력 (A) 의 상태와 입력 (B) 의 상태가 모두 로우, 즉 로직 0 인 경우, 송신 게이트 (250) 및 패스 게이트 (260) 모두가 디스에이블되고, 풀다운 로직 (270) 은 출력 (Q) 의 상태를 제어하여, 출력 (Q) 의 상태가 하이, 즉 로직 1 이다. 입력들 (A 및 B) 중 어느 하나의 상태가 하이, 즉 로직 1인 경우, 풀다운 로직 (270) 이 디스에이블된다.
상기에 기초하여, XNOR (200) 는,
● 입력 (B) 에 의해 제어되는 패스 게이트 (260) 를 통해 입력 (A) 의 상태의 버전을 출력 (Q) 로 통과시키거나,
● 입력 (A) 에 의해 제어되는 송신 게이트 (250) 을 통해 입력 (B) 의 상태의 버전을 출력 (Q) 로 통과시키거나, 또는
● 입력들 (A 및 B) 양자의 제어하에서 풀다운 로직 (270) 을 통해 출력 (Q) 의 상태를 하이로 구동하는 것 중 어느 하나를 하도록 정의된다.
상기에 따라, XNOR 로직 회로 (200) 는 제 1 입력 (A) 노드 (201), 제 2 입력 (B) 노드 (202), 및 출력 (Q) 노드 (207) 를 포함한다. 패스 게이트 (260) 는 제 2 입력 노드 (202) 에 존재하는 로직 상태에 의해 제어되도록 접속된다. 패스 게이트 (260) 는 제 2 입력 노드 (202) 에 존재하는 로직 상태에 의해 송신하도록 제어되는 경우 제 1 입력 노드 (201) 에 존재하는 로직 상태의 버전을 출력 노드 (207) 로 통과하도록 접속된다. 송신 게이트 (250) 는 제 1 입력 노드 (201) 에 존재하는 로직 상태에 의해 제어되도록 접속된다. 송신 게이트 (250) 는 제 1 입력 노드 (201) 에 존재하는 로직 상태에 의해 송신하도록 제어되는 경우 제 2 입력 노드 (202) 에 존재하는 로직 상태의 버전을 출력 노드 (207) 로 통과하도록 접속된다. 풀다운 로직 (270) 은 제 1 입력 노드 (201) 에 존재하는 로직 상태와 제 2 입력 노드 (202) 에 존재하는 로직 상태 양자에 의해 제어되도록 접속된다. 풀다운 로직 (270) 은 제 1 입력 노드 (201) 에 존재하는 로직 상태와 제 2 입력 노드 (202) 에 존재하는 로직 상태 모두가 로우인 경우 출력 노드 (207) 에 존재하는 상태를 하이로 구동하도록 접속된다.
도 2f는 본 발명의 일 실시형태에 따른 XNOR (200) 의 레이아웃을 나타낸다. 일 실시형태에 있어서, XNOR (200) 의 레이아웃은 본 명세서에 설명된 바와 같이, 제한된 게이트 레벨 레이아웃 아키텍쳐에 기초하여 정의된다. 인버터 (210) 는 단일 게이트 전극 트랙 (280) 을 따라 정의된 인접 게이트 전극 구조 (210G) 를 공유하는 PMOS 트랜지스터 (210P) 및 NMOS 트랜지스터 (210N) 에 의해 정의된다. 인버터 (211) 는 단일 게이트 전극 트랙 (284) 을 따라 정의된 인접 게이트 전극 구조 (211G) 를 공유하는 PMOS 트랜지스터 (211P) 및 NMOS 트랜지스터 (211N) 에 의해 정의된다. 인버터 (217) 는 단일 게이트 전극 트랙 (285) 을 따라 정의된 인접 게이트 전극 구조 (217G) 를 공유하는 PMOS 트랜지스터 (217P) 및 NMOS 트랜지스터 (217N) 에 의해 정의된다.
풀다운 로직 (270) 의 NMOS 트랜지스터 (216) 와 패스 게이트 (260) 의 PMOS 트랜지스터 (212) 는 단일 게이트 전극 트랙 (281) 을 따라 정의된 인접 게이트 전극 구조 (281G) 를 공유한다. 풀다운 로직 (270) 의 NMOS 트랜지스터 (215) 와 송신 게이트 (250) 의 PMOS 트랜지스터 (213) 는 단일 게이트 전극 트랙 (282) 을 따라 정의된 인접 게이트 전극 구조 (282G) 를 공유한다. 송신 게이트 (250) 의 NMOS 트랜지스터 (214) 는 단일 게이트 전극 트랙 (283) 을 따라 정의된다. 노드 (201 내지 207) 는 콘택들, 상호접속 구조들 (M1, M2) 및 비아들 (Via1) 의 각종 조합에 의해 XNOR (300) 레이아웃에 정의되어, 도 2a에 나타낸 바와 같이 다양한 트랜지스터들 사이에서 접속들을 이룬다.
제한된 게이트 전극 아키텍쳐에 따라 정의되는 경우, XNOR (200) 의 레이아웃은 6 개의 인접 게이트 전극 트랙들 (280 내지 285) 을 이용하여 정의된다. 일 실시형태에 있어서, 6 개의 인접 게이트 전극 트랙들 (280 내지 285) 은 등간격으로 이격된다. 그러나, 다른 실시형태에 있어서, 상이한 수직 간격들이 6개의 인접 게이트 전극 트랙들 (280 내지 285) 을 분리하기 위해 사용될 수 있다. 또한, 제한된 게이트 전극 아키텍쳐에 따라 정의되는 경우, XNOR (200) 의 레이아웃은 게이트 전극 라인 단부들의 대향 배치를 요구하지 않음을 알아야 한다. , XNOR (200) 레이아웃 내에 임의의 소정의 게이트 전극 트랙을 따라 종단 배치된 게이트 전극 구조들이 존재하지 않는다. 이에 따라, 게이트 전극 피쳐들 사이의 종단 간격들을 제조하는 것과 관련된 리소그라피 어려움들이 회피된다.
또한, P형 확산 영역과 N형 확산 영역 사이에 소정의 게이트 전극 트랙을 따라 위치된 종단 게이트 전극 간격들도 존재하지 않기 때문에, 종단 게이트 전극 간격들의 배치/제조와 연관된 디자인 룰에 의해 좌우되게 되는 것처럼, P형 및 N형 확산 영역들 사이의 수직 레이아웃 공간이 최소 크기 요건과 부합하도록 강제되지 않는다. 이와 같이, 특정 실시형태에 있어서 원한다면, XNOR (200) 레이아웃의 전체 셀 높이, 즉 VDD 와 GND 사이의 수직 거리는 서로 근접한 P형 및 N형 확산 영역들을 이격시킴으로써 감소될 수도 있다.
본 명세서에서 설명된 바와 같이 XOR (300) 회로 및 관련 레이아웃은 출력 인버터 (317) 를 제거함으로써 XNOR 회로 및 관련 레이아웃으로 변환될 수 있음을 이해해야 한다. 이러한 변환된 구성에 있어서, 출력 노드 (307) 는 노드 (305) 와 동등하게 되고, 출력 (Q) 와 입력들 (A 및 B) 사이의 관계는 XNOR (200) 에 대한 도 2b 내지 도 2e의 상태 테이블들에 나타낸 것과 동일하다.
본 명세서에서 설명된 바와 같이 XNOR (200) 회로 및 관련 레이아웃은 출력 인버터 (217) 를 제거함으로서 XOR 회로 및 관련 레이아웃으로 변환될 수 있음을 이해해야 한다. 이러한 변환된 구성에 있어서, 출력 노드 (207) 는 노드 (205) 와 동등하게 되고, 출력 (Q) 및 입력들 (A 및 B) 사이의 관계는 XOR (300) 에 대한 도 3b 내지 도 3e의 상태 테이블들에 나타낸 것과 동일하다.
부가적으로, 도 2a 및 도 2f의 예시적인 실시형태들이 NMOS 트랜지스터 (216) 의 게이트가 제 2 입력 인버터 (211) 의 출력에 접속되도록, 그리고 NMOS 트랜지스터 (215) 의 게이트가 제 1 입력 인버터 (210) 의 출력에 접속되도록 정의된 풀다운 로직 (270) 을 나타내더라도, NMOS 트랜지스터들 (216 및 215) 의 스택이 반전될 수 있음을 이해해야 한다. 특히, 일 실시형태에 있어서, 풀다운 로직 (270) 은 NMOS 트랜지스터 (216) 의 게이트가 제 1 입력 인버터 (210) 의 출력에 접속되도록, 그리고 NMOS 트랜지스터 (215) 의 게이트가 제 2 입력 인버터 (211) 의 출력에 접속되도록 정의된다.
제한된 게이트 레벨 레이아웃 아키텍쳐
상술한 바와 같이, 본 발명의 XOR (300) 및 XNOR (200) 회로들은 반도체 칩의 일 부분 내에서 제한된 게이트 레벨 레이아웃 아키텍쳐로 구현될 수 있다. 게이트 레벨에 대하여, 다수의 평행 가상 라인들이 레이아웃을 가로질러 연장하도록 정의된다. 이들 평행 가상 라인들은 레이아웃 내의 다양한 트랜지스터들의 게이트 전극들의 배치를 인덱싱하는데 사용되는 게이트 전극 트랙들로서 지칭된다. 일 실시형태에 있어서, 게이트 전극 트랙들을 형성하는 평행 가상 라인들은 특정된 게이트 전극 피치와 동등한 그들 사이의 수직 간격에 의해 정의된다. 이에 따라, 게이트 전극 트랙들 상의 게이트 전극 세그먼트들의 배치가 특정된 게이트 전극 피치에 상응한다. 다른 실시형태에 있어서, 게이트 전극 트랙들은 특정된 게이트 전극 피치 이상의 가변 피치들로 이격된다.
도 4a는 본 발명의 일 실시형태에 따라 제한된 게이트 레벨 레이아웃 아키텍쳐 내에 정의된 게이트 전극 트랙들 (401A 내지 401E) 의 일 예를 나타낸다. 게이트 전극 트랙들 (401A 내지 401E) 은 칩의 게이트 레벨 레이아웃을 가로질러 연장하는 평행 가상 라인들에 의해 형성되고, 그 사이의 수직 간격은 특정된 게이트 전극 피치 (407) 와 동등하다. 예시의 목적들을 위해, 상보형 확산 영역들 (403 및 405) 이 도 4a에 도시된다. 확산 영역들 (403 및 405) 은 게이트 레벨 아래의 확산 레벨에 정의된다. 또한, 확산 영역들 (403 및 405) 은 예시로서 제공되며 제한된 게이트 레벨 레이아웃 아키텍쳐에 대해 확산 레벨 내에서 확산 영역 크기, 형상, 및/또는 배치에 대해 어떠한 제한도 나타내는 방식이 아님을 이해해야 한다.
제한된 게이트 레벨 레이아웃 아키텍쳐 내에서, 게이트 레벨 피쳐 레이아웃 채널은 소정의 게이트 전극 트랙에 인접한 게이트 전극 트랙들 사이로 연장하도록 소정의 게이트 전극 트랙에 관해 정의된다. 예를 들어, 게이트 레벨 피쳐 레이아웃 채널들 (401A-1 내지 401E-1) 은 게이트 전극 트랙들 (401A 내지 401E) 에 관해 각각 정의된다. 각각의 게이트 전극 트랙은 상응하는 게이트 레벨 피쳐 레이아웃 채널을 가짐을 이해해야 한다. 또한, 미리 정해진 레이아웃 공간의 에지에 인접하여, 예를 들어 셀 바운더리에 인접하여 위치된 게이트 전극 트랙들에 대해, 상응하는 게이트 레벨 피쳐 레이아웃 채널은 게이트 레벨 피쳐 레이아웃 채널들 (401A-1 및 401E-1) 에 의해 도시된 바와 같이 미리 정해진 레이아웃 공간의 외측에 가상 게이트 전극 트랙이 있는 것처럼 연장한다. 각각의 게이트 레벨 피쳐 레이아웃 채널은 그의 상응하는 게이트 전극 트랙의 전체 길이를 따라 연장하도록 정의됨을 또한 이해해야 한다. 이로써, 각각의 게이트 레벨 피쳐 레이아웃 채널은 게이트 레벨 레이아웃이 연관되는 칩의 일 부분 내에서 게이트 레벨 레이아웃을 가로질러 연장하도록 정의된다.
제한된 게이트 레벨 레이아웃 아키텍쳐 내에서, 소정의 게이트 전극 트랙과 연관된 게이트 레벨 피쳐들이 소정의 게이트 전극 트랙과 연관된 게이트 레벨 피쳐 레이아웃 채널 내에 정의된다. 인접 게이트 레벨 피쳐는 트랜지스터의 게이트 전극을 정의하는 부분과, 트랜지스터의 게이트 전극을 정의하지 않는 부분을 모두 포함할 수 있다. 이로써, 인접 게이트 레벨 피쳐는 하부의 칩 레벨의 유전체 영역과 확산 영역 모두에 걸쳐 연장할 수 있다.
일 실시형태에 있어서, 트랜지스터의 게이트 전극을 형성하는 게이트 레벨 피쳐의 각 부분은 소정의 게이트 전극 트랙 상에 실질적으로 집중되도록 위치된다. 또한, 본 실시형태에 있어서, 트랜지스터의 게이트 전극을 형성하지 않는 게이트 레벨 피쳐의 부분들이 소정의 게이트 전극 트랙과 연관된 게이트 레벨 피쳐 레이아웃 채널 내에 위치될 수 있다. 이에 따라, 소정의 게이트 레벨 피쳐는, 소정의 게이트 레벨 피쳐의 게이트 전극 부분들이 소정의 게이트 레벨 피쳐 레이아웃 채널에 상응하는 게이트 전극 트랙 상에 집중되는 한, 그리고 소정의 게이트 레벨 피쳐가 인접 게이트 레벨 레이아웃 채널 내의 다른 게이트 레벨 피쳐들에 대한 디자인룰 스페이싱 요건들과 부합하는 한, 소정의 게이트 레벨 피쳐 레이아웃 채널 내에서 본질적으로 어디에든 정의될 수 있다. 부가적으로, 인접 게이트 전극 트랙들과 연관된 게이트 레벨 피쳐 레이아웃 채널들 내에 정의된 게이트 레벨 피쳐들 사이에서 물리적 콘택이 억제된다.
도 4b는 본 발명의 일 실시형태에 따라 내부에 정의된 다수의 예시적인 게이트 레벨 피쳐들 (409 내지 423) 을 갖는 도 4a의 예시적인 제한된 게이트 레벨 레이아웃 아키텍쳐를 나타낸다. 게이트 레벨 피쳐 (409) 는 게이트 전극 트랙 (401A) 과 연관된 게이트 레벨 피쳐 레이아웃 채널 (401A-1) 내에 정의된다. 게이트 레벨 피쳐 (409) 의 게이트 전극 부분들은 게이트 전극 트랙 (401A) 상에 실질적으로 집중된다. 또한, 게이트 레벨 피쳐 (409) 의 비 게이트 전극 부분들은 인접한 게이트 레벨 피쳐 레이아웃 채널 (410B-1) 내에 정의된 게이트 레벨 피쳐들 (411 및 413) 을 갖는 디자인 룰 스페이싱 요건들을 유지한다. 유사하게, 게이트 레벨 피쳐들 (411 내지 423) 은 그 각각의 게이트 레벨 피쳐 레이아웃 채널 내에 정의되고, 그 각각의 게이트 레벨 피쳐 레이아웃 채널에 상응하는 게이트 전극 트랙 상에 실질적으로 집중되는 그 게이트 전극 부분들을 갖는다. 또한, 게이트 레벨 피쳐들 (411 내지 423) 의 각각은 인접한 게이트 레벨 피쳐 레이아웃 채널들 내에 정의된 게이트 레벨 피쳐들을 갖는 디자인룰 스페이싱 요건들을 유지하며, 인접한 게이트 레벨 피쳐 레이아웃 채널들 내에 정의된 임의의 다른 게이트 레벨 피쳐와의 물리적 콘택을 회피한다.
게이트 전극은 확산 영역에 걸쳐 연장하는 각각의 게이트 레벨 피쳐의 일부분과 상응하며, 그 각각의 게이트 레벨 피쳐는 게이트 레벨 피쳐 레이아웃 채널 내에 전부 정의된다. 각각의 게이트 레벨 피쳐는 서로 접한 게이트 레벨 피쳐 레이아웃 채널 내에 정의된 다른 게이트 레벨 피쳐와 물리적으로 콘택하지 않으면서 그 게이트 레벨 피쳐 레이아웃 채널 내에 정의된다. 도 4b의 예시적인 게이트 레벨 피쳐 레이아웃 채널들 (401A-1 내지 401E-1) 에 의해 도시된 바와 같이, 각각의 게이트 레벨 피쳐 레이아웃 채널은 소정의 게이트 전극 트랙과 연관되며, 소정의 게이트 전극 트랙을 따라서 그리고 소정의 게이트 전극 트랙으로부터 레이아웃 바운더리 외측의 가상 게이트 전극 트랙 또는 인접 게이트 전극 트랙 중 가장 근접한 어느 하나의 게이트 트랙까지 각각 반대 방향에서 수직 외방으로 연장하는 레이아웃 영역에 상응한다.
일부 게이트 레벨 피쳐들은 그 길이를 따라 임의의 수의 위치들에 정의된 하나 이상의 콘택 헤드 부분들을 가질 수도 있다. 소정의 게이트 레벨 피쳐의 콘택 헤드 부분은 게이트 콘택 구조를 수용하기에 충분한 크기의 높이 및 폭을 갖는 게이트 레벨 피쳐의 세그먼트로서 정의되며, 여기서 "폭" 은 소정의 게이트 레벨 피쳐의 게이트 전극 트랙에 수직인 방향으로 기판을 가로질러 정의되며, "높이" 는 소정의 게이트 레벨 피쳐의 게이트 전극 트랙에 평행한 방향으로 기판을 가로질러 정의된다. 위에서 보는 경우, 게이트 레벨 피쳐의 콘택 헤드는 본질적으로 정사각형 또는 직사각형을 포함하는 임의의 레이아웃 형상에 의해 정의될 수 있음을 알아야 한다. 또한, 레이아웃 요건들 및 회로 디자인에 의존하여, 게이트 레벨 피쳐의 소정의 콘택 헤드 부분은 그 위에 정의된 게이트 콘택을 가질 수도 있고 갖지 않을 수도 있다.
상술한 바와 같이, 본 명세서에 개시된 다양한 실시형태들의 게이트 레벨은 제한된 게이트 레벨로서 정의된다. 일부 게이트 레벨 피쳐들은 트랜지스터 디바이스들의 게이트 전극들을 형성한다. 다른 게이트 레벨 피쳐들은 게이트 레벨 내의 두 지점 사이에서 연장하는 도전성 세그먼트들을 형성할 수 있다. 또한, 다른 게이트 레벨 피쳐들은 집적 회로 작동에 대해 비 기능적일 수도 있다. 기능에 관계없이, 게이트 레벨 피쳐들의 각각은 인접한 게이트 레벨 피쳐 레이아웃 채널들과 정의된 다른 게이트 레벨 피쳐들을 물리적으로 콘택하지 않으면서 그 각각의 게이트 레벨 피쳐 레이아웃 채널들 내에서 게이트 레벨을 가로질러 연장하도록 정의된다.
일 실시형태에 있어서, 게이트 레벨 피쳐들은 제조 공정 및 디자인 공정에 대해 최적화되고 정확하게 예측될 수 있는 유한개의 제어된 레이아웃 형상 대 형상 리소그라피 상호작용을 제공하도록 정의된다. 본 실시형태에 있어서, 게이트 레벨 피쳐들은 높은 확률로 경감되고 정확하게 예측될 수 없는 레이아웃 내에 불리한 리소그라피 상호작용을 도입하게 되는 레이아웃 형상 대 형상 공간적 관계들을 회피하도록 정의된다. 그러나, 그 게이트 레벨 레이아웃 채널들 내에 게이트 레벨 피쳐들의 방향에서의 변화들은 대응하는 리소그라피 상호작용들이 예측가능하고 관리가능한 경우 수용될 수 있음을 이해해야 한다.
게이트 레벨 피쳐들의 각각은, 기능에 관계없이, 소정의 게이트 전극 트랙을 따라 어떠한 게이트 레벨 피쳐도 비 게이트 레벨 피쳐를 사용하지 않으면서 게이트 레벨 내에서 상이한 게이트 전극 트랙을 따라 정의된 다른 게이트 레벨 피쳐에 직접 접속하도록 구성되지 않도록 정의된다는 것을 이해하여야 한다. 또한, 상이한 게이트 전극 트랙들과 연관된 상이한 게이트 레벨 레이아웃 채널들 내에 배치된 게이트 레벨 피쳐들 사이의 각 접속은 하나 이상의 비 게이트 레벨 피쳐들을 통해 이루어지며, 이는 더 높은 상호 접속 레벨들, 즉 게이트 레벨 위의 하나 이상의 상호접속을 통해 또는 게이트 레벨에서 또는 게이트 레벨 아래에서 국부적 상호 접속 피쳐들을 통해 정의될 수도 있다.
본 명세서에 개시된 바와 같은 XOR (300) 및 XNOR (200) 회로들과 레이아웃들은 컴퓨터 판독 가능 매체 상의 디지털 포맷과 같은 유형의 형태에 저장될 수 있다. 예를 들어, 본 명세서에 개시된 바와 같은 XOR (300) 및/또는 XNOR (200) 회로들의 레이아웃들은 하나 이상의 셀들의 라이브러리로부터 선택가능한 하나 이상의 셀들로서 레이아웃 데이터 파일에 저장될 수 있다. 레이아웃 데이터 파일은 GDS II (Graphic Data System) 데이터베이스 파일, OASIS (Open Artwork System Interchange Standard) 데이터 베이스 파일, 또는 반도체 디바이스 레이아웃들을 저장하고 이 반도체 디바이스 레이아웃들과 통신하기에 적합한 임의의 다른 종류의 데이터 파일 포맷으로서 포맷될 수 있다. 또한, XOR (300) 및/또는 XNOR (200) 회로들의 멀티 레벨 레이아웃들은 대형 반도체 디바이스의 멀티 레벨 레이아웃 내에 포함될 수 있다. 또한, 대형 반도체 디바이스의 멀티 레벨 레이아웃은 상기 식별된 것들과 같은 레이아웃 데이터 파일의 형태로 저장될 수 있다.
또한, 본 명세서에 설명된 발명은 컴퓨터 판독가능 매체 상의 컴퓨터 판독가능 코드로서 구현될 수 있다. 예를 들어, 컴퓨터 판독가능 코드는 내부에 XOR (300) 및/또는 XNOR (200) 회로 레이아웃들이 저장되는 레이아웃 데이터 파일을 포함할 수 있다. 컴퓨터 판독 가능 코드는 또한 XOR (300) 및/또는 XNOR (200) 회로 레이아웃들을 포함하는 하나 이상의 레이아웃 라이브러리들 및/또는 셀들을 선택하기 위한 프로그램 명령들을 포함할 수 있다. 또한, 레이아웃 라이브러리들 및/또는 셀들은 컴퓨터 판독가능 매체 상의 디지털 포맷 내에 저장될 수 있다.
본 명세서에서 언급된 컴퓨터 판독가능 매체는 이후 컴퓨터 시스템에 의해 판독될 수 있는 데이터를 저장할 수 있는 임의의 데이터 저장 디바이스이다. 컴퓨터 판독가능 매체의 예들은 하드 드라이브, NAS (network attached storage), ROM (read-only memory), RAM (random-access memory), CD-ROM, CD-R, CD-RW, 자기 테이프, 및 다른 광학 및 비광학 데이터 저장 디바이스를 포함한다. 또한, 컴퓨터 판독가능 매체는 컴퓨터 판독가능 코드가 분산 방식으로 실행되고 저장되도록 커플링된 컴퓨터 시스템들의 네트워크를 통해 분산될 수 있다.
본 발명의 일부를 형성하는 본 명세서에서 설명된 임의의 동작들은 유용한 머신 동작들이다. 또한, 본 발명은 이들 동작들을 수행하기 위한 디바이스 또는 장치와 관련된다. 장치는 특히 특수 목적 컴퓨터와 같은 필요한 목적을 위해 구성될 수도 있다. 특수 목적 컴퓨터로서 정의되는 경우, 컴퓨터는 또한 특수 목적을 위해 여전히 동작할 수 있으면서 특수 목적의 부분이 아닌 다른 프로세싱, 프로그램 실행 또는 루틴들을 수행할 수 있다. 대안적으로, 동작들은 네트워크를 통해 획득되거나 컴퓨터 메모리, 캐시에 저장된 하나 이상의 컴퓨터 프로그램들에 의해 선택적으로 활성화되거나 구성되는 범용 컴퓨터에 의해 프로세싱될 수도 있다. 네트워크를 통해 데이터가 획득되는 경우 데이터는 아마도 네트워크 상의 다른 컴퓨터들, 예를 들어 컴퓨팅 리소스들의 클라우드에 의해 프로세싱된다.
또한, 본 발명의 실시형태들은 일 상태에서 다른 상태로 데이터를 변형하는 머신으로서 정의될 수 있다. 데이터는 전자 신호로서 표현될 수 있고 데이터를 전자적으로 조종할 수 있는 아티클을 나타낼 수도 있다. 어떤 경우, 변형된 데이터는 데이터의 변형을 유발하는 물리적 오브젝트를 나타내는 디스플레이 상에 시각적으로 표시될 수 있다. 변형된 데이터는 물리적이고 유형의 오브젝트의 구성 또는 묘사를 가능하게 하는 일반적인 포맷 또는 특정 포맷을 저장하도록 세이브될 수 있다. 일부 실시형태에 있어서, 조종은 프로세서에 의해 수행될 수 있다. 이러한 예에 있어서, 이처럼 프로세서는 하나의 것으로부터 다른 것으로 데이터를 변형시킨다. 또한, 그 방법들은 네트워크를 통해 접속될 수 있는 하나 이상의 머신들 또는 프로세서들에 의해 프로세싱될 수 있다. 각 머신은 하나의 상태 또는 하나의 것으로부터 다른 것으로 데이터를 변형시킬 수 있고, 또한 데이터를 프로세스, 저장소에 데이터를 세이브, 네트워크를 통한 데이터 송신, 그 결과를 디스플레이 또는 그 결과를 다른 머신에 통신할 수 있다.
본 명세서에 개시된 XOR (300) 및 XNOR (200) 회로들 및 레이아웃들은 반도체 디바이스 또는 칩의 일부로서 제조될 수 있음을 또한 이해해야 한다. 집적 회로들, 메모리 셀들 등과 같은 반도체 디바이스들의 제조에 있어서, 일련의 제조 동작들은 반도체 웨이퍼 상에 피쳐들을 정의하기 위해 수행된다. 웨이퍼는 실리콘 기판 상에 정의된 멀티 레벨 구조물들의 형태로 집적된 회로 디바이스들을 포함한다. 기판 레벨에서, 확산 영역들을 갖는 트랜지스터 디바이스들이 형성된다. 후속 레벨들에 있어서, 상호접속 금속화 라인들이 패터닝되고 트랜지스터 디바이스들에 전기적으로 접속되어 원하는 집적 회로 디바이스를 정의한다. 또한, 패터닝된 도전층들은 유전체 재료들에 의해 다른 도전층들과 절연된다.
본 발명은 몇몇 실시형태들에 의해 설명되었지만, 상기 상세들을 읽고 도면들을 연구하면 당업자가 본 발명의 다양한 변형물, 부가물, 치환물 및 등가물들을 실현하게 된다. 이에 따라, 본 발명은 본 발명의 진정한 사상 및 범위 내에 포함되는 모든 이러한 변형물, 부가물, 치환물 및 등가물들을 포함하려는 것으로 의도된다.

Claims (25)

  1. 6 개의 PMOS 트랜지스터들; 및
    5 개의 NMOS 트랜지스터들을 포함하고,
    상기 6 개의 PMOS 트랜지스터들 중 다섯과 상기 5 개의 NMOS 트랜지스터들은 5 개의 선형-모양의 도전성 구조들로부터 집단적으로 형성된 게이트 전극들을 갖고, 상기 6 개의 PMOS 트랜지스터들 중 하나는 제 6 선형-모양의 도전성 구조로부터 형성된 게이트 전극을 갖는, 배타적 오아 회로.
  2. 제 1 항에 있어서,
    상기 5 개의 선형-모양의 도전성 구조들 중 셋은 게이트 피치를 따라 나란히 위치되는, 배타적 오아 회로.
  3. 제 2 항에 있어서,
    상기 5 개의 선형-모양의 도전성 구조들 중 둘은 상기 게이트 피치를 따라 나란히 위치되는, 배타적 오아 회로.
  4. 제 3 항에 있어서,
    상기 제 6 선형-모양의 도전성 구조는 하나의 게이트 전극만을 형성하는, 배타적 오아 회로.
  5. 제 4 항에 있어서,
    상기 제 6 선형-모양의 도전성 구조는, 상기 게이트 피치를 따라 나란히 위치된 상기 5 개의 선형-모양의 도전성 구조들 중 상기 셋 및 상기 게이트 피치를 따라 나란히 위치된 상기 5 개의 선형-모양의 도전성 구조들 중 상기 둘 사이의 위치에 위치되는, 배타적 오아 회로.
  6. 제 5 항에 있어서,
    제 1 PMOS 트랜지스터 및 제 1 NMOS 트랜지스터는 상기 5 개의 선형-모양의 도전성 구조들 중 제 1 선형-모양의 도전성 구조로부터 형성된 각각의 게이트 전극들을 갖고, 상기 제 1 PMOS 트랜지스터와 상기 제 1 NMOS 트랜지스터는 함께 제 1 입력 인버터를 형성하는, 배타적 오아 회로.
  7. 제 6 항에 있어서,
    제 2 PMOS 트랜지스터 및 제 2 NMOS 트랜지스터는 상기 5 개의 선형-모양의 도전성 구조들 중 제 2 선형-모양의 도전성 구조로부터 형성된 각각의 게이트 전극들을 갖고, 상기 제 2 PMOS 트랜지스터와 상기 제 2 NMOS 트랜지스터는 함께 제 2 입력 인버터를 형성하는, 배타적 오아 회로.
  8. 제 7 항에 있어서,
    제 3 PMOS 트랜지스터 및 제 3 NMOS 트랜지스터는 상기 5 개의 선형-모양의 도전성 구조들 중 제 3 선형-모양의 도전성 구조로부터 형성된 각각의 게이트 전극들을 갖고, 상기 제 3 PMOS 트랜지스터와 상기 제 3 NMOS 트랜지스터는 함께 출력 인버터를 형성하는, 배타적 오아 회로.
  9. 제 8 항에 있어서,
    상기 제 1 입력 인버터의 출력은 제 4 NMOS 트랜지스터의 게이트 전극과 제 4 PMOS 트랜지스터의 게이트 전극의 양자에 연결되는, 배타적 오아 회로
  10. 제 9 항에 있어서,
    상기 제 4 PMOS 트랜지스터의 상기 게이트 전극 및 상기 제 4 NMOS 트랜지스터의 상기 게이트 전극 양자는 상기 5 개의 선형-모양의 도전성 구조들 중 제 4 선형-모양의 도전성 구조로부터 형성되는, 배타적 오아 회로.
  11. 제 10 항에 있어서,
    상기 제 1 입력 인버터의 상기 출력은 제 5 NMOS 트랜지스터의 제 1 확산 영역에 연결되는, 배타적 오아 회로.
  12. 제 11 항에 있어서,
    상기 제 5 NMOS 트랜지스터는 상기 5 개의 선형-모양의 도전성 구조들 중 제 5 선형-모양의 도전성 구조로부터 형성된 게이트 전극을 갖는, 배타적 오아 회로.
  13. 제 12 항에 있어서,
    상기 제 1 입력 인버터의 상기 출력은 상기 제 4 NMOS 트랜지스터와 상기 제 4 PMOS 트랜지스터의 상기 게이트 전극들을 형성하는 상기 제 4 선형-모양의 도전성 구조에 연결되는, 배타적 오아 회로.
  14. 제 13 항에 있어서,
    상기 제 2 입력 인버터의 출력은 상기 제 4 NMOS 트랜지스터의 제 1 확산 영역에 연결되는, 배타적 오아 회로.
  15. 제 14 항에 있어서,
    상기 제 2 입력 인버터의 상기 출력은 제 6 PMOS 트랜지스터의 제 1 확산 영역에 연결되는, 배타적 오아 회로.
  16. 제 15 항에 있어서,
    상기 제 2 입력 인버터의 상기 출력은 제 5 PMOS 트랜지스터의 게이트 전극에 연결되는, 배타적 오아 회로.
  17. 제 16 항에 있어서,
    상기 제 5 PMOS 트랜지스터의 상기 게이트 전극은 상기 5 개의 선형-모양의 도전성 구조들 중 상기 제 5 선형-모양의 도전성 구조로부터 형성된, 배타적 오아 회로.
  18. 제 17 항에 있어서,
    상기 제 6 PMOS 트랜지스터는 상기 제 6 선형-모양의 도전성 구조로부터 형성된 게이트 전극을 갖는, 배타적 오아 회로.
  19. 제 18 항에 있어서,
    상기 제 5 PMOS 트랜지스터는 전원에 연결된 제 1 확산 영역을 갖고, 상기 제 5 PMOS 트랜지스터는 상기 제 4 PMOS 트랜지스터의 제 1 확산 영역에 연결된 제 2 확산 영역을 갖고, 상기 제 4 PMOS 트랜지스터는 상기 출력 인버터의 입력에 연결된 제 2 확산 영역을 갖는, 배타적 오아 회로.
  20. 제 19 항에 있어서,
    상기 제 4 NMOS 트랜지스터는 상기 출력 인버터의 상기 입력에 연결된 제 2 확산 영역을 갖고, 상기 제 5 NMOS 트랜지스터는 상기 출력 인버터의 상기 입력에 연결된 제 2 확산 영역을 갖고, 상기 제 6 PMOS 트랜지스터는 상기 출력 인버터의 상기 입력에 연결된 제 2 확산 영역을 갖는, 배타적 오아 회로.
  21. 제 20 항에 있어서,
    상기 제 6 PMOS 트랜지스터의 상기 게이트 전극은 상기 제 1 입력 인버터의 입력에 연결되는, 배타적 오아 회로.
  22. 제 21 항에 있어서,
    상기 제 4 PMOS 트랜지스터의 상기 제 2 확산 영역과 상기 제 6 PMOS 트랜지스터의 상기 제 2 확산 영역은 공유된 확산 영역인, 배타적 오아 회로.
  23. 제 22 항에 있어서,
    상기 제 4 NMOS 트랜지스터의 상기 제 2 확산 영역과 상기 제 5 NMOS 트랜지스터의 상기 제 2 확산 영역은 공유된 확산 영역인, 배타적 오아 회로.
  24. 제 23 항에 있어서,
    상기 제 4 NMOS 트랜지스터의 상기 제 1 확산 영역은 공유된 확산 영역이 아닌, 배타적 오아 회로.
  25. 제 24 항에 있어서,
    상기 제 5 PMOS 트랜지스터의 상기 제 2 확산 영역과 상기 제 4 PMOS 트랜지스터의 상기 제 1 확산 영역은 공유된 확산 영역인, 배타적 오아 회로.
KR1020177037756A 2009-04-30 2010-04-19 Xor 및 xnor 로직을 위한 회로 및 레이아웃 KR101898410B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US17440809P 2009-04-30 2009-04-30
US61/174,408 2009-04-30
US12/435,672 US8653857B2 (en) 2006-03-09 2009-05-05 Circuitry and layouts for XOR and XNOR logic
US12/435,672 2009-05-05
PCT/US2010/031650 WO2010126738A2 (en) 2009-04-30 2010-04-19 Circuitry and layouts for xor and xnor logic

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020177006803A Division KR101815441B1 (ko) 2009-04-30 2010-04-19 Xor 및 xnor 로직을 위한 회로 및 레이아웃

Publications (2)

Publication Number Publication Date
KR20180004323A KR20180004323A (ko) 2018-01-10
KR101898410B1 true KR101898410B1 (ko) 2018-09-12

Family

ID=43029928

Family Applications (5)

Application Number Title Priority Date Filing Date
KR1020167032016A KR101714337B1 (ko) 2009-04-30 2010-04-19 Xor 및 xnor 로직을 위한 회로 및 레이아웃
KR1020177006803A KR101815441B1 (ko) 2009-04-30 2010-04-19 Xor 및 xnor 로직을 위한 회로 및 레이아웃
KR1020177037756A KR101898410B1 (ko) 2009-04-30 2010-04-19 Xor 및 xnor 로직을 위한 회로 및 레이아웃
KR1020167015006A KR101678833B1 (ko) 2009-04-30 2010-04-19 Xor 및 xnor 로직을 위한 회로 및 레이아웃
KR1020117028596A KR101717456B1 (ko) 2009-04-30 2010-04-19 Xor 및 xnor 로직을 위한 회로 및 레이아웃

Family Applications Before (2)

Application Number Title Priority Date Filing Date
KR1020167032016A KR101714337B1 (ko) 2009-04-30 2010-04-19 Xor 및 xnor 로직을 위한 회로 및 레이아웃
KR1020177006803A KR101815441B1 (ko) 2009-04-30 2010-04-19 Xor 및 xnor 로직을 위한 회로 및 레이아웃

Family Applications After (2)

Application Number Title Priority Date Filing Date
KR1020167015006A KR101678833B1 (ko) 2009-04-30 2010-04-19 Xor 및 xnor 로직을 위한 회로 및 레이아웃
KR1020117028596A KR101717456B1 (ko) 2009-04-30 2010-04-19 Xor 및 xnor 로직을 위한 회로 및 레이아웃

Country Status (9)

Country Link
US (3) US8653857B2 (ko)
EP (3) EP2425531B1 (ko)
JP (4) JP5926175B2 (ko)
KR (5) KR101714337B1 (ko)
ES (2) ES2634499T3 (ko)
MY (2) MY183545A (ko)
SG (2) SG175384A1 (ko)
TW (5) TWI539313B (ko)
WO (1) WO2010126738A2 (ko)

Families Citing this family (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7448012B1 (en) 2004-04-21 2008-11-04 Qi-De Qian Methods and system for improving integrated circuit layout
US8653857B2 (en) * 2006-03-09 2014-02-18 Tela Innovations, Inc. Circuitry and layouts for XOR and XNOR logic
KR20130113085A (ko) * 2012-04-05 2013-10-15 에스케이하이닉스 주식회사 배타적 논리합 회로
TWI588596B (zh) * 2013-08-15 2017-06-21 聯華電子股份有限公司 產生佈局圖案的方法
TWI720077B (zh) * 2016-12-07 2021-03-01 聯華電子股份有限公司 半導體元件的布局
KR20180069465A (ko) 2016-12-15 2018-06-25 삼성전자주식회사 수직형 트랜지스터를 구비하는 집적 회로 및 이를 포함하는 반도체 장치
US10699778B2 (en) 2017-04-28 2020-06-30 Arizona Board Of Regents On Behalf Of Arizona State University Static random access memory (SRAM) cell and related SRAM array for deep neural network and machine learning applications
US11144316B1 (en) 2018-04-17 2021-10-12 Ali Tasdighi Far Current-mode mixed-signal SRAM based compute-in-memory for low power machine learning
US10613829B2 (en) * 2018-05-17 2020-04-07 Qualcomm Incorporated Performance power optimized full adder
US11562953B2 (en) * 2018-10-23 2023-01-24 Taiwan Semiconductor Manufacturing Company, Ltd. Cell having stacked pick-up region
US10756753B2 (en) * 2018-10-25 2020-08-25 Arm Limited Data compressor logic circuit
CN109639267B (zh) * 2018-11-26 2023-04-07 宁波大学 一种异或-多数逻辑图中的反相器数量优化方法
US10915298B1 (en) 2019-10-08 2021-02-09 Ali Tasdighi Far Current mode multiply-accumulate for compute in memory binarized neural networks
US11152942B2 (en) * 2019-11-29 2021-10-19 Samsung Electronics Co., Ltd. Three-input exclusive NOR/OR gate using a CMOS circuit
KR102221585B1 (ko) 2019-12-26 2021-03-02 강원대학교산학협력단 Xor-xnor 로직회로
US11610104B1 (en) 2019-12-30 2023-03-21 Ali Tasdighi Far Asynchronous analog accelerator for fully connected artificial neural networks
US11615256B1 (en) 2019-12-30 2023-03-28 Ali Tasdighi Far Hybrid accumulation method in multiply-accumulate for machine learning
US20230308099A1 (en) * 2022-03-28 2023-09-28 Mediatek Inc. Buffer circuits and semiconductor structures thereof

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060181310A1 (en) 2005-02-17 2006-08-17 Young-Chul Rhee Exclusive-or and/or exclusive-nor circuits including output switches and related methods

Family Cites Families (720)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US424460A (en) * 1890-04-01 Fire-escape
US3521242A (en) 1967-05-02 1970-07-21 Rca Corp Complementary transistor write and ndro for memory cell
US4069493A (en) 1970-10-02 1978-01-17 Thomson-Csf Novel integrated circuit and method of manufacturing same
JPS5219058A (en) * 1975-08-04 1977-01-14 Nippon Telegr & Teleph Corp <Ntt> Exclusive logical sum circuit
US4197555A (en) 1975-12-29 1980-04-08 Fujitsu Limited Semiconductor device
JPS5746536A (en) 1980-09-04 1982-03-17 Matsushita Electric Ind Co Ltd Gate circuit
US4424460A (en) 1981-07-14 1984-01-03 Rockwell International Corporation Apparatus and method for providing a logical exclusive OR/exclusive NOR function
JPS5943824B2 (ja) 1982-03-03 1984-10-24 三菱電機株式会社 半導体集積回路装置
JPS58182242A (ja) 1982-04-19 1983-10-25 Nec Corp 半導体集積回路装置
JPS58215827A (ja) 1982-06-09 1983-12-15 Toshiba Corp 論理回路
JPS5943548A (ja) 1982-09-06 1984-03-10 Hitachi Ltd 半導体集積回路装置
US4613940A (en) 1982-11-09 1986-09-23 International Microelectronic Products Method and structure for use in designing and building electronic systems in integrated circuits
JPS6035532A (ja) 1983-07-29 1985-02-23 Fujitsu Ltd マスタスライス集積回路装置
US4575648A (en) * 1983-12-23 1986-03-11 At&T Bell Laboratories Complementary field effect transistor EXCLUSIVE OR logic gates
JPS60206222A (ja) * 1984-03-29 1985-10-17 Toshiba Corp 排他的論理和回路
US5121186A (en) 1984-06-15 1992-06-09 Hewlett-Packard Company Integrated circuit device having improved junction connections
KR940002772B1 (ko) 1984-08-31 1994-04-02 가부시기가이샤 히다찌세이사꾸쇼 반도체 집적회로 장치 및 그 제조방법
US5545904A (en) 1986-01-17 1996-08-13 Quick Technologies Ltd. Personalizable gate array devices
JPH0695570B2 (ja) 1985-02-07 1994-11-24 三菱電機株式会社 半導体集積回路装置
JPS61202451A (ja) 1985-03-05 1986-09-08 Nec Corp 半導体集積回路の配線構体
US4804636A (en) 1985-05-01 1989-02-14 Texas Instruments Incorporated Process for making integrated circuits having titanium nitride triple interconnect
US4657628A (en) 1985-05-01 1987-04-14 Texas Instruments Incorporated Process for patterning local interconnects
US4975756A (en) 1985-05-01 1990-12-04 Texas Instruments Incorporated SRAM with local interconnect
US4602270A (en) 1985-05-17 1986-07-22 United Technologies Corporation Gate array with reduced isolation
US4627152A (en) 1985-05-24 1986-12-09 International Business Machines Corporation Automatic layout for cascode voltage switch logic
JPS6247148A (ja) 1985-08-27 1987-02-28 Toshiba Corp 半導体集積回路装置
JPS62169472A (ja) 1986-01-22 1987-07-25 Hitachi Ltd 半導体集積回路装置
US5097422A (en) 1986-10-10 1992-03-17 Cascade Design Automation Corporation Method and apparatus for designing integrated circuits
US4745084A (en) 1986-11-12 1988-05-17 Vlsi Technology, Inc. Method of making a customized semiconductor integrated device
US4884115A (en) 1987-02-27 1989-11-28 Siemens Aktiengesellschaft Basic cell for a gate array arrangement in CMOS Technology
US4801986A (en) 1987-04-03 1989-01-31 General Electric Company Vertical double diffused metal oxide semiconductor VDMOS device with increased safe operating area and method
JP2742052B2 (ja) 1987-06-12 1998-04-22 日本電信電話株式会社 相補型misマスタスライス論理集積回路
JPH067345B2 (ja) 1987-06-24 1994-01-26 株式会社 エイ・ティ・ア−ル自動翻訳電話研究所 ベクトル量子化を用いた音声認識方式
US5119313A (en) 1987-08-04 1992-06-02 Texas Instruments Incorporated Comprehensive logic circuit layout system
KR100212098B1 (ko) 1987-09-19 1999-08-02 가나이 쓰도무 반도체 집적회로 장치 및 그 제조 방법과 반도체 집적 회로 장치의 배선기판 및 그 제조 방법
US5068603A (en) 1987-10-07 1991-11-26 Xilinx, Inc. Structure and method for producing mask-programmed integrated circuits which are pin compatible substitutes for memory-configured logic arrays
JPH01112818A (ja) * 1987-10-26 1989-05-01 Matsushita Electric Ind Co Ltd 論理回路
US4812688A (en) 1987-12-30 1989-03-14 International Business Machines Corporation Transistor delay circuits
JPH01284115A (ja) * 1988-05-11 1989-11-15 Sharp Corp 論理回路
US5268319A (en) 1988-06-08 1993-12-07 Eliyahou Harari Highly compact EPROM and flash EEPROM devices
US4928160A (en) 1989-01-17 1990-05-22 Ncr Corporation Gate isolated base cell structure with off-grid gate polysilicon pattern
JPH02198154A (ja) 1989-01-27 1990-08-06 Hitachi Ltd 配線の形成方法及びこれを利用した半導体装置
US5224057A (en) 1989-02-28 1993-06-29 Kabushiki Kaisha Toshiba Arrangement method for logic cells in semiconductor IC device
US5351197A (en) 1989-04-13 1994-09-27 Cascade Design Automation Corporation Method and apparatus for designing the layout of a subcircuit in an integrated circuit
JP2551845B2 (ja) * 1989-05-20 1996-11-06 松下電器産業株式会社 排他的論理和回路
JPH03165061A (ja) 1989-11-22 1991-07-17 Hitachi Ltd 半導体集積回路装置
US5298774A (en) 1990-01-11 1994-03-29 Mitsubishi Denki Kabushiki Kaisha Gate array system semiconductor integrated circuit device
US5483104A (en) 1990-01-12 1996-01-09 Paradigm Technology, Inc. Self-aligning contact and interconnect structure
KR100199258B1 (ko) 1990-02-09 1999-06-15 가나이 쓰도무 반도체집적회로장치
US5977305A (en) 1990-04-20 1999-11-02 Cold Spring Harbor Laboratories Cloning by complementation and related processes
US6100025A (en) 1990-04-20 2000-08-08 Cold Spring Harbor Laboratory Cloning by complementation and related processes
US5047979A (en) 1990-06-15 1991-09-10 Integrated Device Technology, Inc. High density SRAM circuit with ratio independent memory cells
US5208765A (en) 1990-07-20 1993-05-04 Advanced Micro Devices, Inc. Computer-based method and system for product development
US5079614A (en) 1990-09-26 1992-01-07 S-Mos Systems, Inc. Gate array architecture with basic cell interleaved gate electrodes
JP3017789B2 (ja) 1990-10-18 2000-03-13 三菱電機株式会社 半導体集積回路装置のレイアウト設計方法
JP2851447B2 (ja) 1991-03-08 1999-01-27 三菱電機株式会社 形状シミュレーション方法
JPH04341010A (ja) * 1991-05-17 1992-11-27 Nec Corp 論理回路
JPH05152937A (ja) 1991-11-26 1993-06-18 Hitachi Ltd 論理ゲート回路
JP3129336B2 (ja) 1991-12-09 2001-01-29 沖電気工業株式会社 半導体記憶装置
US7071060B1 (en) 1996-02-28 2006-07-04 Sandisk Corporation EEPROM with split gate source side infection with sidewall spacers
US5242770A (en) 1992-01-16 1993-09-07 Microunity Systems Engineering, Inc. Mask for photolithography
JP2760195B2 (ja) * 1992-01-20 1998-05-28 日本電気株式会社 論理回路
US5526307A (en) 1992-01-22 1996-06-11 Macronix International Co., Ltd. Flash EPROM integrated circuit architecture
JPH05218362A (ja) 1992-02-04 1993-08-27 Sharp Corp ゲートアレイのベーシックセル
JPH06216251A (ja) * 1992-10-20 1994-08-05 Fujitsu Ltd 半導体回路
US5367187A (en) 1992-12-22 1994-11-22 Quality Semiconductor, Inc. Master slice gate array integrated circuits with basic cells adaptable for both input/output and logic functions
IT1257184B (it) 1992-12-22 1996-01-10 Applied Research Systems Preparato ad attivita' antinfiammatoria, anticoagulante e antitumorale
US5420447A (en) 1993-01-29 1995-05-30 Sgs-Thomson Microelectronics, Inc. Double buffer base gate array cell
US5359226A (en) 1993-02-02 1994-10-25 Paradigm Technology, Inc. Static memory with self aligned contacts and split word lines
US5497334A (en) 1993-02-19 1996-03-05 International Business Machines Corporation Application generator for use in verifying a hierarchical circuit design
US5410107A (en) 1993-03-01 1995-04-25 The Board Of Trustees Of The University Of Arkansas Multichip module
US5723908A (en) 1993-03-11 1998-03-03 Kabushiki Kaisha Toshiba Multilayer wiring structure
US5536955A (en) 1993-03-29 1996-07-16 Toppan Electronics (Usa) Inc. Electronic devices for use in generating integrated circuit structures and method therefor
US5338963A (en) 1993-04-05 1994-08-16 International Business Machines Corporation Soft error immune CMOS static RAM cell
US5691218A (en) 1993-07-01 1997-11-25 Lsi Logic Corporation Method of fabricating a programmable polysilicon gate array base cell structure
US5396128A (en) 1993-09-13 1995-03-07 Motorola, Inc. Output circuit for interfacing integrated circuits having different power supply potentials
JP3285438B2 (ja) 1993-10-29 2002-05-27 三菱電機株式会社 半導体記憶装置
JP3144967B2 (ja) 1993-11-08 2001-03-12 株式会社日立製作所 半導体集積回路およびその製造方法
JP2746087B2 (ja) 1993-12-01 1998-04-28 日本電気株式会社 半導体集積回路
US5625568A (en) 1993-12-22 1997-04-29 Vlsi Technology, Inc. Method and apparatus for compacting integrated circuits with standard cell architectures
JP2684980B2 (ja) 1993-12-24 1997-12-03 日本電気株式会社 半導体記憶装置及びその製造方法
US6675361B1 (en) 1993-12-27 2004-01-06 Hyundai Electronics America Method of constructing an integrated circuit comprising an embedded macro
US5378649A (en) 1994-04-08 1995-01-03 United Microelectronics Corporation Process for producing non-volatile memory devices having closely spaced buried bit lines and non-overlapping code implant areas
US5636002A (en) 1994-04-29 1997-06-03 Lucent Technologies Inc. Auxiliary mask features for enhancing the resolution of photolithography
JP3463180B2 (ja) 1994-05-02 2003-11-05 Necトーキン株式会社 磁性ガーネット酸化物粉末の製造方法及び磁性ガーネット酸化物膜の製造方法
US5591995A (en) 1994-05-10 1997-01-07 Texas Instruments, Incorporated Base cell for BiCMOS and CMOS gate arrays
TW297158B (ko) 1994-05-27 1997-02-01 Hitachi Ltd
JP3202490B2 (ja) 1994-07-22 2001-08-27 株式会社東芝 集積回路のレイアウト方法及び集積回路のレイアウト装置
JP3469362B2 (ja) 1994-08-31 2003-11-25 株式会社東芝 半導体記憶装置
US5528177A (en) 1994-09-16 1996-06-18 Research Foundation Of State University Of New York Complementary field-effect transistor logic circuits for wave pipelining
US5497337A (en) 1994-10-21 1996-03-05 International Business Machines Corporation Method for designing high-Q inductors in silicon technology without expensive metalization
JPH08139591A (ja) * 1994-11-10 1996-05-31 Olympus Optical Co Ltd 論理回路装置
US5852562A (en) 1994-12-13 1998-12-22 Matsushita Electric Industrial Co., Ltd. Method and apparatus for designing an LSI layout utilizing cells having a predetermined wiring height in order to reduce wiring zones
JPH08292938A (ja) 1995-02-24 1996-11-05 Fujitsu Ltd 有限要素メッシュ発生方法及び装置、並びに解析方法及び装置
JP2647045B2 (ja) 1995-02-28 1997-08-27 日本電気株式会社 半導体記憶装置及びその製造方法
US5682323A (en) 1995-03-06 1997-10-28 Lsi Logic Corporation System and method for performing optical proximity correction on macrocell libraries
US5581098A (en) 1995-05-05 1996-12-03 Circuit Integration Technology, Inc. Circuit routing structure using fewer variable masks
JP3708168B2 (ja) 1995-06-13 2005-10-19 富士通株式会社 遅延装置
JP3535615B2 (ja) 1995-07-18 2004-06-07 株式会社ルネサステクノロジ 半導体集積回路装置
US5774367A (en) 1995-07-24 1998-06-30 Motorola, Inc. Method of selecting device threshold voltages for high speed and low power
US5764533A (en) 1995-08-01 1998-06-09 Sun Microsystems, Inc. Apparatus and methods for generating cell layouts
US5754826A (en) 1995-08-04 1998-05-19 Synopsys, Inc. CAD and simulation system for targeting IC designs to multiple fabrication processes
US5841663A (en) 1995-09-14 1998-11-24 Vlsi Technology, Inc. Apparatus and method for synthesizing integrated circuits using parameterized HDL modules
JPH0993118A (ja) * 1995-09-22 1997-04-04 Kawasaki Steel Corp パストランジスタ論理回路
US5789776A (en) 1995-09-22 1998-08-04 Nvx Corporation Single poly memory cell and array
JPH0997885A (ja) 1995-09-28 1997-04-08 Denso Corp ゲートアレイ
US5973369A (en) 1997-03-11 1999-10-26 Nec Corporation SRAM having P-channel TFT as load element with less series-connected high resistance
US5723883A (en) 1995-11-14 1998-03-03 In-Chip Gate array cell architecture and routing scheme
US5640342A (en) 1995-11-20 1997-06-17 Micron Technology, Inc. Structure for cross coupled thin film transistors and static random access memory cell
JP3400215B2 (ja) 1995-11-21 2003-04-28 沖電気工業株式会社 半導体装置
JP3486725B2 (ja) 1995-11-28 2004-01-13 株式会社ルネサステクノロジ 可変論理集積回路
JP3934719B2 (ja) 1995-12-22 2007-06-20 株式会社東芝 光近接効果補正方法
US6043562A (en) 1996-01-26 2000-03-28 Micron Technology, Inc. Digit line architecture for dynamic memory
KR100229577B1 (ko) 1996-01-31 1999-11-15 포만 제프리 엘 게이트 어레이 셀 및 이것을 포함한 집적 회로 칩
US5798298A (en) 1996-02-09 1998-08-25 United Microelectronics Corporation Method of automatically generating dummy metals for multilevel interconnection
US6269472B1 (en) 1996-02-27 2001-07-31 Lsi Logic Corporation Optical proximity correction method and apparatus
US5705301A (en) 1996-02-27 1998-01-06 Lsi Logic Corporation Performing optical proximity correction with the aid of design rule checkers
US5698873A (en) 1996-03-08 1997-12-16 Lsi Logic Corporation High density gate array base cell architecture
JPH09282349A (ja) 1996-04-17 1997-10-31 Shinko Electric Ind Co Ltd データ変換処理装置
JPH09289251A (ja) 1996-04-23 1997-11-04 Matsushita Electric Ind Co Ltd 半導体集積回路のレイアウト構造およびその検証方法
JP2914292B2 (ja) 1996-04-25 1999-06-28 日本電気株式会社 半導体装置
US5740068A (en) 1996-05-30 1998-04-14 International Business Machines Corporation Fidelity enhancement of lithographic and reactive-ion-etched images by optical proximity correction
JP2809200B2 (ja) 1996-06-03 1998-10-08 日本電気株式会社 半導体装置の製造方法
US5935763A (en) 1996-06-11 1999-08-10 International Business Machines Corporation Self-aligned pattern over a reflective layer
US6026223A (en) 1996-06-28 2000-02-15 Scepanovic; Ranko Advanced modular cell placement system with overlap remover with minimal noise
JP3311244B2 (ja) 1996-07-15 2002-08-05 株式会社東芝 基本セルライブラリ及びその形成方法
US5796128A (en) 1996-07-25 1998-08-18 Translogic Technology, Inc. Gate array with fully wired multiplexer circuits
JP2918101B2 (ja) 1996-07-25 1999-07-12 日本電気株式会社 半導体集積回路のレイアウト方法
US5920486A (en) 1996-08-16 1999-07-06 International Business Machines Corporation Parameterized cells for generating dense layouts of VLSI circuits
JP3152635B2 (ja) 1996-09-09 2001-04-03 三洋電機株式会社 マスタスライス方式の基本セル、半導体集積回路装置、フリップフロップ回路、排他的論理和回路、マルチプレクサ及び加算器
US5858580A (en) 1997-09-17 1999-01-12 Numerical Technologies, Inc. Phase shifting circuit manufacture method and apparatus
US5790417A (en) 1996-09-25 1998-08-04 Taiwan Semiconductor Manufacturing Company Ltd. Method of automatic dummy layout generation
US5923060A (en) 1996-09-27 1999-07-13 In-Chip Systems, Inc. Reduced area gate array cell design based on shifted placement of alternate rows of cells
US5684733A (en) 1996-09-30 1997-11-04 Holtek Microelectronics, Inc. Fixed resistance high density parallel ROM device
JP3529563B2 (ja) 1996-10-09 2004-05-24 株式会社東芝 半導体集積回路の再レイアウト方法及び半導体集積回路の再レイアウトプログラムを記録した媒体
US5984510A (en) 1996-11-01 1999-11-16 Motorola Inc. Automatic synthesis of standard cell layouts
US6209123B1 (en) 1996-11-01 2001-03-27 Motorola, Inc. Methods of placing transistors in a circuit layout and semiconductor device with automatically placed transistors
US6099584A (en) 1996-12-06 2000-08-08 Vsli Technology, Inc. System to fix post-layout timing and design rules violations
JP3523762B2 (ja) 1996-12-19 2004-04-26 株式会社東芝 半導体記憶装置
JP3352895B2 (ja) 1996-12-25 2002-12-03 株式会社東芝 半導体集積回路、半導体集積回路の設計方法および製造方法
JPH10189746A (ja) 1996-12-27 1998-07-21 Oki Electric Ind Co Ltd Lsi論理回路の配線レイアウト方法
JP3420694B2 (ja) 1996-12-27 2003-06-30 株式会社東芝 スタンダードセル方式の集積回路
JP3180700B2 (ja) 1997-02-03 2001-06-25 日本電気株式会社 半導体集積回路装置
JP3036588B2 (ja) 1997-02-03 2000-04-24 日本電気株式会社 半導体記憶装置
JP3352349B2 (ja) 1997-02-24 2002-12-03 シャープ株式会社 双方向サイリスタ素子
US5900340A (en) 1997-03-03 1999-05-04 Motorola, Inc. One dimensional lithographic proximity correction using DRC shape functions
US5977574A (en) 1997-03-28 1999-11-02 Lsi Logic Corporation High density gate array cell architecture with sharing of well taps between cells
US6393601B1 (en) 1997-04-14 2002-05-21 Matsushita Electric Industrial Co., Ltd. Layout designing apparatus for integrated circuit, transistor size determining apparatus, circuit characteristic evaluating method, and transistor size determining method
US5880991A (en) 1997-04-14 1999-03-09 International Business Machines Corporation Structure for low cost mixed memory integration, new NVRAM structure, and process for forming the mixed memory and NVRAM structure
JP3178799B2 (ja) * 1997-04-18 2001-06-25 シャープ株式会社 Mos論理回路及びこのmos論理回路を備えた半導体装置
KR100227621B1 (ko) 1997-05-22 1999-11-01 김영환 반도체 소자의 트랜지스터 제조방법
US6005296A (en) 1997-05-30 1999-12-21 Stmicroelectronics, Inc. Layout for SRAM structure
US6445049B1 (en) 1997-06-30 2002-09-03 Artisan Components, Inc. Cell based array comprising logic, transfer and drive cells
US6282696B1 (en) 1997-08-15 2001-08-28 Lsi Logic Corporation Performing optical proximity correction with the aid of design rule checkers
US6470489B1 (en) 1997-09-17 2002-10-22 Numerical Technologies, Inc. Design rule checking system and method
US6370679B1 (en) 1997-09-17 2002-04-09 Numerical Technologies, Inc. Data hierarchy layout correction and verification method and apparatus
US6009251A (en) 1997-09-30 1999-12-28 Synopsys, Inc. Method and system for layout verification of an integrated circuit design with reusable subdesigns
US6114071A (en) 1997-11-24 2000-09-05 Asml Masktools Netherlands B.V. Method of fine feature edge tuning with optically-halftoned mask
EP0920025B1 (en) 1997-11-28 2004-02-11 STMicroelectronics S.r.l. A low power RAM memory cell
JP3701781B2 (ja) * 1997-11-28 2005-10-05 株式会社ルネサステクノロジ 論理回路とその作成方法
JP3926011B2 (ja) 1997-12-24 2007-06-06 株式会社ルネサステクノロジ 半導体装置の設計方法
JP3777768B2 (ja) 1997-12-26 2006-05-24 株式会社日立製作所 半導体集積回路装置およびセルライブラリを記憶した記憶媒体および半導体集積回路の設計方法
KR100278273B1 (ko) 1997-12-30 2001-02-01 김영환 반도체장치의콘택홀형성방법
US6249902B1 (en) 1998-01-09 2001-06-19 Silicon Perspective Corporation Design hierarchy-based placement
US6571140B1 (en) 1998-01-15 2003-05-27 Eutech Cybernetics Pte Ltd. Service-oriented community agent
JPH11214662A (ja) 1998-01-29 1999-08-06 Mitsubishi Electric Corp 半導体装置
US6091845A (en) 1998-02-24 2000-07-18 Micron Technology, Inc. Inspection technique of photomask
US6230299B1 (en) 1998-03-31 2001-05-08 Mentor Graphics Corporation Method and apparatus for extracting and storing connectivity and geometrical data for a deep sub-micron integrated circuit design
US6378110B1 (en) 1998-03-31 2002-04-23 Synopsys, Inc. Layer-based rule checking for an integrated circuit layout
JPH11297856A (ja) 1998-04-16 1999-10-29 Mitsubishi Electric Corp スタティック半導体記憶装置
US5915199A (en) 1998-06-04 1999-06-22 Sharp Microelectronics Technology, Inc. Method for manufacturing a CMOS self-aligned strapped interconnection
US6262487B1 (en) 1998-06-23 2001-07-17 Kabushiki Kaisha Toshiba Semiconductor integrated circuit device, semiconductor integrated circuit wiring method, and cell arranging method
US6063132A (en) 1998-06-26 2000-05-16 International Business Machines Corporation Method for verifying design rule checking software
US6480989B2 (en) 1998-06-29 2002-11-12 Lsi Logic Corporation Integrated circuit design incorporating a power mesh
US6714903B1 (en) 1998-07-10 2004-03-30 Lsi Logic Corporation Placement and routing of circuits using a combined processing/buffer cell
US6240542B1 (en) 1998-07-14 2001-05-29 Lsi Logic Corporation Poly routing for chip interconnects with minimal impact on chip performance
US6182272B1 (en) 1998-07-16 2001-01-30 Lsi Logic Corporation Metal layer assignment
JP3562975B2 (ja) 1998-09-29 2004-09-08 株式会社東芝 集積回路設計方法及び集積回路設計装置
US20020008257A1 (en) 1998-09-30 2002-01-24 John P. Barnak Mosfet gate electrodes having performance tuned work functions and methods of making same
JP2000114262A (ja) 1998-10-05 2000-04-21 Toshiba Corp 半導体装置及びその製造方法
JP3852729B2 (ja) 1998-10-27 2006-12-06 富士通株式会社 半導体記憶装置
US6275973B1 (en) 1998-10-30 2001-08-14 Lsi Logic Corporation Integrated circuit design with delayed cell selection
US6174742B1 (en) 1998-10-30 2001-01-16 Lsi Logic Corporation Off-grid metal layer utilization
US6166415A (en) 1998-11-02 2000-12-26 Mitsubishi Denki Kabushiki Kaisha Semiconductor device with improved noise resistivity
JP3680594B2 (ja) 1998-11-10 2005-08-10 株式会社日立製作所 半導体集積回路
TW476069B (en) 1998-11-20 2002-02-11 Via Tech Inc Placement and routing for array device
WO2000031871A1 (en) 1998-11-25 2000-06-02 Nanopower, Inc. Improved flip-flops and other logic circuits and techniques for improving layouts of integrated circuits
JP4437565B2 (ja) 1998-11-26 2010-03-24 富士通マイクロエレクトロニクス株式会社 半導体集積回路装置、半導体集積回路装置の設計方法、及び、記録媒体
US6477695B1 (en) 1998-12-09 2002-11-05 Artisan Components, Inc. Methods for designing standard cell transistor structures
WO2000036466A1 (fr) 1998-12-11 2000-06-22 Hitachi, Ltd. Dispositif a circuit integre a semiconducteurs et procede de fabrication
KR100291384B1 (ko) 1998-12-31 2001-07-12 윤종용 반도체장치의레이아웃방법
US6040991A (en) 1999-01-04 2000-03-21 International Business Machines Corporation SRAM memory cell having reduced surface area
US6159839A (en) 1999-02-11 2000-12-12 Vanguard International Semiconductor Corporation Method for fabricating borderless and self-aligned polysilicon and metal contact landing plugs for multilevel interconnections
US6691297B1 (en) 1999-03-04 2004-02-10 Matsushita Electric Industrial Co., Ltd. Method for planning layout for LSI pattern, method for forming LSI pattern and method for generating mask data for LSI
US6480032B1 (en) 1999-03-04 2002-11-12 Intel Corporation Gate array architecture
US6974978B1 (en) 1999-03-04 2005-12-13 Intel Corporation Gate array architecture
US6194912B1 (en) 1999-03-11 2001-02-27 Easic Corporation Integrated circuit device
US6331733B1 (en) 1999-08-10 2001-12-18 Easic Corporation Semiconductor device
US6044007A (en) 1999-03-24 2000-03-28 Advanced Micro Devices, Inc. Modification of mask layout data to improve writeability of OPC
JP3986036B2 (ja) 1999-04-16 2007-10-03 株式会社日立製作所 半導体集積回路装置
US6505328B1 (en) 1999-04-27 2003-01-07 Magma Design Automation, Inc. Method for storing multiple levels of design data in a common database
US6507941B1 (en) 1999-04-28 2003-01-14 Magma Design Automation, Inc. Subgrid detailed routing
JP4565700B2 (ja) 1999-05-12 2010-10-20 ルネサスエレクトロニクス株式会社 半導体装置
US6492066B1 (en) 1999-05-28 2002-12-10 Advanced Micro Devices, Inc. Characterization and synthesis of OPC structures by fourier space analysis and/or wavelet transform expansion
US6425112B1 (en) 1999-06-17 2002-07-23 International Business Machines Corporation Auto correction of error checked simulated printed images
US6381730B1 (en) 1999-07-09 2002-04-30 Sequence Design, Inc. Method and system for extraction of parasitic interconnect impedance including inductance
US6525350B1 (en) 1999-07-16 2003-02-25 Kawasaki Steel Corporation Semiconductor integrated circuit basic cell semiconductor integrated circuit using the same
JP2001056463A (ja) 1999-08-20 2001-02-27 Casio Comput Co Ltd 液晶表示装置
JP2001068558A (ja) 1999-08-30 2001-03-16 Hitachi Ltd 半導体集積回路装置
US6436805B1 (en) 1999-09-01 2002-08-20 Micron Technology, Inc. Local interconnect structures and methods for making the same
US6496965B1 (en) 1999-09-20 2002-12-17 Magma Design Automation, Inc. Automated design of parallel drive standard cells
TW423218B (en) 1999-10-06 2001-02-21 Ind Tech Res Inst Charge-redistribution low-swing differential logic circuit
US6194104B1 (en) 1999-10-12 2001-02-27 Taiwan Semiconductor Manufacturing Company Optical proximity correction (OPC) method for improving lithography process window
US6737347B1 (en) 1999-10-20 2004-05-18 Texas Instruments Incorporated Semiconductor device with fully self-aligned local interconnects, and method for fabricating the device
US6426269B1 (en) 1999-10-21 2002-07-30 International Business Machines Corporation Dummy feature reduction using optical proximity effect correction
US6255845B1 (en) 1999-11-16 2001-07-03 Advanced Micro Devices, Inc. Efficient use of spare gates for post-silicon debug and enhancements
US6570234B1 (en) 1999-11-17 2003-05-27 Aeroflex Utmc Microelectronic Systems, Inc. Radiation resistant integrated circuit design
JP3811649B2 (ja) 1999-11-18 2006-08-23 ピー・デイ・エフ ソリユーシヨンズ インコーポレイテツド 論理特徴付けビヒクルを使用した製品歩留り予測のためのシステムおよび方法
JP2001144603A (ja) * 1999-11-18 2001-05-25 Oki Micro Design Co Ltd レベルシフタ回路およびそれを含むデータ出力回路
JP2001168707A (ja) * 1999-12-03 2001-06-22 Sony Corp 論理回路およびそれを用いた全加算器
US6421820B1 (en) 1999-12-13 2002-07-16 Infineon Technologies Ag Semiconductor device fabrication using a photomask with assist features
US6303252B1 (en) 1999-12-27 2001-10-16 United Microelectronics Corp. Reticle having assist feature between semi-dense lines
US6295224B1 (en) 1999-12-30 2001-09-25 Stmicroelectronics, Inc. Circuit and method of fabricating a memory cell for a static random access memory
KR100346832B1 (ko) 2000-01-12 2002-08-03 삼성전자 주식회사 스태틱 랜덤 억세스 메모리 소자 및 그 제조 방법
US6737199B1 (en) 2000-01-31 2004-05-18 Taiwan Semiconductor Manufacturing Company Using new pattern fracturing rules for optical proximity correction mask-making to improve critical dimension uniformity
US6408427B1 (en) 2000-02-22 2002-06-18 The Regents Of The University Of California Wire width planning and performance optimization for VLSI interconnects
US6756811B2 (en) 2000-03-10 2004-06-29 Easic Corporation Customizable and programmable cell array
US6331790B1 (en) 2000-03-10 2001-12-18 Easic Corporation Customizable and programmable cell array
US6399972B1 (en) 2000-03-13 2002-06-04 Oki Electric Industry Co., Ltd. Cell based integrated circuit and unit cell architecture therefor
US6536028B1 (en) 2000-03-14 2003-03-18 Ammocore Technologies, Inc. Standard block architecture for integrated circuit design
JP2001272228A (ja) 2000-03-24 2001-10-05 Railway Technical Res Inst 相対変位量計測システム及び相対変位量計測方法
US6356112B1 (en) 2000-03-28 2002-03-12 Translogic Technology, Inc. Exclusive or/nor circuit
US6553544B2 (en) 2000-04-04 2003-04-22 Matsushita Electric Industrial Co., Ltd. Method for design of partial circuit
US6624459B1 (en) 2000-04-12 2003-09-23 International Business Machines Corp. Silicon on insulator field effect transistors having shared body contact
JP2001306641A (ja) 2000-04-27 2001-11-02 Victor Co Of Japan Ltd 半導体集積回路の自動配置配線方法
US6416907B1 (en) 2000-04-27 2002-07-09 Micron Technology, Inc. Method for designing photolithographic reticle layout, reticle, and photolithographic process
TW512424B (en) 2000-05-01 2002-12-01 Asml Masktools Bv Hybrid phase-shift mask
US6583041B1 (en) 2000-05-01 2003-06-24 Advanced Micro Devices, Inc. Microdevice fabrication method using regular arrays of lines and spaces
JP4885365B2 (ja) 2000-05-16 2012-02-29 ルネサスエレクトロニクス株式会社 半導体装置
US6509952B1 (en) 2000-05-23 2003-01-21 Silicon Valley Group, Inc. Method and system for selective linewidth optimization during a lithographic process
US6610607B1 (en) 2000-05-25 2003-08-26 International Business Machines Corporation Method to define and tailor process limited lithographic features using a modified hard mask process
US6445065B1 (en) 2000-06-06 2002-09-03 In-Chip Systems, Inc. Routing driven, metal programmable integrated circuit architecture with multiple types of core cells
US6617621B1 (en) 2000-06-06 2003-09-09 Virage Logic Corporation Gate array architecture using elevated metal levels for customization
US6425113B1 (en) 2000-06-13 2002-07-23 Leigh C. Anderson Integrated verification and manufacturability tool
US6889370B1 (en) 2000-06-20 2005-05-03 Unisys Corporation Method and apparatus for selecting and aligning cells using a placement tool
JP2002026296A (ja) 2000-06-22 2002-01-25 Internatl Business Mach Corp <Ibm> 半導体集積回路装置
JP2002009160A (ja) 2000-06-26 2002-01-11 Nec Microsystems Ltd 半導体集積回路の自動レイアウト方法、この方法で製造した半導体集積回路及びこの方法を記録した記録媒体
US7225423B2 (en) 2000-06-30 2007-05-29 Zenasis Technologies, Inc. Method for automated design of integrated circuits with targeted quality objectives using dynamically generated building blocks
US6733929B2 (en) 2000-07-05 2004-05-11 Numerical Technologies, Inc. Phase shift masking for complex patterns with proximity adjustments
US6787271B2 (en) 2000-07-05 2004-09-07 Numerical Technologies, Inc. Design and layout of phase shifting photolithographic masks
US6978436B2 (en) 2000-07-05 2005-12-20 Synopsys, Inc. Design data format and hierarchy management for phase processing
US7028285B2 (en) 2000-07-05 2006-04-11 Synopsys, Inc. Standard cell design incorporating phase information
JP4794030B2 (ja) 2000-07-10 2011-10-12 ルネサスエレクトロニクス株式会社 半導体装置
US6516459B1 (en) 2000-07-10 2003-02-04 Mentor Graphics Corporation Integrated circuit design correction using fragment correspondence
US6632741B1 (en) 2000-07-19 2003-10-14 International Business Machines Corporation Self-trimming method on looped patterns
US6574786B1 (en) 2000-07-21 2003-06-03 Aeroflex UTMC Microelectronics Systems, Inc. Gate array cell generator using cadence relative object design
US20050136340A1 (en) 2000-07-21 2005-06-23 Asml Netherlands B.V. Lithographic apparatus and methods, patterning structure and method for making a patterning structure, device manufacturing method, and device manufactured thereby
US6523162B1 (en) 2000-08-02 2003-02-18 Numerical Technologies, Inc. General purpose shape-based layout processing scheme for IC layout modifications
JP4357101B2 (ja) 2000-08-23 2009-11-04 株式会社ルネサステクノロジ 半導体記憶装置
JP4764987B2 (ja) 2000-09-05 2011-09-07 富士電機株式会社 超接合半導体素子
WO2002025373A2 (en) 2000-09-13 2002-03-28 Massachusetts Institute Of Technology Method of design and fabrication of integrated circuits using regular arrays and gratings
US6800883B2 (en) 2000-09-21 2004-10-05 Matsushita Electric Industrial Co., Ltd. CMOS basic cell and method for fabricating semiconductor integrated circuit using the same
US6625801B1 (en) 2000-09-29 2003-09-23 Numerical Technologies, Inc. Dissection of printed edges from a fabrication layout for correcting proximity effects
US6453457B1 (en) 2000-09-29 2002-09-17 Numerical Technologies, Inc. Selection of evaluation point locations based on proximity effects model amplitudes for correcting proximity effects in a fabrication layout
US6557162B1 (en) 2000-09-29 2003-04-29 Numerical Technologies, Inc. Method for high yield reticle formation
US6794677B2 (en) 2000-10-02 2004-09-21 Matsushita Electric Industrial Co., Ltd. Semiconductor integrated circuit device and method for fabricating the same
US6555450B2 (en) 2000-10-04 2003-04-29 Samsung Electronics Co., Ltd. Contact forming method for semiconductor device
US6566720B2 (en) 2000-10-05 2003-05-20 United Memories, Inc. Base cell layout permitting rapid layout with minimum clock line capacitance on CMOS standard-cell and gate-array integrated circuits
US6978437B1 (en) 2000-10-10 2005-12-20 Toppan Photomasks, Inc. Photomask for eliminating antenna effects in an integrated circuit and integrated circuit manufacture with same
KR20020034313A (ko) 2000-10-31 2002-05-09 박종섭 에스램셀의 제조 방법
US6703170B1 (en) 2000-12-13 2004-03-09 Dupont Photomasks, Inc. Method and apparatus for reducing loading effects on a semiconductor manufacturing component during an etch process
JP2002184870A (ja) 2000-12-18 2002-06-28 Mitsubishi Electric Corp スタティック型半導体記憶装置
KR100355036B1 (ko) 2000-12-22 2002-10-05 삼성전자 주식회사 크로스 커플드 트랜지스터 쌍의 레이아웃 방법
US6992394B2 (en) 2000-12-28 2006-01-31 Infineon Technologies Ag Multi-level conductive lines with reduced pitch
US6553559B2 (en) 2001-01-05 2003-04-22 International Business Machines Corporation Method to determine optical proximity correction and assist feature rules which account for variations in mask dimensions
US6578190B2 (en) 2001-01-11 2003-06-10 International Business Machines Corporation Process window based optical proximity correction of lithographic images
JP2002289703A (ja) 2001-01-22 2002-10-04 Nec Corp 半導体記憶装置およびその製造方法
JP2002252161A (ja) 2001-02-23 2002-09-06 Hitachi Ltd 半導体製造システム
US6792591B2 (en) 2001-02-28 2004-09-14 Asml Masktools B.V. Method of identifying an extreme interaction pitch region, methods of designing mask patterns and manufacturing masks, device manufacturing methods and computer programs
JP4928675B2 (ja) 2001-03-01 2012-05-09 エルピーダメモリ株式会社 半導体装置
JP4736206B2 (ja) 2001-03-05 2011-07-27 大日本印刷株式会社 フォトマスクパタン欠陥検査方法および微細図形パタンの検出方法
US6881523B2 (en) 2001-03-14 2005-04-19 Asml Masktools B.V. Optical proximity correction method utilizing ruled ladder bars as sub-resolution assist features
US6514849B1 (en) 2001-04-02 2003-02-04 Advanced Micro Devices, Inc. Method of forming smaller contact size using a spacer hard mask
US6732334B2 (en) 2001-04-02 2004-05-04 Matsushita Electric Industrial Co., Ltd. Analog MOS semiconductor device, manufacturing method therefor, manufacturing program therefor, and program device therefor
US6574779B2 (en) 2001-04-12 2003-06-03 International Business Machines Corporation Hierarchical layout method for integrated circuits
US6505327B2 (en) 2001-04-13 2003-01-07 Numerical Technologies, Inc. Generating an instance-based representation of a design hierarchy
US6524870B2 (en) 2001-04-24 2003-02-25 Pell, Iii Edwin A. Method and apparatus for improving resolution of objects in a semiconductor wafer
JP4187947B2 (ja) 2001-04-26 2008-11-26 株式会社東芝 パターン補正方法、パターン補正装置、およびパターン補正プログラムを記録した記録媒体
US6936908B2 (en) 2001-05-03 2005-08-30 Ixys Corporation Forward and reverse blocking devices
US6553562B2 (en) 2001-05-04 2003-04-22 Asml Masktools B.V. Method and apparatus for generating masks utilized in conjunction with dipole illumination techniques
US6590289B2 (en) 2001-05-17 2003-07-08 Lsi Logic Corporation Hexadecagonal routing
US6523156B2 (en) 2001-06-08 2003-02-18 Library Technologies, Inc. Apparatus and methods for wire load independent logic synthesis and timing closure with constant replacement delay cell libraries
JP2002368135A (ja) 2001-06-12 2002-12-20 Hitachi Ltd 半導体記憶装置
US6759282B2 (en) 2001-06-12 2004-07-06 International Business Machines Corporation Method and structure for buried circuits and devices
JP4746770B2 (ja) 2001-06-19 2011-08-10 ルネサスエレクトロニクス株式会社 半導体装置
US6609235B2 (en) 2001-06-22 2003-08-19 Bae Systems Information And Electronic Systems Integration, Inc. Method for providing a fill pattern for an integrated circuit design
US7079989B2 (en) 2001-06-29 2006-07-18 Shmuel Wimer Arrangements for automatic re-legging of transistors
US6835591B2 (en) 2001-07-25 2004-12-28 Nantero, Inc. Methods of nanotube films and articles
DE10137830A1 (de) 2001-08-02 2003-02-27 Infineon Technologies Ag Verfahren zum Herstellen einer selbstjustierten Struktur auf einem Halbleiter-Wafer
US6684382B2 (en) 2001-08-31 2004-01-27 Numerical Technologies, Inc. Microloading effect correction
DE10143723B4 (de) 2001-08-31 2006-09-28 Infineon Technologies Ag Verfahren zur Optimierung eines Layouts für eine Maske zur Verwendung bei der Halbleiterherstellung
US6633182B2 (en) 2001-09-05 2003-10-14 Carnegie Mellon University Programmable gate array based on configurable metal interconnect vias
JP4786836B2 (ja) 2001-09-07 2011-10-05 富士通セミコンダクター株式会社 配線接続部設計方法及び半導体装置
JP2003092250A (ja) 2001-09-18 2003-03-28 Hitachi Ltd 半導体装置及びその製造方法
JP3989213B2 (ja) 2001-09-25 2007-10-10 シャープ株式会社 パストランジスタ論理回路
JP3637299B2 (ja) 2001-10-05 2005-04-13 松下電器産業株式会社 半導体記憶装置
EP1329771B1 (en) 2001-10-09 2006-09-06 ASML MaskTools B.V. Method of two dimensional feature model calibration and optimization
JP2003124339A (ja) 2001-10-11 2003-04-25 Toshiba Corp 半導体装置およびその製造方法
JP3526450B2 (ja) 2001-10-29 2004-05-17 株式会社東芝 半導体集積回路およびスタンダードセル配置設計方法
JP2003142584A (ja) 2001-11-05 2003-05-16 Matsushita Electric Ind Co Ltd 半導体集積回路装置の設計方法
US6673638B1 (en) 2001-11-14 2004-01-06 Kla-Tencor Corporation Method and apparatus for the production of process sensitive lithographic features
JP2003218238A (ja) 2001-11-14 2003-07-31 Mitsubishi Electric Corp 半導体記憶装置
JP3789351B2 (ja) 2001-11-30 2006-06-21 株式会社日立製作所 反射型液晶表示装置及びその製造方法
JP2003168640A (ja) 2001-12-03 2003-06-13 Hitachi Ltd 半導体装置の製造方法
JP2003188361A (ja) 2001-12-20 2003-07-04 Mitsubishi Electric Corp ゲートアレイ構造の半導体集積回路
JP3828419B2 (ja) 2001-12-25 2006-10-04 株式会社東芝 半導体装置及びその製造方法
US6787469B2 (en) 2001-12-28 2004-09-07 Texas Instruments Incorporated Double pattern and etch of poly with hard mask
US7159197B2 (en) 2001-12-31 2007-01-02 Synopsys, Inc. Shape-based geometry engine to perform smoothing and other layout beautification operations
US7085701B2 (en) 2002-01-02 2006-08-01 International Business Machines Corporation Size reduction techniques for vital compliant VHDL simulation models
US6817000B2 (en) 2002-01-02 2004-11-09 International Business Machines Corporation Delay correlation analysis and representation for vital complaint VHDL models
JP2003203993A (ja) 2002-01-10 2003-07-18 Mitsubishi Electric Corp 半導体記憶装置及びその製造方法
US6749972B2 (en) 2002-01-15 2004-06-15 Taiwan Semiconductor Manufacturing Co., Ltd. Optical proximity correction common process window maximization over varying feature pitch
US6721926B2 (en) 2002-01-25 2004-04-13 Intel Corporation Method and apparatus for improving digital circuit design
US6662350B2 (en) 2002-01-28 2003-12-09 International Business Machines Corporation FinFET layout generation
US6820248B1 (en) 2002-02-14 2004-11-16 Xilinx, Inc. Method and apparatus for routing interconnects to devices with dissimilar pitches
US6877144B1 (en) 2002-02-28 2005-04-05 Dupont Photomasks, Inc. System and method for generating a mask layout file to reduce power supply voltage fluctuations in an integrated circuit
JP2003264231A (ja) 2002-03-11 2003-09-19 Mitsubishi Electric Corp レイアウト設計方法および半導体装置
TWI252516B (en) 2002-03-12 2006-04-01 Toshiba Corp Determination method of process parameter and method for determining at least one of process parameter and design rule
US7386433B2 (en) 2002-03-15 2008-06-10 Synopsys, Inc. Using a suggested solution to speed up a process for simulating and correcting an integrated circuit layout
US6732338B2 (en) 2002-03-20 2004-05-04 International Business Machines Corporation Method for comprehensively verifying design rule checking runsets
US6765245B2 (en) * 2002-03-25 2004-07-20 Bae Systems Information And Electronic Systems Integration Inc. Gate array core cell for VLSI ASIC devices
US6754121B2 (en) 2002-03-29 2004-06-22 Stmicroelectronics, Inc. Sense amplifying circuit and method
US6745372B2 (en) 2002-04-05 2004-06-01 Numerical Technologies, Inc. Method and apparatus for facilitating process-compliant layout optimization
US6789246B1 (en) 2002-04-07 2004-09-07 Barcelona Design, Inc. Method and apparatus for automatic layout of circuit structures
US7252909B2 (en) 2002-04-18 2007-08-07 Taiwan Semiconductor Manufacturing Co., Ltd. Method to reduce CD non-uniformity in IC manufacturing
JP4190796B2 (ja) 2002-04-24 2008-12-03 Necエレクトロニクス株式会社 露光原版の作成方法
US6992925B2 (en) 2002-04-26 2006-01-31 Kilopass Technologies, Inc. High density semiconductor memory cell and memory array using a single transistor and having counter-doped poly and buried diffusion wordline
US6826738B2 (en) 2002-05-10 2004-11-30 Pdf Solutions, Inc. Optimization of die placement on wafers
US6794914B2 (en) 2002-05-24 2004-09-21 Qualcomm Incorporated Non-volatile multi-threshold CMOS latch with leakage control
JP2004013920A (ja) 2002-06-03 2004-01-15 Mitsubishi Electric Corp 半導体記憶装置
US6980211B2 (en) 2002-06-04 2005-12-27 Springsoft, Inc. Automatic schematic diagram generation using topology information
US7363099B2 (en) 2002-06-07 2008-04-22 Cadence Design Systems, Inc. Integrated circuit metrology
US7774726B2 (en) 2002-06-07 2010-08-10 Cadence Design Systems, Inc. Dummy fill for integrated circuits
US7124386B2 (en) 2002-06-07 2006-10-17 Praesagus, Inc. Dummy fill for integrated circuits
US20030229875A1 (en) 2002-06-07 2003-12-11 Smith Taber H. Use of models in integrated circuit fabrication
US7712056B2 (en) 2002-06-07 2010-05-04 Cadence Design Systems, Inc. Characterization and verification for integrated circuit designs
EP1532670A4 (en) 2002-06-07 2007-09-12 Praesagus Inc CHARACTERIZATION AND REDUCTION OF VARIATION FOR INTEGRATED CIRCUITS
US7152215B2 (en) 2002-06-07 2006-12-19 Praesagus, Inc. Dummy fill for integrated circuits
US6795953B2 (en) 2002-06-11 2004-09-21 Hpl Technologies, Inc. Method for avoiding false failures attributable to dummy interconnects during defect analysis of an integrated circuit design
JP3879063B2 (ja) 2002-06-11 2007-02-07 富士通株式会社 半導体装置およびその製造方法
JP2004022070A (ja) 2002-06-17 2004-01-22 Renesas Technology Corp 半導体記憶装置
US7039882B2 (en) 2002-06-17 2006-05-02 Amar Pal Singh Rana Technology dependent transformations for Silicon-On-Insulator in digital design synthesis
JP4036688B2 (ja) 2002-06-18 2008-01-23 松下電器産業株式会社 自動配置配線用スタンダードセルライブラリ及び半導体集積装置
JP4462528B2 (ja) 2002-06-24 2010-05-12 株式会社日立製作所 半導体集積回路装置
EP1376676A3 (en) 2002-06-24 2008-08-20 Interuniversitair Microelektronica Centrum Vzw Multibit non-volatile memory device and method
US6687895B2 (en) 2002-07-03 2004-02-03 Numerical Technologies Inc. Method and apparatus for reducing optical proximity correction output file size
JP2004040042A (ja) 2002-07-08 2004-02-05 Fujitsu Ltd 半導体記憶装置
US6998722B2 (en) 2002-07-08 2006-02-14 Viciciv Technology Semiconductor latches and SRAM devices
US20040009409A1 (en) 2002-07-11 2004-01-15 Jiunn-Ren Hwang Optical proximity correction method
US7063923B2 (en) 2002-07-11 2006-06-20 United Electronics Corp. Optical proximity correction method
US7231628B2 (en) 2002-07-12 2007-06-12 Cadence Design Systems, Inc. Method and system for context-specific mask inspection
JP4416384B2 (ja) 2002-07-19 2010-02-17 株式会社ルネサステクノロジ 半導体集積回路
KR100445638B1 (ko) 2002-07-26 2004-08-25 삼성전자주식회사 전기적으로 분리된 영역들을 연결하는 상호 연결 구조 및그 제조방법
US7739624B2 (en) 2002-07-29 2010-06-15 Synopsys, Inc. Methods and apparatuses to generate a shielding mesh for integrated circuit devices
US7171645B2 (en) 2002-08-06 2007-01-30 Matsushita Electric Industrial Co., Ltd. Semiconductor device, method of generating pattern for semiconductor device, method of manufacturing semiconductor device and device of generating pattern used for semiconductor device
KR100493025B1 (ko) 2002-08-07 2005-06-07 삼성전자주식회사 반도체 메모리 장치의 제조 방법
US6789244B1 (en) 2002-08-08 2004-09-07 Xilinx, Inc. Placement of clock objects under constraints
FR2843481B1 (fr) 2002-08-08 2005-09-16 Soisic Memoire sur substrat du type silicium sur isolant
US7143380B1 (en) 2002-08-08 2006-11-28 Xilinx, Inc. Method for application of network flow techniques under constraints
JP2004079694A (ja) * 2002-08-14 2004-03-11 Fujitsu Ltd スタンダードセル
US6785875B2 (en) 2002-08-15 2004-08-31 Fulcrum Microsystems, Inc. Methods and apparatus for facilitating physical synthesis of an integrated circuit design
US6854100B1 (en) 2002-08-27 2005-02-08 Taiwan Semiconductor Manufacturing Company Methodology to characterize metal sheet resistance of copper damascene process
US7345511B2 (en) 2002-08-29 2008-03-18 Technion Research & Development Foundation Ltd. Logic circuit and method of logic circuit design
JP3795846B2 (ja) 2002-08-29 2006-07-12 富士通株式会社 半導体装置
US6734521B2 (en) 2002-08-30 2004-05-11 Texas Instruments Incorporated Integrated circuit cells
DE10241170A1 (de) 2002-09-05 2004-03-18 Infineon Technologies Ag Hochdichter NROM-FINFET
US20040049754A1 (en) 2002-09-06 2004-03-11 Sun Microsystems, Inc. Method and apparatus for filling and connecting filler material in a layout
TWI274969B (en) 2002-09-11 2007-03-01 Asml Masktools Bv Method and computer program product of generating masks and mask generated thereby, device manufacturing method and device manufactured thereby, and method of printing pattern
US6807663B2 (en) 2002-09-23 2004-10-19 Numerical Technologies, Inc. Accelerated layout processing using OPC pre-processing
US6928635B2 (en) 2002-09-25 2005-08-09 Numerical Technologies, Inc. Selectively applying resolution enhancement techniques to improve performance and manufacturing cost of integrated circuits
US7327597B1 (en) 2002-10-02 2008-02-05 Cisco Technology, Inc. Static random access memory architecture
JP4279782B2 (ja) 2002-10-10 2009-06-17 富士通株式会社 レイアウト方法及び装置並びにそのプログラム及び記録媒体
US7214579B2 (en) 2002-10-24 2007-05-08 Nxp Bv. Self-aligned 2-bit “double poly CMP” flash memory cell
US6994939B1 (en) 2002-10-29 2006-02-07 Advanced Micro Devices, Inc. Semiconductor manufacturing resolution enhancement system and method for simultaneously patterning different feature types
US7053424B2 (en) 2002-10-31 2006-05-30 Yamaha Corporation Semiconductor integrated circuit device and its manufacture using automatic layout
US7219326B2 (en) 2002-12-16 2007-05-15 Intrinsity, Inc. Physical realization of dynamic logic using parameterized tile partitioning
JP3848248B2 (ja) 2002-12-17 2006-11-22 株式会社東芝 Sramセルおよびそれを用いたメモリ集積回路
US6953956B2 (en) 2002-12-18 2005-10-11 Easic Corporation Semiconductor device having borderless logic array and flexible I/O
US7093228B2 (en) 2002-12-20 2006-08-15 Lsi Logic Corporation Method and system for classifying an integrated circuit for optical proximity correction
JP4202120B2 (ja) 2002-12-27 2008-12-24 セイコーインスツル株式会社 集積回路の最適化設計装置
EP1434264A3 (en) 2002-12-27 2017-01-18 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method using the transfer technique
US6898770B2 (en) 2003-01-09 2005-05-24 Lsi Logic Corporation Split and merge design flow concept for fast turnaround time of circuit layout design
JP4136684B2 (ja) 2003-01-29 2008-08-20 Necエレクトロニクス株式会社 半導体装置及びそのダミーパターンの配置方法
US6996790B2 (en) 2003-01-30 2006-02-07 Synopsys, Inc. System and method for generating a two-dimensional yield map for a full layout
JP2004241529A (ja) 2003-02-05 2004-08-26 Matsushita Electric Ind Co Ltd 半導体回路装置及びその回路シミュレーション方法
US6884712B2 (en) 2003-02-07 2005-04-26 Chartered Semiconductor Manufacturing, Ltd. Method of manufacturing semiconductor local interconnect and contact
US6777146B1 (en) 2003-02-21 2004-08-17 International Business Machines Corporation Method of optical proximity correction with sub-resolution assists
JP2004253730A (ja) 2003-02-21 2004-09-09 Renesas Technology Corp 半導体集積回路装置およびその製造方法
US7149999B2 (en) 2003-02-25 2006-12-12 The Regents Of The University Of California Method for correcting a mask design layout
DE602004022141D1 (de) 2003-02-27 2009-09-03 Univ Hong Kong Mehrfachbelichtungsverfahren zur schaltungsleistungsverbesserung und maskenset
JP4531340B2 (ja) 2003-02-27 2010-08-25 ルネサスエレクトロニクス株式会社 マルチプレクサセルのレイアウト構造
JP4290457B2 (ja) 2003-03-31 2009-07-08 株式会社ルネサステクノロジ 半導体記憶装置
JP3920804B2 (ja) 2003-04-04 2007-05-30 松下電器産業株式会社 半導体記憶装置
US6931617B2 (en) 2003-04-21 2005-08-16 Synopsys, Inc. Mask cost driven logic optimization and synthesis
TW594991B (en) 2003-04-29 2004-06-21 Faraday Tech Corp Integrated circuit with one metal layer for programming functionality of a logic operation module
US7065731B2 (en) 2003-05-07 2006-06-20 Cadence Design Systems, Inc. Removal of acute angles in a design layout
KR101189346B1 (ko) 2003-05-07 2012-10-09 모사이드 테크놀로지스, 인코포레이티드 파워 아일랜드를 사용한 집적 회로의 파워 관리
US7093208B2 (en) 2003-05-12 2006-08-15 International Business Machines Corporation Method for tuning a digital design for synthesized random logic circuit macros in a continuous design space with optional insertion of multiple threshold voltage devices
JP2004342757A (ja) 2003-05-14 2004-12-02 Toshiba Corp 半導体集積回路及びその設計方法
US7063920B2 (en) 2003-05-16 2006-06-20 Asml Holding, N.V. Method for the generation of variable pitch nested lines and/or contact holes using fixed size pixels for direct-write lithographic systems
JP4233381B2 (ja) 2003-05-21 2009-03-04 株式会社ルネサステクノロジ 半導体装置とその製造方法
US7062740B2 (en) 2003-05-22 2006-06-13 Taiwan Semiconductor Manufacturing Co., Ltd. System and method for reducing design cycle time for designing input/output cells
US7770144B2 (en) 2003-05-28 2010-08-03 Eric Dellinger Modular array defined by standard cell logic
US7107551B1 (en) 2003-05-30 2006-09-12 Prolific, Inc. Optimization of circuit designs using a continuous spectrum of library cells
US7183611B2 (en) 2003-06-03 2007-02-27 Micron Technology, Inc. SRAM constructions, and electronic systems comprising SRAM constructions
US7400627B2 (en) 2003-06-05 2008-07-15 Brooktree Broadband Holding, Inc. ATM header compression using hash tables
US6992916B2 (en) 2003-06-13 2006-01-31 Taiwan Semiconductor Manufacturing Co., Ltd. SRAM cell design with high resistor CMOS gate structure for soft error rate improvement
JP4245418B2 (ja) 2003-06-25 2009-03-25 富士通マイクロエレクトロニクス株式会社 斜め方向配線を有する半導体集積回路装置及びそのレイアウト方法
US20050009312A1 (en) 2003-06-26 2005-01-13 International Business Machines Corporation Gate length proximity corrected device
US6900999B1 (en) 2003-06-30 2005-05-31 Integrated Device Technology, Inc. Ternary content addressable memory (TCAM) cells with small footprint size and efficient layout aspect ratio
KR100577610B1 (ko) 2003-07-15 2006-05-10 삼성전자주식회사 반도체 장치, 반도체 장치의 제조 방법 및 에스램 장치,에스램 장치 제조 방법.
US6993741B2 (en) 2003-07-15 2006-01-31 International Business Machines Corporation Generating mask patterns for alternating phase-shift mask lithography
EP1519421A1 (en) 2003-09-25 2005-03-30 Interuniversitair Microelektronica Centrum Vzw Multiple gate semiconductor device and method for forming same
US6921982B2 (en) 2003-07-21 2005-07-26 International Business Machines Corporation FET channel having a strained lattice structure along multiple surfaces
EP1569273A3 (fr) 2003-07-30 2005-09-14 St Microelectronics S.A. Lignes conductrices enterrées dans des zones d'isolement
JP4398195B2 (ja) 2003-08-08 2010-01-13 パナソニック株式会社 半導体記憶装置
US6924560B2 (en) 2003-08-08 2005-08-02 Taiwan Semiconductor Manufacturing Co., Ltd. Compact SRAM cell with FinFET
JP4620942B2 (ja) 2003-08-21 2011-01-26 川崎マイクロエレクトロニクス株式会社 半導体集積回路のレイアウト方法、そのレイアウト構造、およびフォトマスク
TWI220268B (en) 2003-09-17 2004-08-11 Faraday Tech Corp Method for programming a routing layout design through one via layer
US7345909B2 (en) 2003-09-24 2008-03-18 Yen-Jen Chang Low-power SRAM memory cell
US6957402B2 (en) 2003-09-24 2005-10-18 Artisan Components, Inc. Yield maximization in the manufacture of integrated circuits
KR100516226B1 (ko) 2003-09-25 2005-09-23 동부아남반도체 주식회사 에스램 테스트용 셀 및 에스램 셀 테스트 방법
JP4599048B2 (ja) 2003-10-02 2010-12-15 川崎マイクロエレクトロニクス株式会社 半導体集積回路のレイアウト構造、半導体集積回路のレイアウト方法、およびフォトマスク
JP2005114752A (ja) 2003-10-02 2005-04-28 Yamaha Corp 演奏装置
JP4632287B2 (ja) 2003-10-06 2011-02-16 株式会社日立製作所 半導体集積回路装置
US7155689B2 (en) 2003-10-07 2006-12-26 Magma Design Automation, Inc. Design-manufacturing interface via a unified model
FR2860920A1 (fr) 2003-10-14 2005-04-15 St Microelectronics Sa Procede de realisation de connexions conductrices de circuits integres, et circuit integre mettant en oeuvre des telles connexions
JP2005123537A (ja) 2003-10-20 2005-05-12 Sony Corp 半導体装置及び製造方法
JP2005123524A (ja) 2003-10-20 2005-05-12 Toshiba Corp 半導体装置及びその製造方法
US6867073B1 (en) 2003-10-21 2005-03-15 Ziptronix, Inc. Single mask via method and device
JP4346410B2 (ja) 2003-10-28 2009-10-21 東芝メモリシステムズ株式会社 半導体集積回路の配線設計方法及び半導体集積回路
US7329953B2 (en) 2003-10-29 2008-02-12 Taiwan Semiconductor Manufacturing Co., Ltd. Structure for reducing leakage currents and high contact resistance for embedded memory and method for making same
JP2005149265A (ja) 2003-11-18 2005-06-09 Olympus Corp 演算処理システム及び演算処理装置
US7269803B2 (en) 2003-12-18 2007-09-11 Lsi Corporation System and method for mapping logical components to physical locations in an integrated circuit design environment
US7052972B2 (en) 2003-12-19 2006-05-30 Micron Technology, Inc. Method for forming sublithographic features during the manufacture of a semiconductor device and a resulting in-process apparatus
JP4585197B2 (ja) 2003-12-22 2010-11-24 ルネサスエレクトロニクス株式会社 レイアウト設計方法およびフォトマスク
KR100702552B1 (ko) 2003-12-22 2007-04-04 인터내셔널 비지네스 머신즈 코포레이션 이중 게이트 FinFET 디자인을 위한 자동화 레이어생성 방법 및 장치
ATE501907T1 (de) 2003-12-26 2011-04-15 Tan-Cheng Huang Hydraulische scheibenbremse
JP2005197345A (ja) 2004-01-05 2005-07-21 Hitachi Ltd 半導体装置
JP2005203447A (ja) 2004-01-13 2005-07-28 Toshiba Corp 半導体集積回路、半導体集積回路設計システム及び半導体集積回路設計方法
US7064068B2 (en) 2004-01-23 2006-06-20 Taiwan Semiconductor Manufacturing Company, Ltd. Method to improve planarity of electroplated copper
KR100564612B1 (ko) 2004-02-19 2006-03-28 삼성전자주식회사 하드 디스크 드라이브
US7523429B2 (en) 2004-02-20 2009-04-21 Takumi Technology Corporation System for designing integrated circuits with enhanced manufacturability
US7569308B2 (en) 2004-02-24 2009-08-04 The University Of Hong Kong Rectangular contact lithography for circuit performance improvement and manufacture cost reduction
JP2005243928A (ja) 2004-02-26 2005-09-08 Fujitsu Ltd トレンチアイソレーションで分離されたトランジスタ対を有する半導体装置
US7353492B2 (en) 2004-02-26 2008-04-01 International Business Machines Corporation Method of IC fabrication, IC mask fabrication and program product therefor
US7084476B2 (en) 2004-02-26 2006-08-01 International Business Machines Corp. Integrated circuit logic with self compensating block delays
US7335966B2 (en) 2004-02-26 2008-02-26 Triad Semiconductor, Inc. Configurable integrated circuit capacitor array using via mask layers
US7115343B2 (en) 2004-03-10 2006-10-03 International Business Machines Corporation Pliant SRAF for improved performance and manufacturability
JP4317777B2 (ja) 2004-03-10 2009-08-19 パナソニック株式会社 半導体集積回路
US7423298B2 (en) 2004-03-17 2008-09-09 Sharp Kabushiki Kaisha Bidirectional photothyristor chip, optical lighting coupler, and solid state relay
JP2005268610A (ja) 2004-03-19 2005-09-29 Matsushita Electric Ind Co Ltd スタンダードセルの設計方法及び半導体集積回路
DE102004063926B4 (de) 2004-03-24 2017-10-19 Infineon Technologies Ag Konfigurierbare Treiberzelle eines logischen Zellenfeldes
US7126837B1 (en) 2004-03-26 2006-10-24 Netlogic Microsystems, Inc. Interlocking memory/logic cell layout and method of manufacture
JP5149617B2 (ja) 2004-04-01 2013-02-20 エーアールエム リミテッド 改良されたレイアウトのsramメモリセル
EP1738412A1 (en) 2004-04-02 2007-01-03 Triad Semiconductor, Inc. Via configurable architecture for customization of analog circuitry in a semiconductor device
TWI297446B (en) 2004-04-02 2008-06-01 Clear Shape Technologies Inc Delta information design closure in integrated circuit fabrication
US7653890B2 (en) 2004-04-02 2010-01-26 Cadence Design Systems, Inc. Modeling resolution enhancement processes in integrated circuit fabrication
US20050229130A1 (en) 2004-04-07 2005-10-13 Aprio Technologies, Inc. Method and apparatus for selective, incremental, reconfigurable and reusable semiconductor manufacturing resolution-enhancements
US7404173B2 (en) 2004-04-07 2008-07-22 Aprio Technologies, Inc. Intermediate layout for resolution enhancement in semiconductor fabrication
US7115920B2 (en) 2004-04-12 2006-10-03 International Business Machines Corporation FinFET transistor and circuit
CN100576725C (zh) 2004-04-20 2009-12-30 Nxp股份有限公司 提供差分输出信号的差分接收机和方法
JP2007536581A (ja) 2004-05-07 2007-12-13 メンター・グラフィクス・コーポレーション プロセス変動バンドを用いた集積回路レイアウト設計法
US7194712B2 (en) 2004-05-12 2007-03-20 Synopsys, Inc. Method and apparatus for identifying line-end features for lithography verification
US7053668B2 (en) 2004-05-25 2006-05-30 Kabushiki Kaisha Toshiba SOI sense amplifier with cross-coupled body terminal
US7426710B2 (en) 2004-05-27 2008-09-16 Verisilicon Holdings, Co. Ltd. Standard cell library having cell drive strengths selected according to delay
US6975133B1 (en) 2004-05-27 2005-12-13 International Business Machines Corporation Logic circuits having linear and cellular gate transistors
US7257017B2 (en) 2004-05-28 2007-08-14 Taiwan Semiconductor Manufacturing Company, Ltd. SRAM cell for soft-error rate reduction and cell stability improvement
KR100591158B1 (ko) 2004-06-01 2006-06-19 동부일렉트로닉스 주식회사 반도체 소자의 게이트 전극의 제조 방법
US20070257277A1 (en) 2004-06-04 2007-11-08 Nec Corporation Semiconductor Device and Method for Manufacturing the Same
JP4834853B2 (ja) * 2004-06-10 2011-12-14 シャープ株式会社 薄膜トランジスタ回路、薄膜トランジスタ回路の設計方法、薄膜トランジスタ回路の設計プログラム、設計プログラム記録媒体、及び表示装置
JP4248451B2 (ja) 2004-06-11 2009-04-02 パナソニック株式会社 半導体装置およびそのレイアウト設計方法
JP4778689B2 (ja) 2004-06-16 2011-09-21 パナソニック株式会社 標準セル、標準セルライブラリおよび半導体集積回路
US7327591B2 (en) 2004-06-17 2008-02-05 Texas Instruments Incorporated Staggered memory cell array
US7003068B2 (en) 2004-06-21 2006-02-21 Kenet, Inc. Device for subtracting or adding a constant amount of charge in a charge-coupled device at high operating frequencies
JP4405865B2 (ja) 2004-06-24 2010-01-27 富士通マイクロエレクトロニクス株式会社 多層配線構造の製造方法及びfib装置
JP4175649B2 (ja) 2004-07-22 2008-11-05 松下電器産業株式会社 半導体装置
AU2005269568A1 (en) 2004-07-27 2006-02-09 Easic Corporation Structured integrated circuit device
US7176508B2 (en) 2004-07-27 2007-02-13 International Business Machines Corporation Temperature sensor for high power very large scale integration circuits
JP2006049780A (ja) 2004-08-09 2006-02-16 Elpida Memory Inc 半導体集積回路装置
US7093213B2 (en) 2004-08-13 2006-08-15 International Business Machines Corporation Method for designing an integrated circuit defect monitor
US7365432B2 (en) 2004-08-23 2008-04-29 Taiwan Semiconductor Manufacturing Company, Ltd. Memory cell structure
JP2006073696A (ja) 2004-09-01 2006-03-16 Matsushita Electric Ind Co Ltd スタンダードセルを用いた半導体集積回路とその設計方法
US7632610B2 (en) 2004-09-02 2009-12-15 Intel Corporation Sub-resolution assist features
US20060063334A1 (en) 2004-09-17 2006-03-23 International Business Machines Corporation Fin FET diode structures and methods for building
US7227183B2 (en) 2004-09-17 2007-06-05 International Business Machines Corporation Polysilicon conductor width measurement for 3-dimensional FETs
US7185294B2 (en) 2004-09-23 2007-02-27 Verisilicon Holdings, Co Ltd Standard cell library having globally scalable transistor channel length
DE102004047263B4 (de) 2004-09-24 2010-04-22 Qimonda Ag Verfahren zum Erzeugen eines Abbildungsfehler vermeidenden Maskenlayouts für eine Maske
US7466607B2 (en) 2004-09-30 2008-12-16 Analog Devices, Inc. Memory access system and method using de-coupled read and write circuits
JP2006100718A (ja) 2004-09-30 2006-04-13 Matsushita Electric Ind Co Ltd 半導体集積回路装置の動作解析方法、これに用いられる解析装置およびこれを用いた最適化設計方法
US7337421B2 (en) 2004-09-30 2008-02-26 Cadence Design Systems, Inc. Method and system for managing design corrections for optical and process effects based on feature tolerances
JP2006114668A (ja) 2004-10-14 2006-04-27 Sony Corp 半導体集積回路およびその製造方法
US7487475B1 (en) 2004-10-15 2009-02-03 Cadence Design Systems, Inc. Systems, methods, and apparatus to perform statistical static timing analysis
JP2006119195A (ja) 2004-10-19 2006-05-11 Nec Electronics Corp 配線のレイアウト方法
US7458045B2 (en) 2004-10-29 2008-11-25 Synopsys, Inc. Silicon tolerance specification using shapes as design intent markers
US7302651B2 (en) 2004-10-29 2007-11-27 International Business Machines Corporation Technology migration for integrated circuits with radical design restrictions
JP4768251B2 (ja) 2004-11-01 2011-09-07 株式会社東芝 半導体集積回路の設計方法、半導体集積回路の設計システム及び半導体集積回路の製造方法
WO2006052738A2 (en) 2004-11-04 2006-05-18 Fabbrix, Inc. A method and process for design of integrated circuits using regular geometry patterns to obtain geometrically consistent component features
KR100587692B1 (ko) 2004-11-05 2006-06-08 삼성전자주식회사 반도체 메모리 장치에서의 회로 배선 배치구조와 그에따른 배치방법
US7350183B2 (en) 2004-11-05 2008-03-25 International Business Machines Corporation Method for improving optical proximity correction
JP2006156778A (ja) 2004-11-30 2006-06-15 Matsushita Electric Ind Co Ltd 半導体装置及びそのレイアウト設計方法
US7465973B2 (en) 2004-12-03 2008-12-16 International Business Machines Corporation Integrated circuit having gates and active regions forming a regular grating
US7424696B2 (en) 2004-12-03 2008-09-09 Lsi Corporation Power mesh for multiple frequency operation of semiconductor products
US7345330B2 (en) 2004-12-09 2008-03-18 Omnivision Technologies, Inc. Local interconnect structure and method for a CMOS image sensor
JP2006165365A (ja) 2004-12-09 2006-06-22 Renesas Technology Corp 半導体装置および半導体装置の製造方法
US7396732B2 (en) 2004-12-17 2008-07-08 Interuniversitair Microelektronica Centrum Vzw (Imec) Formation of deep trench airgaps and related applications
JP4357409B2 (ja) 2004-12-17 2009-11-04 株式会社東芝 半導体集積回路装置及びその設計方法
JP2007043049A (ja) 2004-12-20 2007-02-15 Matsushita Electric Ind Co Ltd セル、スタンダードセル、スタンダードセル配置方法、スタンダードセルライブラリ、ならびに半導体集積回路
FR2879816B1 (fr) 2004-12-20 2007-06-08 Atmel Nantes Sa Sa Circuit electronique comprenant au moins une premiere et une seconde paires differentielles dont les transistors partagent un meme caisson
CN101091252B (zh) 2004-12-28 2012-09-05 斯班逊有限公司 半导体装置以及控制半导体装置操作的方法
US7106620B2 (en) 2004-12-30 2006-09-12 International Business Machines Corporation Memory cell having improved read stability
US7743349B2 (en) 2004-12-31 2010-06-22 Tela Innovations, Inc. Method and system for finding an equivalent circuit representation for one or more elements in an integrated circuit
US7509621B2 (en) 2005-01-03 2009-03-24 Synopsys, Inc. Method and apparatus for placing assist features by identifying locations of constructive and destructive interference
US7366997B1 (en) 2005-01-11 2008-04-29 Synplicity, Inc. Methods and apparatuses for thermal analysis based circuit design
JP2006196627A (ja) 2005-01-12 2006-07-27 Nec Electronics Corp 半導体装置、及び半導体装置の設計プログラム
DE102005002533B4 (de) 2005-01-14 2007-09-13 Infineon Technologies Ag Verfahren zum Erzeugen eines Abbildungsfehler vermeidenden Maskenlayouts für eine Maske
JP4455356B2 (ja) 2005-01-28 2010-04-21 Necエレクトロニクス株式会社 半導体装置
JP4602112B2 (ja) 2005-02-17 2010-12-22 株式会社東芝 半導体集積回路の製造方法及び半導体集積回路
WO2006090445A1 (ja) 2005-02-23 2006-08-31 Fujitsu Limited 半導体回路装置及びその半導体回路装置の製造方法
US7200835B2 (en) 2005-02-24 2007-04-03 Texas Instruments Incorporated Method of locating sub-resolution assist feature(s)
US7287237B2 (en) 2005-02-24 2007-10-23 Icera Inc. Aligned logic cell grid and interconnect routing architecture
US7721246B2 (en) 2005-02-24 2010-05-18 Synopsys, Inc. Method and apparatus for quickly determining the effect of placing an assist feature at a location in a layout
US7266787B2 (en) * 2005-02-24 2007-09-04 Icera, Inc. Method for optimising transistor performance in integrated circuits
US7421678B2 (en) 2005-02-24 2008-09-02 Synopsys, Inc. Assist feature placement using a process-sensitivity model
US7188322B2 (en) 2005-02-25 2007-03-06 International Business Machines Corporation Circuit layout methodology using a shape processing application
TWI281317B (en) 2005-03-07 2007-05-11 Sunplus Technology Co Ltd Self DC-bias high frequency logic gate, NAND gate, and NOR gate using the same
US7304874B2 (en) 2005-03-08 2007-12-04 Lsi Corporation Compact ternary and binary CAM bitcell architecture with no enclosed diffusion areas
US7992122B1 (en) 2005-03-25 2011-08-02 Gg Technology, Inc. Method of placing and routing for power optimization and timing closure
US7563701B2 (en) 2005-03-31 2009-07-21 Intel Corporation Self-aligned contacts for transistors
US7882456B2 (en) 2005-04-09 2011-02-01 Cadence Design Systems, Inc. Optical lithography correction process
JP4617272B2 (ja) 2005-04-12 2011-01-19 エーエスエムエル マスクツールズ ビー.ブイ. 二重露光リソグラフィを実行するための方法、プログラム製品及びデバイス製造方法
JP4634849B2 (ja) 2005-04-12 2011-02-16 株式会社東芝 集積回路のパターンレイアウト、フォトマスク、半導体装置の製造方法、及びデータ作成方法
JP4921723B2 (ja) 2005-04-18 2012-04-25 株式会社東芝 半導体装置の製造方法
TWI297101B (en) 2005-04-20 2008-05-21 Nanya Technology Corp Phase shifting mask for equal line/space dense line patterns
US7480891B2 (en) 2005-04-29 2009-01-20 Cadence Design Systems, Inc. Method and apparatus of model-based photomask synthesis
US7506300B2 (en) 2005-04-29 2009-03-17 Cadence Design Systems, Inc. Apparatus and method for breaking up and merging polygons
US7441211B1 (en) 2005-05-06 2008-10-21 Blaze Dfm, Inc. Gate-length biasing for digital circuit optimization
US8044437B1 (en) 2005-05-16 2011-10-25 Lsi Logic Corporation Integrated circuit cell architecture configurable for memory or logic elements
JP4936418B2 (ja) 2005-05-17 2012-05-23 ルネサスエレクトロニクス株式会社 半導体装置とその製造方法、及び半導体装置の設計プログラム
US7308669B2 (en) 2005-05-18 2007-12-11 International Business Machines Corporation Use of redundant routes to increase the yield and reliability of a VLSI layout
JP4912016B2 (ja) 2005-05-23 2012-04-04 ルネサスエレクトロニクス株式会社 半導体記憶装置
WO2006126125A1 (en) 2005-05-26 2006-11-30 Nxp B.V. Electronic device
US7411252B2 (en) 2005-06-21 2008-08-12 International Business Machines Corporation Substrate backgate for trigate FET
US7960791B2 (en) 2005-06-24 2011-06-14 International Business Machines Corporation Dense pitch bulk FinFET process by selective EPI and etch
US7492013B2 (en) 2005-06-27 2009-02-17 International Business Machines Corporation Systems and arrangements to interconnect components of a semiconductor device
EP1907957A4 (en) 2005-06-29 2013-03-20 Otrsotech Ltd Liability Company INVESTMENT METHODS AND SYSTEMS
US8405216B2 (en) 2005-06-29 2013-03-26 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure for integrated circuits
JP2007012855A (ja) 2005-06-30 2007-01-18 Matsushita Electric Ind Co Ltd 半導体集積回路、標準セル、標準セルライブラリ、半導体集積回路の設計方法および半導体集積回路の設計装置
US7236396B2 (en) 2005-06-30 2007-06-26 Texas Instruments Incorporated Area efficient implementation of small blocks in an SRAM array
JP2007013060A (ja) 2005-07-04 2007-01-18 Matsushita Electric Ind Co Ltd 半導体装置
JP2007018588A (ja) 2005-07-06 2007-01-25 Toshiba Corp 半導体記憶装置および半導体記憶装置の駆動方法
US7235424B2 (en) 2005-07-14 2007-06-26 Taiwan Semiconductor Manufacturing Co., Ltd. Method and apparatus for enhanced CMP planarization using surrounded dummy design
WO2007014053A2 (en) 2005-07-22 2007-02-01 Nanopower Technologies, Inc. High sensitivity rfid tag integrated circuits
JP4394143B2 (ja) 2005-07-22 2010-01-06 富士通マイクロエレクトロニクス株式会社 フォトマスクパターンデータの作成方法、及び、そのフォトマスクを用いた半導体装置の製造方法
US7404154B1 (en) 2005-07-25 2008-07-22 Lsi Corporation Basic cell architecture for structured application-specific integrated circuits
US7934172B2 (en) 2005-08-08 2011-04-26 Micronic Laser Systems Ab SLM lithography: printing to below K1=.30 without previous OPC processing
US7568174B2 (en) 2005-08-19 2009-07-28 Cadence Design Systems, Inc. Method for checking printability of a lithography target
JP2007093861A (ja) 2005-09-28 2007-04-12 Renesas Technology Corp マスクパターン設計方法および半導体装置の製造方法
US7749662B2 (en) 2005-10-07 2010-07-06 Globalfoundries Inc. Process margin using discrete assist features
US7485934B2 (en) 2005-10-25 2009-02-03 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated semiconductor structure for SRAM cells
JP4796817B2 (ja) 2005-10-31 2011-10-19 エルピーダメモリ株式会社 基本セル設計方法、レイアウト設計方法、設計装置およびプログラム
US7397260B2 (en) 2005-11-04 2008-07-08 International Business Machines Corporation Structure and method for monitoring stress-induced degradation of conductive interconnects
US20070106971A1 (en) 2005-11-04 2007-05-10 Lizotech, Inc. Apparatus for a routing system
US7569309B2 (en) 2005-11-09 2009-08-04 Texas Instruments Incorporated Gate critical dimension variation by use of ghost features
US7527900B2 (en) 2005-11-10 2009-05-05 United Microelectronics Corp. Reticle and optical proximity correction method
US7934184B2 (en) 2005-11-14 2011-04-26 Takumi Technology Corporation Integrated circuit design using modified cells
JP2007141971A (ja) 2005-11-15 2007-06-07 Matsushita Electric Ind Co Ltd 半導体集積回路の設計方法
WO2007063990A1 (ja) 2005-12-02 2007-06-07 Nec Corporation 半導体装置およびその製造方法
US7543262B2 (en) 2005-12-06 2009-06-02 Cadence Design Systems, Inc. Analog layout module generator and method
US7569310B2 (en) 2005-12-07 2009-08-04 Intel Corporation Sub-resolution assist features for photolithography with trim ends
US7512017B2 (en) 2005-12-21 2009-03-31 Intel Corporation Integration of planar and tri-gate devices on the same substrate
JP4774294B2 (ja) 2005-12-26 2011-09-14 富士通株式会社 集積回路レイアウト装置、その方法及びプログラム
EP1804282A1 (en) 2005-12-29 2007-07-04 Interuniversitair Microelektronica Centrum vzw ( IMEC) Methods for manufacturing dense integrated circuits
US7640522B2 (en) 2006-01-14 2009-12-29 Tela Innovations, Inc. Method and system for placing layout objects in a standard-cell layout
US7614030B2 (en) 2006-01-17 2009-11-03 Taiwan Semiconductor Manufacturing Co., Ltd. Scattering bar OPC application method for mask ESD prevention
JP5091462B2 (ja) 2006-01-19 2012-12-05 パナソニック株式会社 セルおよび半導体装置
JP4675249B2 (ja) 2006-02-07 2011-04-20 パナソニック株式会社 位置依存変動量計算方法並びに回路解析方法
US7480880B2 (en) 2006-02-21 2009-01-20 International Business Machines Corporation Method, system, and program product for computing a yield gradient from statistical timing
US7469401B2 (en) 2006-02-22 2008-12-23 International Business Machines Corporation Method for using partitioned masks to build a chip
JP4644614B2 (ja) 2006-02-27 2011-03-02 富士通セミコンダクター株式会社 レイアウトエディタ装置、配線表示方法、及び配線表示プログラム
US8658542B2 (en) 2006-03-09 2014-02-25 Tela Innovations, Inc. Coarse grid design methods and structures
US8225261B2 (en) 2006-03-09 2012-07-17 Tela Innovations, Inc. Methods for defining contact grid in dynamic array architecture
US7908578B2 (en) 2007-08-02 2011-03-15 Tela Innovations, Inc. Methods for designing semiconductor device with dynamic array section
US8839175B2 (en) 2006-03-09 2014-09-16 Tela Innovations, Inc. Scalable meta-data objects
US9563733B2 (en) 2009-05-06 2017-02-07 Tela Innovations, Inc. Cell circuit and layout with linear finfet structures
US8245180B2 (en) 2006-03-09 2012-08-14 Tela Innovations, Inc. Methods for defining and using co-optimized nanopatterns for integrated circuit design and apparatus implementing same
US8653857B2 (en) * 2006-03-09 2014-02-18 Tela Innovations, Inc. Circuitry and layouts for XOR and XNOR logic
US8225239B2 (en) 2006-03-09 2012-07-17 Tela Innovations, Inc. Methods for defining and utilizing sub-resolution features in linear topology
US7446352B2 (en) 2006-03-09 2008-11-04 Tela Innovations, Inc. Dynamic array architecture
US7763534B2 (en) 2007-10-26 2010-07-27 Tela Innovations, Inc. Methods, structures and designs for self-aligning local interconnects used in integrated circuits
US8247846B2 (en) 2006-03-09 2012-08-21 Tela Innovations, Inc. Oversized contacts and vias in semiconductor chip defined by linearly constrained topology
US7956421B2 (en) 2008-03-13 2011-06-07 Tela Innovations, Inc. Cross-coupled transistor layouts in restricted gate level layout architecture
US9009641B2 (en) 2006-03-09 2015-04-14 Tela Innovations, Inc. Circuits with linear finfet structures
US9035359B2 (en) 2006-03-09 2015-05-19 Tela Innovations, Inc. Semiconductor chip including region including linear-shaped conductive structures forming gate electrodes and having electrical connection areas arranged relative to inner region between transistors of different types and associated methods
US7932545B2 (en) 2006-03-09 2011-04-26 Tela Innovations, Inc. Semiconductor device and associated layouts including gate electrode level region having arrangement of six linear conductive segments with side-to-side spacing less than 360 nanometers
US7943967B2 (en) 2006-03-09 2011-05-17 Tela Innovations, Inc. Semiconductor device and associated layouts including diffusion contact placement restriction based on relation to linear conductive segments
JP2007265179A (ja) 2006-03-29 2007-10-11 Fujitsu Ltd レイアウト検証方法、レイアウト検証装置
JP4882455B2 (ja) 2006-03-31 2012-02-22 富士通セミコンダクター株式会社 半導体集積回路のユニットセルおよびユニットセルを使用した配線方法および配線プログラム
US7437691B2 (en) 2006-04-11 2008-10-14 International Business Machines Corporation VLSI artwork legalization for hierarchical designs with multiple grid constraints
US7484197B2 (en) 2006-04-14 2009-01-27 International Business Machines Corporation Minimum layout perturbation-based artwork legalization with grid constraints for hierarchical designs
US7509622B2 (en) 2006-04-17 2009-03-24 Synopsys, Inc. Dummy filling technique for improved planarization of chip surface topography
JP5579959B2 (ja) 2006-04-18 2014-08-27 ピーエスフォー ルクスコ エスエイアールエル 半導体装置
US7407890B2 (en) 2006-04-21 2008-08-05 International Business Machines Corporation Patterning sub-lithographic features with variable widths
US7355906B2 (en) 2006-05-24 2008-04-08 International Business Machines Corporation SRAM cell design to improve stability
US7941776B2 (en) 2006-05-26 2011-05-10 Open-Silicon Inc. Method of IC design optimization via creation of design-specific cells from post-layout patterns
WO2007149004A1 (en) 2006-06-13 2007-12-27 Freescale Semiconductor, Inc. Methods and apparatus for simulating distributed effects
US7317339B1 (en) 2006-06-16 2008-01-08 Via Technologies, Inc. N-domino register with accelerated non-discharge path
US7459792B2 (en) 2006-06-19 2008-12-02 Taiwan Semiconductor Manufacturing Co., Ltd. Via layout with via groups placed in interlocked arrangement
US7992117B2 (en) 2006-06-20 2011-08-02 Adtran, Inc. System and method for designing a common centroid layout for an integrated circuit
JP2008004790A (ja) * 2006-06-23 2008-01-10 Oki Electric Ind Co Ltd スタンダードセル
JP2008004796A (ja) 2006-06-23 2008-01-10 Matsushita Electric Ind Co Ltd 半導体装置および回路素子レイアウト方法
US7444609B2 (en) 2006-06-29 2008-10-28 International Business Machines Corporation Method of optimizing customizable filler cells in an integrated circuit physical design process
US7763932B2 (en) 2006-06-29 2010-07-27 International Business Machines Corporation Multi-bit high-density memory device and architecture and method of fabricating multi-bit high-density memory devices
US7739627B2 (en) 2006-07-05 2010-06-15 Chew Marko P System and method of maximizing integrated circuit manufacturing yield with context-dependent yield cells
JP2008027940A (ja) 2006-07-18 2008-02-07 Matsushita Electric Ind Co Ltd 半導体集積回路の設計方法および回路シミュレーション方法
JP4973224B2 (ja) * 2006-07-19 2012-07-11 富士通セミコンダクター株式会社 電子回路装置設計方法、電子ビーム露光データ作成方法、及び、電子ビーム露光方法
DE102006037162B4 (de) 2006-08-01 2008-08-21 Qimonda Ag Verfahren und Vorrichtung und deren Verwendung zur Prüfung des Layouts einer elektronischen Schaltung
JP5080578B2 (ja) 2006-08-04 2012-11-21 サガンテック イスラエル リミテッド 回路レイアウトを所定のグリッドに適応させるための方法及びシステム
US7966579B2 (en) 2006-08-04 2011-06-21 Infineon Technologies Ag Methods of optical proximity correction
US7873929B2 (en) 2006-08-14 2011-01-18 The Regents Of The University Of California Method, apparatus and system for designing an integrated circuit including generating at least one auxiliary pattern for cell-based optical proximity correction
US7886262B2 (en) 2006-08-15 2011-02-08 Chew Marko P System and method of maximizing integrated circuit manufacturing yield with fabrication process simulation driven layout optimization
TW200811704A (en) * 2006-08-31 2008-03-01 Univ Nat Yunlin Sci & Tech Full adder of complementary type carry logic voltage compensator
KR100773353B1 (ko) 2006-09-26 2007-11-05 삼성전자주식회사 기판 플러그를 가지는 반도체 장치들 및 그의 형성방법들
US7434185B2 (en) 2006-09-27 2008-10-07 International Business Machines Corporation Method and apparatus for parallel data preparation and processing of integrated circuit graphical design data
JP4362785B2 (ja) 2006-09-28 2009-11-11 エルピーダメモリ株式会社 半導体装置の製造方法
US20080082952A1 (en) 2006-09-29 2008-04-03 Texas Instruments Incorporated Method of inclusion of sub-resolution assist feature(s)
JP4814044B2 (ja) 2006-10-05 2011-11-09 ルネサスエレクトロニクス株式会社 パターン設計方法
US8230379B2 (en) 2006-10-20 2012-07-24 Kabushiki Kaisha Toshiba Layout generating method for semiconductor integrated circuits
JP2008103610A (ja) 2006-10-20 2008-05-01 Matsushita Electric Ind Co Ltd 半導体集積回路の配線構造およびその設計方法と設計装置
US7624369B2 (en) 2006-10-31 2009-11-24 International Business Machines Corporation Closed-loop design for manufacturability process
US7774739B2 (en) 2006-11-30 2010-08-10 Texas Instruments Incorporated Methods for adjusting shifter width of an alternating phase shifter having variable width
US7802219B2 (en) 2006-11-30 2010-09-21 Cadence Design Systems, Inc. Flat placement of cells on non-integer multiple height rows in a digital integrated circuit layout
US8378407B2 (en) 2006-12-07 2013-02-19 Tower Semiconductor, Ltd. Floating gate inverter type memory cell and array
US8156450B2 (en) 2006-12-18 2012-04-10 Cadence Design Systems, Inc. Method and system for mask optimization
US7814447B2 (en) 2006-12-29 2010-10-12 Cadence Design Systems, Inc. Supplant design rules in electronic designs
US8178905B2 (en) 2007-01-12 2012-05-15 Panasonic Corporation Layout structure of semiconductor device
US7535751B2 (en) 2007-02-12 2009-05-19 Taiwan Semioconductor Manufacturing Co., Ltd. Dual-port SRAM device
JP5217180B2 (ja) 2007-02-20 2013-06-19 富士通セミコンダクター株式会社 静電放電保護装置の製造方法
US8667443B2 (en) 2007-03-05 2014-03-04 Tela Innovations, Inc. Integrated circuit cell library for multiple patterning
US20080216207A1 (en) 2007-03-09 2008-09-11 Shen-Hai Tsai Finger pressing massage glove
KR100911187B1 (ko) 2007-03-13 2009-08-06 주식회사 하이닉스반도체 래치 구조 및 그것을 포함하는 비트라인 센스앰프 구조
US7575973B2 (en) 2007-03-27 2009-08-18 Sandisk 3D Llc Method of making three dimensional NAND memory
US7543252B2 (en) 2007-03-28 2009-06-02 International Business Machines Corporation Migration of integrated circuit layout for alternating phase shift masks
US7791109B2 (en) 2007-03-29 2010-09-07 International Business Machines Corporation Metal silicide alloy local interconnect
US7757196B2 (en) 2007-04-04 2010-07-13 Cisco Technology, Inc. Optimizing application specific integrated circuit pinouts for high density interconnect printed circuit boards
US7723786B2 (en) 2007-04-11 2010-05-25 Ronald Kakoschke Apparatus of memory array using FinFETs
US7964267B1 (en) 2007-04-13 2011-06-21 Bae Systems Tensylon H.P.M., Inc. Ballistic-resistant panel including high modulus ultra high molecular weight polyethylene tape
US7453125B1 (en) 2007-04-24 2008-11-18 Infineon Technologies Ag Double mesh finfet
US20080283910A1 (en) 2007-05-15 2008-11-20 Qimonda Ag Integrated circuit and method of forming an integrated circuit
JP4461154B2 (ja) 2007-05-15 2010-05-12 株式会社東芝 半導体装置
US7911830B2 (en) 2007-05-17 2011-03-22 Integrated Magnetoelectronics Scalable nonvolatile memory
JP4445521B2 (ja) 2007-06-15 2010-04-07 株式会社東芝 半導体装置
US7898040B2 (en) 2007-06-18 2011-03-01 Infineon Technologies Ag Dual gate FinFET
US7923337B2 (en) 2007-06-20 2011-04-12 International Business Machines Corporation Fin field effect transistor devices with self-aligned source and drain regions
US7759194B2 (en) 2008-07-25 2010-07-20 Semiconductor Manufacturing International (Shanghai) Corporation Electrically programmable device with embedded EEPROM and method for making thereof
JP2009025914A (ja) 2007-07-17 2009-02-05 Nec Electronics Corp 半導体集積回路の設計方法及び設計プログラム
US7700466B2 (en) 2007-07-26 2010-04-20 International Business Machines Corporation Tunneling effect transistor with self-aligned gate
US7625790B2 (en) 2007-07-26 2009-12-01 International Business Machines Corporation FinFET with sublithographic fin width
US7562326B2 (en) * 2007-08-09 2009-07-14 United Microelectronics Corp. Method of generating a standard cell layout and transferring the standard cell layout to a substrate
US20090057780A1 (en) 2007-08-27 2009-03-05 International Business Machines Corporation Finfet structure including multiple semiconductor fin channel heights
US8156451B2 (en) 2007-09-14 2012-04-10 Renesas Electronics Corporation Method of manufacturing photomask
KR100905157B1 (ko) 2007-09-18 2009-06-29 주식회사 하이닉스반도체 반도체 소자의 미세 패턴 형성 방법
JP2009088085A (ja) 2007-09-28 2009-04-23 Tokyo Electron Ltd 半導体装置の製造方法、半導体装置の製造装置、制御プログラム及びプログラム記憶媒体
US20090101940A1 (en) 2007-10-19 2009-04-23 Barrows Corey K Dual gate fet structures for flexible gate array design methodologies
US8042070B2 (en) 2007-10-23 2011-10-18 International Business Machines Corporation Methods and system for analysis and management of parametric yield
JP2009130238A (ja) 2007-11-27 2009-06-11 Fujitsu Microelectronics Ltd 半導体装置
JP5193582B2 (ja) 2007-12-12 2013-05-08 株式会社東芝 半導体装置の製造方法
JPWO2009078069A1 (ja) 2007-12-14 2011-04-28 富士通株式会社 半導体装置
US7825437B2 (en) 2007-12-28 2010-11-02 Intel Corporation Unity beta ratio tri-gate transistor static random access memory (SRAM)
PL2235453T3 (pl) 2007-12-31 2017-02-28 Arçelik Anonim Sirketi Urządzenie chłodzące
US7957178B2 (en) 2008-01-04 2011-06-07 Texas Instruments Incorporated Storage cell having buffer circuit for driving the bitline
US7934173B2 (en) 2008-01-14 2011-04-26 Taiwan Semiconductor Manufacturing Company, Ltd. Reverse dummy insertion algorithm
US7926001B2 (en) 2008-01-16 2011-04-12 Cadence Design Systems, Inc. Uniformity for semiconductor patterning operations
US7984395B2 (en) 2008-01-17 2011-07-19 Synopsys, Inc. Hierarchical compression for metal one logic layer
US8453094B2 (en) 2008-01-31 2013-05-28 Tela Innovations, Inc. Enforcement of semiconductor structure regularity for localized transistors and interconnect
US8866254B2 (en) 2008-02-19 2014-10-21 Micron Technology, Inc. Devices including fin transistors robust to gate shorts and methods of making the same
US7962878B2 (en) 2008-02-26 2011-06-14 Infineon Technologies Ag Method of making an integrated circuit using pre-defined interconnect wiring
US8423947B2 (en) 2008-03-13 2013-04-16 International Business Machines Corporation Gridded glyph geometric objects (L3GO) design method
US7939443B2 (en) 2008-03-27 2011-05-10 Tela Innovations, Inc. Methods for multi-wire routing and apparatus implementing same
US8173544B2 (en) 2008-05-02 2012-05-08 Texas Instruments Incorporated Integrated circuit having interleaved gridded features, mask set and method for printing
US7958465B2 (en) 2008-05-08 2011-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. Dummy pattern design for reducing device performance drift
EP2117045A1 (en) 2008-05-09 2009-11-11 Imec Design Methodology for MuGFET ESD Protection Devices
US7917877B2 (en) 2008-05-09 2011-03-29 Cadence Design Systems, Inc. System and method for circuit schematic generation
US7830025B2 (en) 2008-05-19 2010-11-09 United Microelectronics Corp. Contact layout structure
US7853915B2 (en) 2008-06-24 2010-12-14 Synopsys, Inc. Interconnect-driven physical synthesis using persistent virtual routing
SG10201608214SA (en) 2008-07-16 2016-11-29 Tela Innovations Inc Methods for cell phasing and placement in dynamic array architecture and implementation of the same
US8136072B2 (en) 2008-11-03 2012-03-13 Arm Limited Standard cell placement
US8363455B2 (en) 2008-12-04 2013-01-29 David Rennie Eight transistor soft error robust storage cell
US8116121B2 (en) 2009-03-06 2012-02-14 Kabushiki Kaisha Toshiba Semiconductor device and manufacturing methods with using non-planar type of transistors
KR101088554B1 (ko) 2009-03-06 2011-12-05 카이신 아이엔씨. 고밀도 콘택트를 가지는 리드리스 집적회로 패키지
US8184472B2 (en) 2009-03-13 2012-05-22 International Business Machines Corporation Split-gate DRAM with lateral control-gate MuGFET
US8004042B2 (en) 2009-03-20 2011-08-23 Taiwan Semiconductor Manufacturing Company, Ltd. Static random access memory (SRAM) cell and method for forming same
US8053299B2 (en) 2009-04-17 2011-11-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabrication of a FinFET element
US8076236B2 (en) 2009-06-01 2011-12-13 Globalfoundries Inc. SRAM bit cell with self-aligned bidirectional local interconnects
US8782586B2 (en) 2009-07-16 2014-07-15 Cadence Design Systems, Inc. Method, system, and program product for routing an integrated circuit to be manufactured by doubled patterning
US8294212B2 (en) 2009-09-18 2012-10-23 Taiwan Semiconductor Manufacturing Company, Ltd. Methods and apparatus for SRAM bit cell with low standby current, low supply voltage and high speed
US9397083B2 (en) * 2010-02-03 2016-07-19 Renesas Electronics Corporation Semiconductor device including protruding power supply wirings with bent portions at ends thereof
US8675397B2 (en) 2010-06-25 2014-03-18 Taiwan Semiconductor Manufacturing Company, Ltd. Cell structure for dual-port SRAM
US8860107B2 (en) 2010-06-03 2014-10-14 International Business Machines Corporation FinFET-compatible metal-insulator-metal capacitor
US8839162B2 (en) 2010-07-14 2014-09-16 International Business Machines Corporation Specifying circuit level connectivity during circuit design synthesis
US8796759B2 (en) 2010-07-15 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. Fin-like field effect transistor (FinFET) device and method of manufacturing same
US9613844B2 (en) 2010-11-18 2017-04-04 Monolithic 3D Inc. 3D semiconductor device having two layers of transistors
US9159627B2 (en) 2010-11-12 2015-10-13 Tela Innovations, Inc. Methods for linewidth modification and apparatus implementing the same
US8418111B2 (en) 2010-11-24 2013-04-09 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for achieving multiple patterning technology compliant design layout
US8402397B2 (en) 2011-07-26 2013-03-19 Mentor Graphics Corporation Hotspot detection based on machine learning
JP6094023B2 (ja) * 2011-09-12 2017-03-15 富士通セミコンダクター株式会社 半導体装置の製造方法
US8689164B2 (en) 2011-10-18 2014-04-01 National Taiwan University Method of analytical placement with weighted-average wirelength model
WO2013082611A2 (en) * 2011-12-02 2013-06-06 Robust Chip Inc. Soft error hard electronics layout arrangement and logic cells
US9006841B2 (en) 2011-12-30 2015-04-14 Stmicroelectronics International N.V. Dual port SRAM having reduced cell size and rectangular shape
FR2996950B1 (fr) 2012-10-11 2016-01-01 Dolphin Integration Sa Réseau de mémoire base sur des bascules

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060181310A1 (en) 2005-02-17 2006-08-17 Young-Chul Rhee Exclusive-or and/or exclusive-nor circuits including output switches and related methods

Also Published As

Publication number Publication date
EP3029840B1 (en) 2017-07-05
EP3029840A1 (en) 2016-06-08
JP2016054529A (ja) 2016-04-14
WO2010126738A2 (en) 2010-11-04
ES2565440T3 (es) 2016-04-04
TW201816643A (zh) 2018-05-01
US10230377B2 (en) 2019-03-12
US20170272080A1 (en) 2017-09-21
KR101815441B1 (ko) 2018-01-05
ES2634499T3 (es) 2017-09-28
JP2012525774A (ja) 2012-10-22
TW201104480A (en) 2011-02-01
TW201546639A (zh) 2015-12-16
EP2425531A2 (en) 2012-03-07
EP2425531B1 (en) 2016-01-13
KR101717456B1 (ko) 2017-03-17
US20140159772A1 (en) 2014-06-12
WO2010126738A3 (en) 2011-03-10
KR20170031267A (ko) 2017-03-20
JP2017069981A (ja) 2017-04-06
EP3358747A2 (en) 2018-08-08
JP5870433B2 (ja) 2016-03-01
KR101678833B1 (ko) 2016-11-23
JP6095752B2 (ja) 2017-03-15
MY163582A (en) 2017-09-29
US20100277202A1 (en) 2010-11-04
JP2014222951A (ja) 2014-11-27
US8653857B2 (en) 2014-02-18
TWI621959B (zh) 2018-04-21
SG175384A1 (en) 2011-12-29
SG10201401689SA (en) 2014-06-27
US9673825B2 (en) 2017-06-06
TWI510941B (zh) 2015-12-01
JP5926175B2 (ja) 2016-05-25
KR101714337B1 (ko) 2017-03-08
KR20180004323A (ko) 2018-01-10
TWI539313B (zh) 2016-06-21
KR20160136459A (ko) 2016-11-29
KR20120028315A (ko) 2012-03-22
TWI592816B (zh) 2017-07-21
TW201621730A (zh) 2016-06-16
KR20160075788A (ko) 2016-06-29
MY183545A (en) 2021-02-25
TW201727522A (zh) 2017-08-01
EP3358747A3 (en) 2018-11-14
TWI644226B (zh) 2018-12-11

Similar Documents

Publication Publication Date Title
KR101898410B1 (ko) Xor 및 xnor 로직을 위한 회로 및 레이아웃
JP6462838B2 (ja) 制限付きゲートレベルレイアウトアーキテクチャにおける交差結合トランジスタレイアウト

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant