JP2014222951A - Xor及びxnorロジックの回路及びレイアウト - Google Patents

Xor及びxnorロジックの回路及びレイアウト Download PDF

Info

Publication number
JP2014222951A
JP2014222951A JP2014166479A JP2014166479A JP2014222951A JP 2014222951 A JP2014222951 A JP 2014222951A JP 2014166479 A JP2014166479 A JP 2014166479A JP 2014166479 A JP2014166479 A JP 2014166479A JP 2014222951 A JP2014222951 A JP 2014222951A
Authority
JP
Japan
Prior art keywords
exclusive
logic circuit
logic
input
gate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2014166479A
Other languages
English (en)
Other versions
JP5870433B2 (ja
Inventor
スコット ティー ベッカー
T Becker Scott
スコット ティー ベッカー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tela Innovations Inc
Original Assignee
Tela Innovations Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tela Innovations Inc filed Critical Tela Innovations Inc
Publication of JP2014222951A publication Critical patent/JP2014222951A/ja
Application granted granted Critical
Publication of JP5870433B2 publication Critical patent/JP5870433B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03KPULSE TECHNIQUE
    • H03K19/00Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits
    • H03K19/20Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits characterised by logic function, e.g. AND, OR, NOR, NOT circuits
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03KPULSE TECHNIQUE
    • H03K19/00Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits
    • H03K19/20Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits characterised by logic function, e.g. AND, OR, NOR, NOT circuits
    • H03K19/21EXCLUSIVE-OR circuits, i.e. giving output if input signal exists at only one input; COINCIDENCE circuits, i.e. giving output only if all input signals are identical
    • H03K19/215EXCLUSIVE-OR circuits, i.e. giving output if input signal exists at only one input; COINCIDENCE circuits, i.e. giving output only if all input signals are identical using field-effect transistors
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level

Abstract

【課題】改良されたXOR及びXNORロジックの回路及びレイアウトを提供する。【解決手段】XOR回路は、第2の入力ノードによって制御されるパスゲートを備える。そのパスゲートは、制御されたとき、第1の入力ノードに存在するロジック状態のバージョンを出力ノードに通すように接続されている。伝送ゲートが、第1の入力ノードによって制御される。その伝送ゲートは、制御されたときに、第2の入力ノードに存在するロジック状態のバージョンを出力ノードに通すように接続されている。プルアップロジックが、第1及び第2の入力ノードの両方によって制御される。そのプルアップロジックは、第1及び第2の入力ノードの両方がハイのときに、出力ノードをロウに駆動するように接続されている。【選択図】図3A

Description

本発明は、XOR及びXNORロジックの回路及びレイアウトに関する。
高性能及び小ダイ・サイズの要求は、2年ごとに約50%ずつ、回路チップ面積を縮小させるよう半導体産業を牽引する。チップ面積の縮小は、新しい技術へ移行するための経済的利益を提供する。50%のチップ面積の縮小は、25%〜30%のフィーチャーサイズ(feature size)の縮小によって達成される。フィーチャーサイズ(加工寸法)の縮小は、製造装置及び材料の改良によって可能になる。例えば、リソグラフィックプロセス(lithographic process)の改良は、小フィーチャーサイズの達成を可能にしてきたが、一方、化学機械研磨(CMP)の改良は、相互接続層の多層化を部分的に可能にしてきた。
リソグラフィ(lithography)の発展において、最小フィーチャーサイズが、フィーチャー形状を露光するのに使用される光源の波長に近づくにつれ、隣り合うフィーチャー間で意図しない相互作用が起こる。今日、最小フィーチャーサイズは、45nm(ナノメートル)未満まで縮小されているが、一方、リソグラフィプロセスで使用される光源の波長は、193nmに留まっている。最小フィーチャーサイズとリソグラフィプロセスで使用される光源の波長との間の差は、リソグラフィックギャップとして定義される。リソグラフィックギャップが大きくなるにつれ、リソグラフィプロセスの分解能が減少する。
マスク上の各形状が光と相互作用して干渉縞が発生する。隣接した形状からの干渉縞は、建設的又は破壊的な干渉を生成しうる。建設的な干渉の場合では、望ましくない形状が偶然に生成されるかもしれない。破壊的な干渉の場合では、必要な形状が偶然に除去されるかもしれない。どちらの場合も、意図したものとは違う態様で特定の形状がプリントされ、デバイスの不具合を起こすおそれがある。光学近接効果補正(OPC)のような補正方法は、要求どおりにプリント形状が作成されるように、隣接する形状からの影響を予測してマスクを修正する試みである。光相互作用の予測性の品質は、プロセス図形が縮小されるにつれ、かつ光相互作用がより複雑になるにつれ、下がっている。
上記の観点から、半導体デバイスのフィーチャーサイズの縮小の方向へ技術が発展し続けるにしたがって、リソグラフィックギャップの管理を改善することができる回路設計及びレイアウトの改良のための解決策が求められている。
一実施形態において、排他的論理和(XOR)ロジック回路が開示されている。そのXORロジック回路は、第1の入力ノード、第2の入力ノード、及び出力ノードを具備する。第2の入力ノードに存在するロジック状態によって制御されるように、パスゲートが接続されている。そのパスゲートは、第2の入力ノードに存在するロジック状態によって伝送するように制御されたとき、第1の入力ノードに存在するロジック状態の1つのバージョン(version)を出力ノードに通すように接続されている。第1の入力ノードに存在するロジック状態によって制御されるように、伝送ゲートが接続されている。その伝送ゲートは、第1の入力ノードに存在するロジック状態によって伝送するように制御されたときに、第2の入力ノードに存在するロジック状態の1つのバージョンを出力ノードに通すように接続されている。第1の入力ノードに存在するロジック状態と第2の入力ノードに存在するロジック状態の両方によって制御されるように、プルアップロジックが接続されている。そのプルアップロジックは、第1の入力ノードに存在するロジック状態と第2の入力ノードに存在するロジック状態の両方がハイ(high)のときに、出力ノードに存在する状態をロウ(low)に駆動(drive)するように接続されている。
一実施形態において、排他的論理和(XOR)ロジック回路のレイアウトが開示されている。そのXORロジック回路レイアウトは、6つのPMOSトランジスタと5つのNMOSトランジスタとを具備する。その5つのNMOSトランジスタは、それぞれ、6つのPMOSトランジスタのうちの5つとペアになっており、NMOS及びPMOSトランジスタの各ペアは、5つのゲート電極トラックの各1つに沿って配置された連続的なゲート電極構造を共有するように画定される。6つのPMOSトランジスタの6番目は、6番目のゲート電極トラックに沿って配置されたゲート電極構造によって画定され、その6番目のPMOSトランジスタはその6番目のゲート電極トラックを、排他的論理和ロジック回路レイアウト内の他のトランジスタと共有していない。6つのゲート電極トラックは、お互いに平行に配向している。
一実施形態において、排他的否定論理和(XNOR)ロジック回路が開示されている。
そのXNORロジック回路は、第1の入力ノード、第2の入力ノード、及び出力ノードを具備する。第2の入力ノードに存在するロジック状態によって制御されるように、パスゲートが接続されている。そのパスゲートは、第2の入力ノードに存在するロジック状態によって伝送するように制御されたとき、第1の入力ノードに存在するロジック状態の1つのバージョン(version)を出力ノードに通すように接続されている。第1の入力ノードに存在するロジック状態によって制御されるように、伝送ゲートが接続されている。その伝送ゲートは、第1の入力ノードに存在するロジック状態によって伝送するように制御されたときに、第2の入力ノードに存在するロジック状態の1つのバージョンを出力ノードに通すように接続されている。第1の入力ノードに存在するロジック状態と第2の入力ノードに存在するロジック状態の両方によって制御されるように、プルダウンロジックが接続されている。そのプルダウンロジックは、第1の入力ノードに存在するロジック状態と第2の入力ノードに存在するロジック状態の両方がロウ(low)のときに、出力ノードに存在する状態をハイ(high)に駆動(drive)するように接続されている。
一実施形態において、排他的否定論理和(XNOR)ロジック回路のレイアウトが開示されている。そのXNORロジック回路レイアウトは、5つのPMOSトランジスタと6つのNMOSトランジスタとを具備する。その5つのPMOSトランジスタは、それぞれ、6つのNMOSトランジスタのうちの5つとペアになっており、PMOS及びNMOSトランジスタの各ペアは、5つのゲート電極トラックの各1つに沿って配置された連続的なゲート電極構造を共有するように画定される。6つのNMOSトランジスタの6番目は、6番目のゲート電極トラックに沿って配置されたゲート電極構造によって画定され、その6番目のNMOSトランジスタはその6番目のゲート電極トラックを、排他的否定論理和ロジック回路レイアウト内の他のトランジスタと共有していない。6つのゲート電極トラックは、お互いに平行に配向している。
本発明の他の態様及び長所は、本発明の実施例として示された添付図面を組み合わせて、後述の詳細な説明からより明らかになるであろう。
従来のXORロジックゲート回路を示す図である。 図1Aの従来のXORロジックゲート回路の状態表を示す図である。 図1Aの従来のXORロジックゲート回路の状態表を示す図である。 図1Aの従来のXORロジックゲート回路の状態表を示す図である。 図1Aの従来のXORロジックゲート回路の状態表を示す図である。 本発明の一実施形態による従来のXORのレイアウトを示す図である。 先行技術によるインバータ構成の一例を示す図である。 本発明の一実施形態によるXNORロジックゲート回路を示す図である。 本発明の一実施形態による図2AのXNORロジックゲート回路の状態表を示す図である。 本発明の一実施形態による図2AのXNORロジックゲート回路の状態表を示す図である。 本発明の一実施形態による図2AのXNORロジックゲート回路の状態表を示す図である。 本発明の一実施形態による図2AのXNORロジックゲート回路の状態表を示す図である。 本発明の一実施形態による図2AのXNORロジックゲート回路のレイアウトを示す図である。 本発明の一実施形態によるXORロジックゲート回路を示す図である。 本発明の一実施形態による図3AのXORロジックゲート回路の状態表を示す図である。 本発明の一実施形態による図3AのXORロジックゲート回路の状態表を示す図である。 本発明の一実施形態による図3AのXORロジックゲート回路の状態表を示す図である。 本発明の一実施形態による図3AのXORロジックゲート回路の状態表を示す図である。 本発明の一実施形態による図3AのXORロジックゲート回路のレイアウトを示す図である。 本発明の一実施形態による、制限的ゲートレベル・レアウトアーキテクチャ内で画定されたゲート電極トラックの一例を示す図である。 本発明の一実施形態による、そこで画定された多くの実例的なゲートレベルフィーチャーを有する図4Aの制限的ゲートレベル・レアウトアーキテクチャの一例を示す図である。
以下の説明において、本発明の十分な理解を提供するために多くの詳細な説明が記載される。しかし、これらの詳細な説明のいくつか又はすべてがなくても本発明が実施されうることが当業者にとって明らかであろう。他の例では、本発明を不必要に不明瞭にしないために、周知のプロセスオペレーションは詳細に説明されていない。
(従来のXOR回路)
図1Aは、従来のXORロジックゲート回路(以下、「XOR100」)を示す。XOR100は、2つの入力A及びBと、1つの出力Qを有する。入力Aはノード101に供給される。入力Bはノード102に供給される。出力Qはノード105から供給される。
図1B〜図1Eは、XOR100の状態表を示す。図1B〜図1Eに示されているように、XOR100は、入力A及びBの種々の状態組み合わせに対して出力Qの適当な状態を提供する。
図1Aに示されているように、入力Aを受け取るノード101は、PMOSトランジスタ117のゲートと、NMOSトランジスタ120のゲートに接続されている。ノード101は、また、インバータ110の入力に接続されている。インバータ110の出力は、ノード103に接続されている。ノード103は、PMOSトランジスタ113のゲートと、NMOSトランジスタ116のゲートに接続されている。
ノード102は、PMOSトランジスタ114のゲートと、NMOSトランジスタ119のゲートに接続されている。ノード102は、また、インバータ111の入力に接続されている。インバータ111の出力は、ノード104に接続されている。ノード104は、NMOSトランジスタ115のゲートと、PMOSトランジスタ118のゲートに接続されている。
PMOSトランジスタ113及び114は、電源(VDD)と、XOR100の出力Qを供給するノード105の間で直列に接続されている。NMOSトランジスタ115及び116は、ノード105と基準接地電位(GND)の間で直列に接続されている。PMOSトランジスタ117及び118は、電源(VDD)とノード105の間で直列に接続されている。NMOSトランジスタ119及び120は、ノード105と基準接地電位(GND)の間で直列に接続されている。
上記に基づいて、従来のXOR100は、2組のプルアップロジックを具備し、第1組はPMOSトランジスタ113及び114で画定され、第2組はPMOSトランジスタ117及び118で画定される。また、XOR100は、2組のプルダウンロジックを具備し、第1組はNMOSトランジスタ115及び116で画定され、第2組はNMOSトランジスタ119及び120で画定される。プルアップロジック及びプルダウンロジックの各組は、入力Aのバージョンと入力Bのバージョンの両方によって制御される。したがって、入力A及びBに基づいて、従来のXOR100の回路は、プルアップロジックのいずれかの組、またはプルダウンロジックのいずれかの組の使用によって、出力Qをハイ又はロウのいずれかに駆動するように画定される。
さらに、当然のことながら、インバータ110及び111のそれぞれは、1つのPMOSトランジスタと1つのNMOSトランジスタを具備する。図1Gは、従来技術によるインバータ構成例を示す。このインバータは、入力信号Aを受け取り、出力信号Qを生成する。このインバータは、入力信号Aによって制御されるように接続されたゲートと、電源(VDD)に接続された第1端子と、出力信号Qを供給するように接続された第2端子とを有するPMOSトランジスタ192を具備する。このインバータは、入力信号Aを受け取り、出力信号Qを生成する。このインバータは、また、入力信号Aによって制御されるように接続されたゲートと、出力信号Qを供給するように接続された第1端子と、基準接地電位(GND)に接続された第2端子とを有するNMOSトランジスタ193を具備する。このインバータの入力Aがハイのとき出力はロウになり、逆もまた同様である。1つのPMOSトランジスタと1つのNMOSトランジスタを備える各インバータに基づいて、当然のことながら、従来のXOR100は、全部で6つのPMOSトランジスタと、6つのNMOSトランジスタを具備する。
図1Fは、本発明の一実施形態によるXOR100のレイアウトを示す。XOR100のレイアウトは、ここで説明されるように、制限的ゲートレベル・レイアウト・アーキテクチャに従って画定される。図1Aに関して前述した種々のPMOS及びNMOSトランジスタは、図1Fにおいて対応して符号付けされている。図1Aに関して前述した種々のノードもまた、図1Fにおいて対応して符号付けされている。PMOSトランジスタ118及びNMOSトランジスタ119のゲート電極は、エンドツーエンド(end-to-end、端から端までの)スペース195によってそれらがゲートレベル内で分離されるような同一線上(co-linear)で画定される。また、PMOSトランジスタ114及びNMOSトランジスタ115のゲート電極は、エンドツーエンド(end-to-end、端から端までの)スペース196によってそれらがゲートレベル内で分離されるような同一線上(co-linear)で画定される。
制限的ゲートレベル・アーキテクチャを使用して6つのゲート電極トラック内で従来のXOR100をレイアウトするために、XOR100のゲートレベル内で、少なくとも2つのゲート電極のエンドツーエンド・スペース(例えば、195及び196)を有することが必要であることが理解されるべきである。このようなエンドツーエンド・ゲート電極スペースは、最小エンドツーエンド・スペースサイズを必要とする利用可能なデザインルールに従って画定される。したがって、当然のことながら、エンドツーエンド・ゲート電極スペースの存在は、P型及びN型拡散領域が、エンドツーエンド・ゲート電極スペースが無い場合に必要とされるよりもさらに離れて分離されるべきことを必要とし、それにより、より大きい全体セル高さを必要とする。
(XORの回路及びレイアウトの実施形態)
図3Aは、本発明の一実施形態によるXORロジックゲート回路300(以下、「XOR300」)を示す。XOR300は、2つの入力A及びBと、1つの出力Qを具備する。入力Aはノード301に供給される。入力Bはノード302に供給される。出力Qはノード307から供給される。図3B〜図3Eは、本発明の一実施形態によるXOR300の状態表を示す。図3B〜図3Eに示されているように、XOR300は、入力A及びBの種々の状態組み合わせに対して出力Qの適当な状態を提供する。
図3Aに示されているように、入力Aを受け取るノード301は、インバータ310の入力とPMOSトランジスタ314のゲートの両方に接続されている。入力Bを受け取るノード302は、インバータ311の入力に接続されている。インバータ310の出力は、ノード303に接続されている。ノード303は、1)NMOSトランジスタ312の第1端子、2)PMOSトランジスタ316のゲート、3)NMOSトランジスタ313のゲートに接続されている。インバータ311の出力はノード304に接続されている。
ノード304は、1)NMOSトランジスタ312のゲート、2)PMOSトランジスタ315のゲート、3)NMOSトランジスタ313の第1端子、及び4)PMOSトランジスタ314の第1端子に接続されている。
ノード305は、1)NMOSトランジスタ312の第2端子、2)NMOSトランジスタ313の第2端子、3)PMOSトランジスタ314の第2端子、4)PMOSトランジスタ316の第2端子のそれぞれに接続されている。PMOSトランジスタ315の第1端子は電源(VDD)に接続されている。PMOSトランジスタ315の第2端子は、PMOSトランジスタ316の第1端子に接続されているノード306に接続されている。ノード305はインバータ317の入力に接続されている。インバータ317の出力は、XOR300の出力Qを提供するノード307に接続されている。
図3B〜3Eの状態表は、入力A及びBに異なる状態組み合わせを適用した場合のXOR300の種々のノード(ノード301からノード307まで)の異なる状態を示す。インバータ310、311、及び317のそれぞれは、1つのPMOSトランジスタと1つのNMOSトランジスタを具備する。したがって、全部で6つのPMOSトランジスタと6つのNMOSトランジスタを具備する従来のXOR100と比較して、XOR300は、全部で6つのPMOSトランジスタと5つのNMOSトランジスタを具備し、それによって1つのNMOSトランジスタを節約している。
図3B〜図3Eに示すように、2入力XOR300は、入力A及びBの4つの固有の組み合わせを処理するように規定される。特に、NMOSトランジスタ313及びPMOSトランジスタ314は、ともに、入力Aによって制御される伝送ゲート350を画定する。入力Aの状態がロウのとき、すなわち論理0のとき、伝送ゲート350は、出力Qの状態の制御に貢献して出力Qの状態が入力Bの状態に一致する。NMOSトランジスタ312は、入力Bによって制御されるパスゲート360を画定する。入力Bの状態がロウのとき、すなわち論理0のとき、パスゲート360は、出力Qの状態の制御に貢献して出力Qの状態が入力Aの状態に一致する。
PMOSトランジスタ315及び316は、ともに、入力A及びBの両方によって制御されるプルアップロジック370を画定する。入力Aの状態と入力Bの状態の両方がハイのとき、すなわち論理1のとき、伝送ゲート350とパスゲート360の両方がディセーブル(disabled、不能)になり、プルアップロジック370が出力Qの状態を制御し、出力Qの状態がロウ、すなわち論理0、になる。入力A及びBの状態のどちらかがロウのとき、すなわち論理0のとき、プルアップロジック370はディセーブルになる。
XOR300は、以下のいずれかで規定される:
・入力Bによって制御されるパスゲート360によって、入力Aの状態のバージョンを出力Qに通過させる、
・入力Aによって制御される伝送ゲート350によって、入力Bの状態のバージョンを出力Qへ通過させる、または
・入力A及びBの両方の制御のもと、プルアップロジック370によって出力Qの状態をロウに駆動する。
上記のように、XORロジック回路300は、第1の入力Aノード301、第2の入力Bノード302、及び出力Qノード307を具備する。パスゲート360は、第2の入力ノード302に存在するロジック状態によって制御されるように接続される。パスゲート360は、第2の入力ノード302に存在するロジック状態によって伝送するように制御されたときに、第1の入力ノード301に存在するロジック状態のバージョンを出力ノード307へ通過させるように接続される。伝送ゲート350は、第1の入力ノード301に存在するロジック状態によって制御されるように接続される。伝送ゲート350は、第1の入力ノード301に存在するロジック状態によって伝送するように制御されたときに、第2の入力ノード302に存在するロジック状態のバージョンを出力ノード307へ通過させるように接続される。プルアップロジック370は、第1の入力ノード301に存在するロジック状態と第2の入力ノード302に存在するロジック状態の両方によって制御されるように接続される。プルアップロジック370は、第1の入力ノード301に存在するロジック状態と第2の入力ノード302に存在するロジック状態の両方がハイのときに、出力ノード307に存在する状態をロウに駆動するように接続される。
図3Fは、本発明の一実施形態によるXOR300のレイアウトを示す。一実施形態では、XOR300のレイアウトは、本明細書で説明されるように、制限的ゲートレベルレイアウトアーキテクチャに基づいて画定される。インバータ310は、単一のゲート電極トラック380に沿って画定された連続的なゲート電極構造310Gを共有するPMOSトランジスタ310PとNMOSトランジスタ310Nによって画定される。インバータ311は、単一のゲート電極トラック384に沿って画定された連続的なゲート電極構造311Gを共有するPMOSトランジスタ311PとNMOSトランジスタ311Nによって画定される。インバータ317は、単一のゲート電極トラック385に沿って画定された連続的なゲート電極構造317Gを共有するPMOSトランジスタ317PとNMOSトランジスタ317Nによって画定される。
プルアップロジック370のPMOSトランジスタ315とパスゲート360のNMOSトランジスタ312は、単一ゲート電極トラック381に沿って画定される連続的なゲート電極構造381Gを共有する。プルアップロジック370のPMOSトランジスタ316と伝送ゲート350のNMOSトランジスタ313は、単一ゲート電極トラック382に沿って画定される連続的なゲート電極構造382Gを共有する。伝送ゲート350のPMOSトランジスタ314は、単一ゲート電極トラック383に沿って画定される。ノード301〜307は、図3Aに示されるような種々のトランジスタ間の接続を行うように、XOR300レイアウト内で、コンタクト、相互接続構造(M1,M2)、及びヴィア(Via1)の種々の組み合わせによって画定される。
当然のことながら、XOR300のレイアウトは、制限的ゲート電極アーキテクチャによって画定されたときに、6つの隣接するゲート電極トラック(380〜385)を使用して画定される。一実施形態では、6つの隣接するゲート電極トラック(380〜385)は、均一に離間されている。しかし、他の実施形態では、6つの隣接するゲート電極トラック(380〜385)を離間させるために、異なる垂直方向スペースを使用することができる。また、当然のことながら、XOR300のレイアウトは、制限的ゲート電極アーキテクチャによって画定されたときに、対向するゲート電極ライン端の配置を必要としない。言い換えれば、XOR300レイアウト内の任意のゲート電極トラックに沿ってエンドツーエンド(end-to-end)に置かれたゲート電極構造がない。したがって、ゲート電極フィーチャー間のエンドツーエンドスペースを製造することに関連したリソグラフィの困難性が回避される。
また、P型拡散領域及びN型拡散領域の間に任意のゲート電極トラックに沿って置かれたエンドツーエンド・ゲート電極スペースが存在しないので、P型及びN型拡散領域間の垂直方向のレイアウトスペースを、エンドツーエンド・ゲート電極スペースの配置/製造に関連したデザインルールによって規定されるような、最小サイズ要求に従う必要がない。したがって、ある実施形態において必要であれば、P型及びN型拡散領域間のスペースをより近づけることにより、XOR300レイアウトの全体のセル高さ、すなわちVDD及びGND間の垂直方向距離を減らすことができる。
さらに、図3A及び図3Fの実施例は、PMOSトランジスタ315のゲートが第2の入力インバータ311の出力に接続され、PMOSトランジスタ316のゲートが第1の入力インバータ310の出力に接続さるように画定されたプルアップロジック370を示すが、当然のことながら、PMOSトランジスタ315及び316の積み重ねを逆にすることが可能である。とくに、一実施形態では、プルアップロジック370は、PMOSトランジスタ315が第1の入力インバータ310の出力に接続され、PMOSトランジスタ316のゲートが第2の入力インバータ311の出力に接続されるように画定される。
(XNOR回路及びレイアウトの実施形態)
図2Aは、本発明の一実施形態によるXNORロジックゲート回路200(以下、「XNOR200」)を示す。XNOR200は、2つの入力A及びBと1つの出力Qを具備する。入力Aはノード201に供給される。入力Bはノード202に供給される。出力Qはノード207から供給される。図2B〜図2Eは、本発明の一実施形態によるXNOR200の状態表を示す。図2B〜図2Eに示されているように、XNOR200は、入力A及びBの種々の状態組み合わせに対して出力Qの適当な状態を提供する。
図2Aに示されているように、入力Aを受け取るノード201は、インバータ210の入力とNMOSトランジスタ214のゲートの両方に接続されている。入力Bを受け取るノード202は、インバータ211の入力に接続されている。インバータ210の出力は、ノード203に接続されている。ノード203は、1)PMOSトランジスタ212の第1端子、2)PMOSトランジスタ213のゲート、3)NMOSトランジスタ215のゲートに接続されている。インバータ211の出力はノード204に接続されている。
ノード204は、1)PMOSトランジスタ212のゲート、2)NMOSトランジスタ216のゲート、3)PMOSトランジスタ213の第1端子、及び4)NMOSトランジスタ214の第1端子に接続されている。
ノード205は、1)PMOSトランジスタ212の第2端子、2)PMOSトランジスタ213の第2端子、3)NMOSトランジスタ214の第2端子、及び4)NMOSトランジスタ215の第2端子のそれぞれに接続されている。NMOSトランジスタ216の第1端子は基準接地電位(GND)に接続されている。NMOSトランジスタ216の第2端子は、NMOSトランジスタ215の第1端子に接続されているノード206に接続されている。ノード205はインバータ217の入力に接続されている。インバータ217の出力は、XNOR200の出力Qを提供するノード207に接続されている。図2B〜図2Eの状態表は、入力A及びBに異なる状態組み合わせを適用した場合のXNOR200の種々のノード(ノード201からノード207まで)の異なる状態を示す。インバータ210、211、及び217のそれぞれは、1つのPMOSトランジスタと1つのNMOSトランジスタを具備する。したがって、XNOR200は、全部で5つのPMOSトランジスタと6つのNMOSトランジスタを具備する。
図2B〜図2Eに示すように、2入力XNOR200は、入力A及びBの4つの固有の組み合わせを処理するように規定される。特に、PMOSトランジスタ213及びNMOSトランジスタ214は、ともに、入力Aによって制御される伝送ゲート250を画定する。入力Aの状態がハイのとき、すなわち論理1のとき、伝送ゲート250は、出力Qの状態の制御に貢献して出力Qの状態が入力Bの状態に一致する。PMOSトランジスタ212は、入力Bによって制御されるパスゲート260を画定する。入力Bの状態がハイのとき、すなわち論理1のとき、パスゲート260は、出力Qの状態の制御に貢献して出力Qの状態が入力Aの状態に一致する。
NMOSトランジスタ215及び216は、ともに、入力A及びBの両方によって制御されるプルダウンロジック270を画定する。入力Aの状態と入力Bの状態の両方がロウのとき、すなわち論理0のとき、伝送ゲート250とパスゲート260の両方がディセーブル(disabled、不能)になり、プルダウンロジック270が出力Qの状態を制御し、出力Qの状態がハイ、すなわち論理1、になる。入力A及びBの状態のどちらかがハイのとき、すなわち論理1のとき、プルダウンロジック270はディセーブルになる。
上記に基づいて、XNOR200は、以下のいずれかで規定される:
・入力Bによって制御されるパスゲート260によって、入力Aの状態のバージョンを出力Qに通過させる、
・入力Aによって制御される伝送ゲート250によって、入力Bの状態のバージョンを出力Qへ通過させる、または
・入力A及びBの両方の制御のもと、プルダウンロジック270によって出力Qの状態をハイに駆動する。
上記のように、XNORロジック回路200は、第1の入力Aノード201、第2の入力Bノード202、及び出力Qノード207を具備する。パスゲート260は、第2の入力ノード202に存在するロジック状態によって制御されるように接続される。パスゲート260は、第2の入力ノード202に存在するロジック状態によって伝送するように制御されたときに、第1の入力ノード201に存在するロジック状態のバージョンを出力ノード207へ通過させるように接続される。伝送ゲート250は、第1の入力ノード201に存在するロジック状態によって制御されるように接続される。伝送ゲート250は、第1の入力ノード201に存在するロジック状態によって伝送するように制御されたときに、第2の入力ノード202に存在するロジック状態のバージョンを出力ノード207へ通過させるように接続される。プルダウンロジック270は、第1の入力ノード201に存在するロジック状態と第2の入力ノード202に存在するロジック状態の両方によって制御されるように接続される。プルダウンロジック270は、第1の入力ノード201に存在するロジック状態と第2の入力ノード202に存在するロジック状態の両方がロウのときに、出力ノード207に存在する状態をハイに駆動するように接続される。
図2Fは、本発明の一実施形態によるXNOR200のレイアウトを示す。一実施形態では、XNOR200のレイアウトは、本明細書で説明されるように、制限的ゲートレベルレイアウトアーキテクチャに基づいて画定される。インバータ210は、単一のゲート電極トラック280に沿って画定された連続的なゲート電極構造210Gを共有するPMOSトランジスタ210PとNMOSトランジスタ210Nによって画定される。インバータ211は、単一のゲート電極トラック284に沿って画定された連続的なゲート電極構造211Gを共有するPMOSトランジスタ211PとNMOSトランジスタ211Nによって画定される。インバータ217は、単一のゲート電極トラック285に沿って画定された連続的なゲート電極構造217Gを共有するPMOSトランジスタ217PとNMOSトランジスタ217Nによって画定される。
プルダウンロジック270のNMOSトランジスタ216とパスゲート260のPMOSトランジスタ212は、単一ゲート電極トラック281に沿って画定される連続的なゲート電極構造281Gを共有する。プルダウンロジック270のNMOSトランジスタ215と伝送ゲート250のPMOSトランジスタ213は、単一ゲート電極トラック282に沿って画定される連続的なゲート電極構造282Gを共有する。伝送ゲート250のNMOSトランジスタ214は、単一ゲート電極トラック283に沿って画定される。ノード201〜207は、図2Aに示されるような種々のトランジスタ間の接続を行うように、XNOR200レイアウト内で、コンタクト、相互接続構造(M1,M2)、及びヴィア(Via1)の種々の組み合わせによって画定される。
当然のことながら、XNOR200のレイアウトは、制限的ゲート電極アーキテクチャによって画定されたときに、6つの隣接するゲート電極トラック(280〜285)を使用して画定される。一実施形態では、6つの隣接するゲート電極トラック(280〜285)は、均一に離間されている。しかし、他の実施形態では、6つの隣接するゲート電極トラック(280〜285)を離間させるために、異なる垂直方向スペースを使用することができる。また、当然のことながら、XNOR200のレイアウトは、制限的ゲート電極アーキテクチャによって画定されたときに、対向するゲート電極ライン端の配置を必要としない。言い換えれば、XNOR200レイアウト内の任意のゲート電極トラックに沿ってエンドツーエンド(end-to-end)に置かれたゲート電極構造がない。したがって、ゲート電極フィーチャー間のエンドツーエンドスペースを製造することに関連したリソグラフィの困難性が回避される。
また、P型拡散領域及びN型拡散領域の間に任意のゲート電極トラックに沿って置かれたエンドツーエンド・ゲート電極スペースが存在しないので、P型及びN型拡散領域間の垂直方向のレイアウトスペースを、エンドツーエンド・ゲート電極スペースの配置/製造に関連したデザインルールによって規定されるような、最小サイズ要求に従う必要がない。したがって、ある実施形態において必要であれば、P型及びN型拡散領域間のスペースをより近づけることにより、XNOR200レイアウトの全体のセル高さ、すなわちVDD及びGND間の垂直方向距離を減らすことができる。
当然のことながら、本明細書で記載されたXOR300回路及び関連レイアウトは、出力インバータ317を取り除くことにより、XNOR回路及び関連レイアウトに変換することができる。この変換された構成では、出力ノード307はノード305に相当し、出力Qと入力A及びBの間の関係は、XNOR200の図2B〜図2Eの状態表に示されたものと同じになる。
当然のことながら、本明細書で示したXNOR200回路及び関連レイアウトは、出力インバータ217を取り除くことにより、XOR回路及び関連レイアウトに変換することができる。この変換された構成では、出力ノード207はノード205に相当し、出力Qと入力A及びBの間の関係は、XOR300の図3B〜図3Eの状態表に示されたものと同じになる。
さらに、図2A及び図2Fの実施例は、NMOSトランジスタ216のゲートが第2の入力インバータ211の出力に接続され、NMOSトランジスタ215のゲートが第1の入力インバータ210の出力に接続さるように画定されたプルダウンロジック270を示すが、当然のことながら、NMOSトランジスタ216及び215の積み重ねを逆にすることが可能である。とくに、一実施形態では、プルダウンロジック270は、NMOSトランジスタ216が第1の入力インバータ210の出力に接続され、NMOSトランジスタ215のゲートが第2の入力インバータ211の出力に接続されるように画定される。
(制限的ゲートレベルレイアウトアーキテクチャ)
上記のように、本発明によるXOR300及びXNOR200回路は、半導体チップの一部の制限的ゲートレベルレイアウトアーキテクチャ内で実現される。ゲートレベルのために、多数の平行な仮想ラインが、レイアウトにわたって規定される。これらの平行な仮想ラインは、ゲート電極トラックと称され、それらは、レイアウト内の種々のトランジスタのゲート電極の配置の指標として使用される。一実施形態では、ゲート電極トラックを形成する平行な仮想ラインは、仕様のゲート電極ピッチに等しい、それらの間の垂直方向スペースによって規定される。したがって、ゲート電極トラック上のゲート電極セグメントの配置は、仕様のゲート電極ピッチに対応する。他の実施形態では、ゲート電極トラックは、仕様のゲート電極ピッチ以上の種々のピッチで離間される。
図4Aは、本発明の一実施形態による、制限的ゲートレベルレイアウトアーキテクチャ内で規定されたゲート電極トラック401A〜401Eの一例を示す。ゲート電極トラック401A〜401Eは、それらの間に、仕様のゲート電極ピッチ407に等しい垂直方向スペースを有して、チップのゲートレベルレイアウトにわたって延長した平行な仮想ラインによって形成されている。説明のため、図4Aに相補的な拡散領域403及び405が示されている。当然のことながら、拡散領域403及び405は、ゲートレベルの下の拡散レベルで規定される。また、当然のことながら、拡散領域403及び405は、例示として示されるものであって、制限的ゲートレベルレイアウトアーキテクチャに関連して拡散レベル内の拡散領域サイズ、形状、及び/又は配置が限定されるものではない。
制限的ゲートレベルレイアウトアーキテクチャ内で、ゲートレベルフィーチャーレイアウトチャンネルは、所定のゲート電極トラックに隣接するゲート電極トラック間で拡がるように、所定のゲート電極トラック近くで画定される。例えば、ゲートレベルフィーチャーレイアウトチャンネル401A−1〜401E−1は、それぞれ、ゲート電極トラック401A〜401Eの近くで画定される。当然のことながら、各ゲート電極トラックは、対応するゲートレベルフィーチャーレイアウトチャンネルを有する。また、規定されたレイアウトスペースのエッジに隣接して、例えばセル境界に隣接して配置されたゲート電極トラックのために、ゲートレベルフィーチャーレイアウトチャンネル401A−1〜401E−1によって例示されるように、あたかも規定のレイアウトスペースの外側に仮想ゲート電極トラックがあるかのように、対応するゲートレベルフィーチャーレイアウトチャンネルが拡がる。さらに、当然のことながら、各ゲートレベルフィーチャーレイアウトチャンネルは、その対応するゲート電極トラックの全体の長さに沿って延長するように画定される。したがって、各ゲートレベルフィーチャーレイアウトチャンネルは、ゲートレベルレイアウトが関連するチップの部分内でゲートレベルレイアウトにわたって拡がるように画定される。
制限的ゲートレベルレイアウトアーキテクチャ内で、所定のゲート電極トラックに関連するゲートレベルフィーチャーは、その所定のゲート電極トラックに関連するゲートレベルフィーチャーレイアウトチャンネル内で画定される。連続的なゲートレベルフィーチャーは、トランジスタのゲート電極を画定する部分と、トランジスタのゲート電極を画定しない部分の両方を含むことが可能である。したがって、連続的なゲートレベルフィーチャーは、下層チップレベルの拡散領域及び誘電体領域の両方にわたって延長することが可能である。
一実施形態において、トランジスタのゲート電極を形成するゲートレベルフィーチャーの各部分の実質的な中心が、所定のゲート電極トラック上にあるように配置される。さらに、この実施形態において、トランジスタのゲート電極を形成しないゲートレベルフィーチャーの部分は、所定のゲート電極トラックに関連するゲートレベルフィーチャーレイアウトチャンネル内に配置されることが可能である。したがって、所定のゲートレベルフィーチャーのゲート電極部分の中心が、所定のゲートレベルフィーチャーレイアウトチャンネルに対応するゲート電極トラック上にある限り、並びに、所定のゲートレベルフィーチャーが、隣接するゲートレベルレイアウトチャンネル内の他のゲートレベルフィーチャーに関するデザインルールのスペース要求に整合している限り、所定のゲートレベルフィーチャーは、所定のゲートレベルフィーチャーレイアウトチャンネル内の本質的にどこにでも画定されることが可能である。さらに、隣接するゲート電極トラックに関連するゲートレベルフィーチャーレイアウトチャンネル内で画定されるゲートレベルフィーチャー間で物理的なコンタクトが防止される。
図4Bは、本発明の一実施形態による、そこで画定された多くの実例的なゲートレベルフィーチャー409〜423を有する図4Aの制限的ゲートレベルレイアウトアーキテクチャの一例を示す。ゲートレベルフィーチャー409は、ゲート電極トラック401Aに関連するゲートレベルフィーチャーレイアウトチャンネル401A−1内で画定される。
ゲートレベルフィーチャー409のゲート電極部分の実質的な中心は、ゲート電極トラック401A上にある。また、ゲートレベルフィーチャー409の非ゲート電極部分は、隣接ゲートレベルフィーチャーレイアウトチャンネル401B−1内で画定されたゲートレベルフィーチャー411及び413に対するデザインルールのスペース要求を維持する。
同様に、ゲートレベルフィーチャー411〜423は、それらの各ゲートレベルフィーチャーレイアウトチャンネル内で画定され、それらのゲート電極部分の実質的な中心が、それらの各ゲートレベルフィーチャーレイアウトチャンネルに対応するゲート電極トラック上にある。また、当然のことながら、ゲートレベルフィーチャー411〜423のそれぞれは、隣接ゲートレベルフィーチャーレイアウトチャンネル内で画定されたゲートレベルフィーチャーに対するデザインルールのスペース要求を維持し、隣接ゲートレベルフィーチャーレイアウトチャンネル内で画定された他のゲートレベルフィーチャーとの物理的なコンタクトを防止する。
ゲート電極は、拡散領域上を延長する各ゲートレベルフィーチャーの部分に対応し、各ゲートレベルフィーチャーは、全体として、ゲートレベルフィーチャーレイアウトチャンネル内で画定される。各ゲートレベルフィーチャーは、隣接ゲートレベルフィーチャーレイアウトチャンネル内で画定された他のゲートレベルフィーチャーと物理的に接触することなく、そのゲートレベルフィーチャーレイアウトチャンネル内で画定される。図4Bの例示的なゲートレベルフィーチャーレイアウトチャンネル401A−1〜401E−1によって示されるように、各ゲートレベルフィーチャーレイアウトチャンネルは、所定のゲート電極トラックに関連し、所定のゲート電極トラックに沿って、所定のゲート電極トラックから、隣接ゲート電極トラック又はレイアウト境界の外の仮想ゲート電極トラックのうち近い方へ、それぞれ反対方向に垂直外側に延長するレイアウト領域に対応する。
いくつかのゲートレベルフィーチャーは、それらの長さに沿って多くの位置で画定された1つ又は2つ以上のコンタクトヘッド(contact head)部分を有しうる。所定のゲートレベルフィーチャーのコンタクトヘッド部分は、ゲートコンタクト構造を受け入れるのに十分なサイズの高さと幅を有するゲートレベルフィーチャーのセグメント(segment)として画定され、「幅」は、所定のゲートレベルフィーチャーのゲート電極トラックに対して垂直方向に基板全域で規定され、「高さ」は、所定のゲートレベルフィーチャーのゲート電極トラックに対して平行方向に基板全域で規定される。当然のことながら、ゲートレベルフィーチャーのコンタクトヘッドは、上方から見たとき、四角または矩形を含む本質的に任意のレイアウト形状によって画定されうる。また、レイアウト要求及び回路設計に応じて、ゲートレベルフィーチャーの所定のコンタクトヘッド部分は、その上で画定されるゲートコンタクトを有したり、有しなかったりする。
本明細書に記載された種々の実施形態のゲートレベルは、上述のように、制限的ゲートレベルとして画定される。ゲートレベルフィーチャーにいくつかは、トランジスタ素子のゲート電極を形成する。他のゲートレベルフィーチャーは、ゲートレベル内の2点間で延長する導電性セグメントを形成することができる。また、他のゲートレベルフィーチャーは、集積回路動作に関して非機能的でありうる。当然のことながら、ゲートレベルフィーチャーのそれぞれは、機能に関係なく、隣接するゲートレベルフィーチャーレイアウトチャンネルでもって画定される他のゲートレベルフィーチャーと物理的な接触をすることなく、それらの各ゲートレベルフィーチャーレイアウトチャンネル内のゲートレベル全域にわたって拡がるように画定される。
一実施形態において、ゲートレベルフィーチャーは、製造及び設計プロセスで正確に予測されて最適化された有限数の制御されたレイアウト形状間(シェイプツーシェイプ(shape-to-shape))のリソグラフィック相互作用を提供するように画定される。この実施形態において、ゲートレベルフィーチャーは、高確率で正確に予測して緩和することができないレイアウト内の逆のリソグラフィック相互作用を生成するかもしれないレイアウト形状間の空間的相互関係を防止するように画定される。しかし、当然のことながら、ゲートレベルレイアウトチャンネル内のゲートレベルフィーチャーの方向の変更は、対応するリソグラフィック相互作用が予測可能であり、管理可能であるとき、受け入れ可能である。
当然のことながら、ゲートレベルフィーチャーのそれぞれは、機能に関係なく、非ゲートレベルフィーチャーを使用することなく、異なるゲート電極トラックに沿って画定される他のゲートレベルフィーチャーにゲートレベル内で直接接続するように構成された所定のゲート電極トラックに沿ったゲートレベルフィーチャーがないように画定される。さらに、異なるゲート電極トラックに関連する異なるゲートレベルレイアウトチャンネル内に配置されたゲートレベルフィーチャー間の各接続は、1つ又は2つ以上の非ゲートレベルフィーチャーを介して行われるが、その非ゲートレベルフィーチャーは上位の相互接続レベル、すなわちゲートレベルの上の1つ又は2つ以上の相互接続レベルを介して、またはゲートレベルの下の局所的な相互接続フィーチャーにより、画定されうる。
当然のことながら、本明細書で開示されるXOR300及びXNOR200回路及びレイアウトは、コンピュータが読み取り可能な媒体上のデジタルフォーマットのような具体的な形態に格納することが可能である。例えば、本明細書で開示されるXOR300及び/又はXNOR200回路のレイアウトは、1つ又は2つ以上のセルライブラリから選択可能な1つ又は2つ以上のセルとして、レイアウトデータファイル内に格納することが可能である。レイアウトデータファイルは、GDS2(グラフィックデータシステム;Graphic Fata System)データベースファイル、OASIS(オープンアートワークシステムインターチャンジ標準;Open Artwork System Interchange Standard)データベースファイル、又は半導体素子レイアウトの格納及び通信に適した他の任意の種類のデータファイルフォーマットとしてフォーマットすることができる。また、XOR300及び/又はXNOR200回路のマルチレベルレイアウトは、より大きい半導体素子のマルチレベルレイアウトの内に含まれることも可能である。より大きい半導体素子のマルチレベルレイアウトもまた、上記のようなレイアウトデータファイルの形態内に格納されることも可能である。
また、本明細書に記載された発明は、コンピュータが読み取り可能な媒体上のコンピュータが読み取り可能なコードとして具現化されることも可能である。例えば、コンピュータが読み取り可能なコードは、XOR300及び/又はXNOR200回路レイアウトが格納されるレイアウトデータファイルを含むことが可能である。また、コンピュータが読み取り可能なコードは、XOR300及び/又はXNOR200回路レイアウトを含む1つ又は2つ以上のレイアウトライブラリ及び/又はセルを選択するためのプログラム命令を含むことが可能である。また、レイアウトライブラリ及び/又はセルは、コンピュータが読み取り可能な媒体上のデジタルフォーマット内に格納されることが可能である。
本明細書で述べたコンピュータが読み取り可能な媒体は、データを格納することができ、コンピュータシステムによって後で読み出すことができる任意のデータ記憶デバイスである。コンピュータが読み取り可能な媒体の例は、ハードドライブ、ネットワークアタッチトストレージ(NAS;network attached storage)、読み出し専用メモリ(ROM)、ランダムアクセスメモリ(RAM)CD−ROM、CD−R、磁気テープ、及び他の光学的及び否光学的データ格納デバイスを含む。コンピュータが読み取り可能な媒体は、また、コンピュータが読み取り可能なコードが分散して格納されて実行されるように、接続コンピュータシステムのネットワーク上に分散されることが可能である。
本発明の部分を形成する本明細書で述べたすべてのオペレーションは、有用なマシーンオペレーションである。本発明は、また、これらのオペレーションを実現するためのデバイス又は装置に関する。装置は、特に、特定目的のコンピュータのような所定の目的のために構成されうる。特定目的のコンピュータとして定義されたとき、そのコンピュータは、また、特定目的の部分ではない他の処理、プログラム実行又はルーティーンを実行することができるが、さらに、特定目的のためのオペレーションも可能である。または、そのオペレーションは、コンピュータメモリ、キャッシュに格納された、またはネットワークを介して取得した1つ又は2つ以上のコンピュータプログラムによって選択的に起動され又は構成された一般目的のコンピュータによって処理されうる。ネットワークを介してデータが取得されたとき、そのデータは、ネットワーク上の他のコンピュータ、例えばコンピュータ資源のクラウド、によって処理されるかもしれない。
本発明の実施形態は、また、1つの状態から他の状態へ変換するマシーンとして画定することができる。そのデータは、電子信号及び電子的な処理データとして表現することができるアーティクルを表しうる。変換されたデータは、場合によっては、データの変換の結果として生ずる物理的なオブジェクトを表示し、ディスプレイ上に視覚的に描写されることが可能である。変換されたデータは、物理的及び具体的なオブジェクトの構築又は描写を可能にする一般的又は特定のフォーマットで、記憶装置に保存することが可能である。このような例において、プロセッサは、このようにして、1つの物から他の物へデータを変換する。さらに、その方法は、ネットワークを介して接続された1つ又2つ以上のマシーン又はプロセッサによって処理されうる。各マシーンは、1つの状態又は物から他の状態又は物へデータを変換することができ、また、データを処理することができ、データを記憶装置に保存することができ、ネットワークを介してデータを伝送することができ、結果を表示することができ、またはその結果を他のマシーンに通信することができる。
当然のことながら、本明細書で開示されたXOR300及びXNOR200回路及びレイアウトは、半導体デバイス又はチップの部分として製造することが可能である。集積回路、メモリセルなどのような半導体デバイスの製造において、一連の製造オペレーションは、半導体ウェハ上にフィーチャーを画定するように実施される。そのウェハは、シリコン基板上で画定されるマルチレベル構造の形態の集積回路デバイスを含む。基板レベルにおいて、拡散領域を有するトランジスタ素子が形成される。次のレベルにおいて、相互接続金属化ラインがパターニングされてトランジスタ素子に電気的に接続され、所望の集積回路デバイスを画定する。また、パターニングされた導電層は、誘電体材料によって、他の導電層から絶縁される。
いくつかの実施形態に関して本発明が説明されてきたが、当然のことながら、前記の明細書を読み、図面を検討した当業者は、種々の改変、追加、置換及びそれの等価物を具現化するであろう。したがって、本発明は、本発明の真の精神及び範囲内に含まれるものとして、このような改変、追加、置換及びそれの等価物のすべてを含むことが意図される。
200 XNOR(排他的否定論理和ロジック回路)
250,350 伝送ゲート
260,360 パスゲート
270 プルダウンロジック
300 XOR(排他的論理和ロジック回路)
370 プルアップロジック

Claims (38)

  1. 第1の入力ノードと、
    第2の入力ノードと、
    出力ノードと、
    前記第2の入力ノードに存在するロジック状態によって制御されるように接続され、前記第2の入力ノードに存在する前記ロジック状態により伝送するように制御されたとき、前記第1の入力ノードに存在するロジック状態のバージョンを前記出力ノードに通すように接続されたパスゲートと、
    前記第1の入力ノードに存在するロジック状態によって制御されるように接続され、前記第1の入力ノードに存在する前記ロジック状態により伝送するように制御されたとき、前記第2の入力ノードに存在するロジック状態のバージョンを前記出力ノードに通すように接続された伝送ゲートと、
    前記第1の入力ノードに存在する前記ロジック状態と前記第2の入力ノードに存在する前記ロジック状態の両方によって制御されるように接続され、前記第1の入力ノードに存在する前記ロジック状態と前記第2の入力ノードに存在する前記ロジック状態の両方がハイのとき、前記出力ノードに存在する状態をロウに駆動するように接続されたプルアップロジックと、を有することを特徴とする排他的論理和ロジック回路。
  2. 請求項1記載の排他的論理和ロジック回路において、さらに、
    前記第1の入力ノードに接続された入力と、前記パスゲートに接続された出力とを有する第1の入力インバータと、
    前記第2の入力ノードに接続された入力と、前記伝送ゲートに接続された出力とを有する第2の入力インバータと、
    前記パスゲート、前記伝送ゲート及び前記プルアップロジックのそれぞれに接続された入力と、前記出力ノードに接続された出力とを有する出力インバータと、を有することを特徴とする排他的論理和ロジック回路。
  3. 請求項2記載の排他的論理和ロジック回路において、
    前記パスゲートは、前記第2の入力インバータの前記出力に接続されたゲートを有するNMOSトランジスタとして画定され、前記第2の入力ノードに存在する前記ロジック状態の反転バージョンが前記NMOSトランジスタの前記ゲートで受け取られ、
    前記NMOSトランジスタは、前記第1の入力インバータの前記出力に接続された第1の端子と、前記出力インバータの前記入力に接続された第2の端子とを有する、ことを特徴とする排他的論理和ロジック回路。
  4. 請求項3記載の排他的論理和ロジック回路において、
    前記NMOSトランジスタは、前記第2の入力ノードの前記ロジック状態がロウのときに伝送するように接続され、前記出力ノードの前記ロジック状態は、前記第1の入力ノードの前記ロジック状態に一致するようになっている、ことを特徴とする排他的論理和ロジック回路。
  5. 請求項2記載の排他的論理和ロジック回路において、
    前記伝送ゲートは、前記第2の入力インバータの前記出力に接続された第1の端子と、前記出力インバータの前記入力に接続された第2の端子とをそれぞれ有するNMOSトランジスタ及びPMOSトランジスタによって画定され、
    前記PMOSトランジスタは、前記第1の入力ノードに接続されたゲートを有し、前記NMOSトランジスタは、前記第1の入力インバータの前記出力に接続されたゲートを有する、ことを特徴とする排他的論理和ロジック回路。
  6. 請求項5記載の排他的論理和ロジック回路において、
    前記NMOSトランジスタ及び前記PMOSトランジスタの両方は、前記第1の入力ノードの前記ロジック状態がロウのときに伝送するように接続され、前記出力ノードの前記ロジック状態は、前記第2の入力ノードの前記ロジック状態に一致するようになっている、ことを特徴とする排他的論理和ロジック回路。
  7. 請求項2記載の排他的論理和ロジック回路において、
    前記プルアップロジックは、第1のPMOSトランジスタ及び第2のPMOSトランジスタによって画定され、
    前記第1及び第2のPMOSトランジスタは、電源と、前記出力インバータの前記入力との間で直列接続されている、ことを特徴とする排他的論理和ロジック回路。
  8. 請求項7記載の排他的論理和ロジック回路において、
    前記第1のPMOSトランジスタのゲートは、前記第2の入力インバータの前記出力に接続され、
    前記第2のPMOSトランジスタのゲートは、前記第1の入力インバータの前記出力に接続されている、ことを特徴とする排他的論理和ロジック回路。
  9. 請求項7記載の排他的論理和ロジック回路において、
    前記第1のPMOSトランジスタのゲートは、前記第1の入力インバータの前記出力に接続され、
    前記第2のPMOSトランジスタのゲートは、前記第2の入力インバータの前記出力に接続されている、ことを特徴とする排他的論理和ロジック回路。
  10. 請求項7記載の排他的論理和ロジック回路において、
    前記第1及び第2のPMOSトランジスタの両方は、前記第1及び第2の入力ノードの前記ロジック状態が両方ともハイのときに伝送するように接続され、前記出力ノードの前記ロジック状態がロウに駆動される、ことを特徴とする排他的論理和ロジック回路。
  11. 請求項1記載の排他的論理和ロジック回路において、
    前記排他的論理和ロジック回路は、半導体チップ内で画定されることを特徴とする排他的論理和ロジック回路。
  12. 排他的論理和ロジック回路レイアウトであって、
    6つのPMOSトランジスタと、
    5つのNMOSトランジスタと、を有し、
    前記5つのNMOSトランジスタは、それぞれ、前記6つのPMOSトランジスタのうちの5つとペアになっており、NMOS及びPMOSトランジスタの各ペアは、5つのゲート電極トラックの各1つに沿って配置された連続的なゲート電極構造を共有するように画定され、
    前記6つのPMOSトランジスタの6番目は、6番目のゲート電極トラックに沿って配置されたゲート電極構造によって画定され、前記6番目のPMOSトランジスタは、前記6番目のゲート電極トラックを、前記排他的論理和ロジック回路レイアウト内の他のトランジスタと共有せず、
    前記6つのゲート電極トラックは、お互いに平行に配向している、ことを特徴とする排他的論理和ロジック回路レイアウト。
  13. 請求項12記載の排他的論理和ロジック回路レイアウトにおいて、
    前記排他的論理和ロジック回路レイアウトは、間にエンドツーエンドスペースを有する同一線上に配置されたゲート電極がない、ことを特徴とする排他的論理和ロジック回路レイアウト。
  14. 請求項12記載の排他的論理和ロジック回路レイアウトにおいて、
    各ゲート電極構造は、製図された状態で見たときに実質的に矩形の断面を有する線形構造で画定される、ことを特徴とする排他的論理和ロジック回路レイアウト。
  15. 請求項12記載の排他的論理和ロジック回路レイアウトにおいて、
    前記6つのゲート電極トラックは均等に離間されている、ことを特徴とする排他的論理和ロジック回路レイアウト。
  16. 請求項12記載の排他的論理和ロジック回路レイアウトにおいて、
    前記排他的論理和ロジック回路レイアウトは、コンピュータが読み取り可能な媒体上のデジタルフォーマットに記録される、ことを特徴とする排他的論理和ロジック回路レイアウト。
  17. 請求項16記載の排他的論理和ロジック回路レイアウトにおいて、
    前記デジタルフォーマットは、1つ又は2つ以上の半導体デバイスレイアウトを格納して通信するためのデータファイルフォーマットである、ことを特徴とする排他的論理和ロジック回路レイアウト。
  18. 請求項16記載の排他的論理和ロジック回路レイアウトにおいて、
    前記コンピュータが読み取り可能な媒体は、前記コンピュータが読み取り可能な媒体から前記デジタルフォーマット内の前記排他的論理和ロジック回路レイアウトをアクセスして取り出すためのプログラム命令を含む、ことを特徴とする排他的論理和ロジック回路レイアウト。
  19. 請求項18記載の排他的論理和ロジック回路レイアウトにおいて、
    アクセスして取り出すための前記プログラム命令は、前記デジタルフォーマット内の前記排他的論理和ロジック回路レイアウトを含む、ライブラリ、セル、又はライブラリ及びセルの両方を選択するためのプログラム命令を含む、ことを特徴とする排他的論理和ロジック回路レイアウト。
  20. 第1の入力ノードと、
    第2の入力ノードと、
    出力ノードと、
    前記第2の入力ノードに存在するロジック状態によって制御されるように接続され、前記第2の入力ノードに存在する前記ロジック状態により伝送するように制御されたとき、前記第1の入力ノードに存在するロジック状態のバージョンを前記出力ノードに通すように接続されたパスゲートと、
    前記第1の入力ノードに存在する前記ロジック状態によって制御されるように接続され、前記第1の入力ノードに存在する前記ロジック状態により伝送するように制御されたとき、前記第2の入力ノードに存在する前記ロジック状態のバージョンを前記出力ノードに通すように接続された伝送ゲートと、
    前記第1の入力ノードに存在する前記ロジック状態と前記第2の入力ノードに存在する前記ロジック状態の両方によって制御されるように接続され、前記第1の入力ノードに存在する前記ロジック状態と前記第2の入力ノードに存在する前記ロジック状態の両方がロウのとき、前記出力ノードに存在する状態をハイに駆動するように接続されたプルダウンロジックと、を有することを特徴とする排他的否定論理和ロジック回路。
  21. 請求項20記載の排他的否定論理和ロジック回路において、さらに、
    前記第1の入力ノードに接続された入力と、前記パスゲートに接続された出力とを有する第1の入力インバータと、
    前記第2の入力ノードに接続された入力と、前記伝送ゲートに接続された出力とを有する第2の入力インバータと、
    前記パスゲート、前記伝送ゲート及び前記プルダウンロジックのそれぞれに接続された入力と、前記出力ノードに接続された出力とを有する出力インバータと、を有することを特徴とする排他的否定論理和ロジック回路。
  22. 請求項21記載の排他的否定論理和ロジック回路において、
    前記パスゲートは、前記第2の入力インバータの前記出力に接続されたゲートを有するPMOSトランジスタとして画定され、前記第2の入力ノードに存在する前記ロジック状態の反転バージョンが前記PMOSトランジスタの前記ゲートで受け取られ、
    前記PMOSトランジスタは、前記第1の入力インバータの前記出力に接続された第1の端子と、前記出力インバータの前記入力に接続された第2の端子とを有する、ことを特徴とする排他的否定論理和ロジック回路。
  23. 請求項22記載の排他的否定論理和ロジック回路において、
    前記PMOSトランジスタは、前記第2の入力ノードの前記ロジック状態がハイのときに伝送するように接続され、前記出力ノードの前記ロジック状態は、前記第1の入力ノードの前記ロジック状態に一致するようになっている、ことを特徴とする排他的否定論理和ロジック回路。
  24. 請求項21記載の排他的否定論理和ロジック回路において、
    前記伝送ゲートは、前記第2の入力インバータの前記出力に接続された第1の端子と、前記出力インバータの前記入力に接続された第2の端子とをそれぞれ有するPMOSトランジスタ及びNMOSトランジスタによって画定され、
    前記NMOSトランジスタは、前記第1の入力ノードに接続されたゲートを有し、前記PMOSトランジスタは、前記第1の入力インバータの前記出力に接続されたゲートを有する、ことを特徴とする排他的否定論理和ロジック回路。
  25. 請求項24記載の排他的否定論理和ロジック回路において、
    前記NMOSトランジスタ及び前記PMOSトランジスタの両方は、前記第1の入力ノードの前記ロジック状態がハイのときに伝送するように接続され、前記出力ノードの前記ロジック状態は、前記第2の入力ノードの前記ロジック状態に一致するようになっている、ことを特徴とする排他的否定論理和ロジック回路。
  26. 請求項21記載の排他的否定論理和ロジック回路において、
    前記プルダウンロジックは、第1のNMOSトランジスタ及び第2のNMOSトランジスタによって画定され、
    前記第1及び第2のNMOSトランジスタは、基準接地電位と、前記出力インバータの前記入力との間で直列接続されている、ことを特徴とする排他的否定論理和ロジック回路。
  27. 請求項26記載の排他的否定論理和ロジック回路において、
    前記第1のNMOSトランジスタのゲートは、前記第2の入力インバータの前記出力に接続され、
    前記第2のNMOSトランジスタのゲートは、前記第1の入力インバータの前記出力に接続されている、ことを特徴とする排他的否定論理和ロジック回路。
  28. 請求項26記載の排他的否定論理和ロジック回路において、
    前記第1のNMOSトランジスタのゲートは、前記第1の入力インバータの前記出力に接続され、
    前記第2のNMOSトランジスタのゲートは、前記第2の入力インバータの前記出力に接続されている、ことを特徴とする排他的否定論理和ロジック回路。
  29. 請求項26記載の排他的否定論理和ロジック回路において、
    前記第1及び第2のNMOSトランジスタの両方は、前記第1及び第2の入力ノードの前記ロジック状態が両方ともロウのときに伝送するように接続され、前記出力ノードの前記ロジック状態がハイに駆動される、ことを特徴とする排他的否定論理和ロジック回路。
  30. 請求項20記載の排他的否定論理和ロジック回路において、
    前記排他的否定論理和ロジック回路は、半導体チップ内で画定されることを特徴とする排他的否定論理和ロジック回路。
  31. 排他的否定論理和ロジック回路レイアウトであって、
    5つのPMOSトランジスタと、
    6つのNMOSトランジスタと、を有し、
    前記5つのPMOSトランジスタは、それぞれ、前記6つのNMOSトランジスタのうちの5つとペアになっており、
    PMOS及びNMOSトランジスタの各ペアは、5つのゲート電極トラックの各1つに沿って配置された連続的なゲート電極構造を共有するように画定され、
    前記6つのNMOSトランジスタの6番目は、6番目のゲート電極トラックに沿って配置されたゲート電極構造によって画定され、前記6番目のNMOSトランジスタは、前記6番目のゲート電極トラックを、前記排他的否定論理和ロジック回路レイアウト内の他のトランジスタと共有せず、
    前記6つのゲート電極トラックは、お互いに平行に配向している、ことを特徴とする排他的否定論理和ロジック回路レイアウト。
  32. 請求項31記載の排他的否定論理和ロジック回路レイアウトにおいて、
    前記排他的否定論理和ロジック回路レイアウトは、間にエンドツーエンドスペースを有する同一線上に配置されたゲート電極がない、ことを特徴とする排他的否定論理和ロジック回路レイアウト。
  33. 請求項31記載の排他的否定論理和ロジック回路レイアウトにおいて、
    各ゲート電極構造は、製図された状態で見たときに実質的に矩形の断面を有する線形構造で画定される、ことを特徴とする排他的否定論理和ロジック回路レイアウト。
  34. 請求項31記載の排他的否定論理和ロジック回路レイアウトにおいて、
    前記6つのゲート電極トラックは均等に離間されている、ことを特徴とする排他的否定論理和ロジック回路レイアウト。
  35. 請求項31記載の排他的否定論理和ロジック回路レイアウトにおいて、
    前記排他的否定論理和ロジック回路レイアウトは、コンピュータが読み取り可能な媒体上のデジタルフォーマットに記録される、ことを特徴とする排他的否定論理和ロジック回路レイアウト。
  36. 請求項35記載の排他的否定論理和ロジック回路レイアウトにおいて、
    前記デジタルフォーマットは、1つ又は2つ以上の半導体デバイスレイアウトを格納して通信するためのデータファイルフォーマットである、ことを特徴とする排他的否定論理和ロジック回路レイアウト。
  37. 請求項35記載の排他的否定論理和ロジック回路レイアウトにおいて、
    前記コンピュータが読み取り可能な媒体は、前記コンピュータが読み取り可能な媒体から前記デジタルフォーマット内の前記排他的否定論理和ロジック回路レイアウトをアクセスして取り出すためのプログラム命令を含む、ことを特徴とする排他的否定論理和ロジック回路レイアウト。
  38. 請求項37記載の排他的否定論理和ロジック回路レイアウトにおいて、
    アクセスして取り出すための前記プログラム命令は、前記デジタルフォーマット内の前記排他的否定論理和ロジック回路レイアウトを含む、ライブラリ、セル、又はライブラリ及びセルの両方を選択するためのプログラム命令を含む、ことを特徴とする排他的否定論理和ロジック回路レイアウト。
JP2014166479A 2009-04-30 2014-08-19 Xor及びxnorロジックの回路及びレイアウト Expired - Fee Related JP5870433B2 (ja)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US17440809P 2009-04-30 2009-04-30
US61/174,408 2009-04-30
US12/435,672 2009-05-05
US12/435,672 US8653857B2 (en) 2006-03-09 2009-05-05 Circuitry and layouts for XOR and XNOR logic

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2012508529A Division JP5926175B2 (ja) 2009-04-30 2010-04-19 Xor及びxnorロジックの回路及びレイアウト

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2015228586A Division JP6095752B2 (ja) 2009-04-30 2015-11-24 Xor及びxnorロジックの回路及びレイアウト

Publications (2)

Publication Number Publication Date
JP2014222951A true JP2014222951A (ja) 2014-11-27
JP5870433B2 JP5870433B2 (ja) 2016-03-01

Family

ID=43029928

Family Applications (4)

Application Number Title Priority Date Filing Date
JP2012508529A Expired - Fee Related JP5926175B2 (ja) 2009-04-30 2010-04-19 Xor及びxnorロジックの回路及びレイアウト
JP2014166479A Expired - Fee Related JP5870433B2 (ja) 2009-04-30 2014-08-19 Xor及びxnorロジックの回路及びレイアウト
JP2015228586A Expired - Fee Related JP6095752B2 (ja) 2009-04-30 2015-11-24 Xor及びxnorロジックの回路及びレイアウト
JP2016242003A Pending JP2017069981A (ja) 2009-04-30 2016-12-14 Xor及びxnorロジックの回路及びレイアウト

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2012508529A Expired - Fee Related JP5926175B2 (ja) 2009-04-30 2010-04-19 Xor及びxnorロジックの回路及びレイアウト

Family Applications After (2)

Application Number Title Priority Date Filing Date
JP2015228586A Expired - Fee Related JP6095752B2 (ja) 2009-04-30 2015-11-24 Xor及びxnorロジックの回路及びレイアウト
JP2016242003A Pending JP2017069981A (ja) 2009-04-30 2016-12-14 Xor及びxnorロジックの回路及びレイアウト

Country Status (9)

Country Link
US (3) US8653857B2 (ja)
EP (3) EP2425531B1 (ja)
JP (4) JP5926175B2 (ja)
KR (5) KR101714337B1 (ja)
ES (2) ES2565440T3 (ja)
MY (2) MY163582A (ja)
SG (2) SG175384A1 (ja)
TW (5) TWI539313B (ja)
WO (1) WO2010126738A2 (ja)

Families Citing this family (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7448012B1 (en) 2004-04-21 2008-11-04 Qi-De Qian Methods and system for improving integrated circuit layout
US8653857B2 (en) * 2006-03-09 2014-02-18 Tela Innovations, Inc. Circuitry and layouts for XOR and XNOR logic
KR20130113085A (ko) * 2012-04-05 2013-10-15 에스케이하이닉스 주식회사 배타적 논리합 회로
TWI588596B (zh) * 2013-08-15 2017-06-21 聯華電子股份有限公司 產生佈局圖案的方法
TWI720077B (zh) * 2016-12-07 2021-03-01 聯華電子股份有限公司 半導體元件的布局
KR20180069465A (ko) 2016-12-15 2018-06-25 삼성전자주식회사 수직형 트랜지스터를 구비하는 집적 회로 및 이를 포함하는 반도체 장치
US10699778B2 (en) 2017-04-28 2020-06-30 Arizona Board Of Regents On Behalf Of Arizona State University Static random access memory (SRAM) cell and related SRAM array for deep neural network and machine learning applications
US11144316B1 (en) 2018-04-17 2021-10-12 Ali Tasdighi Far Current-mode mixed-signal SRAM based compute-in-memory for low power machine learning
US10613829B2 (en) * 2018-05-17 2020-04-07 Qualcomm Incorporated Performance power optimized full adder
US11562953B2 (en) * 2018-10-23 2023-01-24 Taiwan Semiconductor Manufacturing Company, Ltd. Cell having stacked pick-up region
US10756753B2 (en) * 2018-10-25 2020-08-25 Arm Limited Data compressor logic circuit
CN109639267B (zh) * 2018-11-26 2023-04-07 宁波大学 一种异或-多数逻辑图中的反相器数量优化方法
US10915298B1 (en) 2019-10-08 2021-02-09 Ali Tasdighi Far Current mode multiply-accumulate for compute in memory binarized neural networks
US11152942B2 (en) * 2019-11-29 2021-10-19 Samsung Electronics Co., Ltd. Three-input exclusive NOR/OR gate using a CMOS circuit
KR102221585B1 (ko) 2019-12-26 2021-03-02 강원대학교산학협력단 Xor-xnor 로직회로
US11610104B1 (en) 2019-12-30 2023-03-21 Ali Tasdighi Far Asynchronous analog accelerator for fully connected artificial neural networks
US11615256B1 (en) 2019-12-30 2023-03-28 Ali Tasdighi Far Hybrid accumulation method in multiply-accumulate for machine learning
US20230308099A1 (en) * 2022-03-28 2023-09-28 Mediatek Inc. Buffer circuits and semiconductor structures thereof

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH01284115A (ja) * 1988-05-11 1989-11-15 Sharp Corp 論理回路
JPH05152937A (ja) * 1991-11-26 1993-06-18 Hitachi Ltd 論理ゲート回路
JP2001168707A (ja) * 1999-12-03 2001-06-22 Sony Corp 論理回路およびそれを用いた全加算器
JP2003100872A (ja) * 2001-09-25 2003-04-04 Sharp Corp パストランジスタ論理回路

Family Cites Families (717)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US424460A (en) * 1890-04-01 Fire-escape
US3521242A (en) 1967-05-02 1970-07-21 Rca Corp Complementary transistor write and ndro for memory cell
US4069493A (en) 1970-10-02 1978-01-17 Thomson-Csf Novel integrated circuit and method of manufacturing same
JPS5219058A (en) * 1975-08-04 1977-01-14 Nippon Telegr & Teleph Corp <Ntt> Exclusive logical sum circuit
US4197555A (en) 1975-12-29 1980-04-08 Fujitsu Limited Semiconductor device
JPS5746536A (en) 1980-09-04 1982-03-17 Matsushita Electric Ind Co Ltd Gate circuit
US4424460A (en) 1981-07-14 1984-01-03 Rockwell International Corporation Apparatus and method for providing a logical exclusive OR/exclusive NOR function
JPS5943824B2 (ja) 1982-03-03 1984-10-24 三菱電機株式会社 半導体集積回路装置
JPS58182242A (ja) 1982-04-19 1983-10-25 Nec Corp 半導体集積回路装置
JPS58215827A (ja) 1982-06-09 1983-12-15 Toshiba Corp 論理回路
JPS5943548A (ja) 1982-09-06 1984-03-10 Hitachi Ltd 半導体集積回路装置
US4613940A (en) 1982-11-09 1986-09-23 International Microelectronic Products Method and structure for use in designing and building electronic systems in integrated circuits
JPS6035532A (ja) 1983-07-29 1985-02-23 Fujitsu Ltd マスタスライス集積回路装置
US4575648A (en) * 1983-12-23 1986-03-11 At&T Bell Laboratories Complementary field effect transistor EXCLUSIVE OR logic gates
JPS60206222A (ja) * 1984-03-29 1985-10-17 Toshiba Corp 排他的論理和回路
US5121186A (en) 1984-06-15 1992-06-09 Hewlett-Packard Company Integrated circuit device having improved junction connections
KR940002772B1 (ko) 1984-08-31 1994-04-02 가부시기가이샤 히다찌세이사꾸쇼 반도체 집적회로 장치 및 그 제조방법
US5545904A (en) 1986-01-17 1996-08-13 Quick Technologies Ltd. Personalizable gate array devices
JPH0695570B2 (ja) 1985-02-07 1994-11-24 三菱電機株式会社 半導体集積回路装置
JPS61202451A (ja) 1985-03-05 1986-09-08 Nec Corp 半導体集積回路の配線構体
US4657628A (en) 1985-05-01 1987-04-14 Texas Instruments Incorporated Process for patterning local interconnects
US4804636A (en) 1985-05-01 1989-02-14 Texas Instruments Incorporated Process for making integrated circuits having titanium nitride triple interconnect
US4975756A (en) 1985-05-01 1990-12-04 Texas Instruments Incorporated SRAM with local interconnect
US4602270A (en) 1985-05-17 1986-07-22 United Technologies Corporation Gate array with reduced isolation
US4627152A (en) 1985-05-24 1986-12-09 International Business Machines Corporation Automatic layout for cascode voltage switch logic
JPS6247148A (ja) 1985-08-27 1987-02-28 Toshiba Corp 半導体集積回路装置
JPS62169472A (ja) 1986-01-22 1987-07-25 Hitachi Ltd 半導体集積回路装置
US5097422A (en) 1986-10-10 1992-03-17 Cascade Design Automation Corporation Method and apparatus for designing integrated circuits
US4745084A (en) 1986-11-12 1988-05-17 Vlsi Technology, Inc. Method of making a customized semiconductor integrated device
US4884115A (en) 1987-02-27 1989-11-28 Siemens Aktiengesellschaft Basic cell for a gate array arrangement in CMOS Technology
US4801986A (en) 1987-04-03 1989-01-31 General Electric Company Vertical double diffused metal oxide semiconductor VDMOS device with increased safe operating area and method
JP2742052B2 (ja) 1987-06-12 1998-04-22 日本電信電話株式会社 相補型misマスタスライス論理集積回路
JPH067345B2 (ja) 1987-06-24 1994-01-26 株式会社 エイ・ティ・ア−ル自動翻訳電話研究所 ベクトル量子化を用いた音声認識方式
US5119313A (en) 1987-08-04 1992-06-02 Texas Instruments Incorporated Comprehensive logic circuit layout system
KR100212098B1 (ko) 1987-09-19 1999-08-02 가나이 쓰도무 반도체 집적회로 장치 및 그 제조 방법과 반도체 집적 회로 장치의 배선기판 및 그 제조 방법
US5068603A (en) 1987-10-07 1991-11-26 Xilinx, Inc. Structure and method for producing mask-programmed integrated circuits which are pin compatible substitutes for memory-configured logic arrays
JPH01112818A (ja) * 1987-10-26 1989-05-01 Matsushita Electric Ind Co Ltd 論理回路
US4812688A (en) 1987-12-30 1989-03-14 International Business Machines Corporation Transistor delay circuits
US5268319A (en) 1988-06-08 1993-12-07 Eliyahou Harari Highly compact EPROM and flash EEPROM devices
US4928160A (en) 1989-01-17 1990-05-22 Ncr Corporation Gate isolated base cell structure with off-grid gate polysilicon pattern
JPH02198154A (ja) 1989-01-27 1990-08-06 Hitachi Ltd 配線の形成方法及びこれを利用した半導体装置
US5224057A (en) 1989-02-28 1993-06-29 Kabushiki Kaisha Toshiba Arrangement method for logic cells in semiconductor IC device
US5351197A (en) 1989-04-13 1994-09-27 Cascade Design Automation Corporation Method and apparatus for designing the layout of a subcircuit in an integrated circuit
JP2551845B2 (ja) * 1989-05-20 1996-11-06 松下電器産業株式会社 排他的論理和回路
JPH03165061A (ja) 1989-11-22 1991-07-17 Hitachi Ltd 半導体集積回路装置
US5298774A (en) 1990-01-11 1994-03-29 Mitsubishi Denki Kabushiki Kaisha Gate array system semiconductor integrated circuit device
US5483104A (en) 1990-01-12 1996-01-09 Paradigm Technology, Inc. Self-aligning contact and interconnect structure
KR100199258B1 (ko) 1990-02-09 1999-06-15 가나이 쓰도무 반도체집적회로장치
US5977305A (en) 1990-04-20 1999-11-02 Cold Spring Harbor Laboratories Cloning by complementation and related processes
US6100025A (en) 1990-04-20 2000-08-08 Cold Spring Harbor Laboratory Cloning by complementation and related processes
US5047979A (en) 1990-06-15 1991-09-10 Integrated Device Technology, Inc. High density SRAM circuit with ratio independent memory cells
US5208765A (en) 1990-07-20 1993-05-04 Advanced Micro Devices, Inc. Computer-based method and system for product development
US5079614A (en) 1990-09-26 1992-01-07 S-Mos Systems, Inc. Gate array architecture with basic cell interleaved gate electrodes
JP3017789B2 (ja) 1990-10-18 2000-03-13 三菱電機株式会社 半導体集積回路装置のレイアウト設計方法
JP2851447B2 (ja) 1991-03-08 1999-01-27 三菱電機株式会社 形状シミュレーション方法
JPH04341010A (ja) * 1991-05-17 1992-11-27 Nec Corp 論理回路
JP3129336B2 (ja) 1991-12-09 2001-01-29 沖電気工業株式会社 半導体記憶装置
US7071060B1 (en) 1996-02-28 2006-07-04 Sandisk Corporation EEPROM with split gate source side infection with sidewall spacers
US5242770A (en) 1992-01-16 1993-09-07 Microunity Systems Engineering, Inc. Mask for photolithography
JP2760195B2 (ja) * 1992-01-20 1998-05-28 日本電気株式会社 論理回路
US5526307A (en) 1992-01-22 1996-06-11 Macronix International Co., Ltd. Flash EPROM integrated circuit architecture
JPH05218362A (ja) 1992-02-04 1993-08-27 Sharp Corp ゲートアレイのベーシックセル
JPH06216251A (ja) * 1992-10-20 1994-08-05 Fujitsu Ltd 半導体回路
US5367187A (en) 1992-12-22 1994-11-22 Quality Semiconductor, Inc. Master slice gate array integrated circuits with basic cells adaptable for both input/output and logic functions
IT1257184B (it) 1992-12-22 1996-01-10 Applied Research Systems Preparato ad attivita' antinfiammatoria, anticoagulante e antitumorale
US5420447A (en) 1993-01-29 1995-05-30 Sgs-Thomson Microelectronics, Inc. Double buffer base gate array cell
US5359226A (en) 1993-02-02 1994-10-25 Paradigm Technology, Inc. Static memory with self aligned contacts and split word lines
US5497334A (en) 1993-02-19 1996-03-05 International Business Machines Corporation Application generator for use in verifying a hierarchical circuit design
US5410107A (en) 1993-03-01 1995-04-25 The Board Of Trustees Of The University Of Arkansas Multichip module
FR2702595B1 (fr) 1993-03-11 1996-05-24 Toshiba Kk Structure de câblage multicouche.
US5536955A (en) 1993-03-29 1996-07-16 Toppan Electronics (Usa) Inc. Electronic devices for use in generating integrated circuit structures and method therefor
US5338963A (en) 1993-04-05 1994-08-16 International Business Machines Corporation Soft error immune CMOS static RAM cell
US5691218A (en) 1993-07-01 1997-11-25 Lsi Logic Corporation Method of fabricating a programmable polysilicon gate array base cell structure
US5396128A (en) 1993-09-13 1995-03-07 Motorola, Inc. Output circuit for interfacing integrated circuits having different power supply potentials
JP3285438B2 (ja) 1993-10-29 2002-05-27 三菱電機株式会社 半導体記憶装置
JP3144967B2 (ja) 1993-11-08 2001-03-12 株式会社日立製作所 半導体集積回路およびその製造方法
JP2746087B2 (ja) 1993-12-01 1998-04-28 日本電気株式会社 半導体集積回路
US5625568A (en) 1993-12-22 1997-04-29 Vlsi Technology, Inc. Method and apparatus for compacting integrated circuits with standard cell architectures
JP2684980B2 (ja) 1993-12-24 1997-12-03 日本電気株式会社 半導体記憶装置及びその製造方法
US6675361B1 (en) 1993-12-27 2004-01-06 Hyundai Electronics America Method of constructing an integrated circuit comprising an embedded macro
US5378649A (en) 1994-04-08 1995-01-03 United Microelectronics Corporation Process for producing non-volatile memory devices having closely spaced buried bit lines and non-overlapping code implant areas
US5636002A (en) 1994-04-29 1997-06-03 Lucent Technologies Inc. Auxiliary mask features for enhancing the resolution of photolithography
JP3463180B2 (ja) 1994-05-02 2003-11-05 Necトーキン株式会社 磁性ガーネット酸化物粉末の製造方法及び磁性ガーネット酸化物膜の製造方法
US5591995A (en) 1994-05-10 1997-01-07 Texas Instruments, Incorporated Base cell for BiCMOS and CMOS gate arrays
TW297158B (ja) 1994-05-27 1997-02-01 Hitachi Ltd
JP3202490B2 (ja) 1994-07-22 2001-08-27 株式会社東芝 集積回路のレイアウト方法及び集積回路のレイアウト装置
JP3469362B2 (ja) 1994-08-31 2003-11-25 株式会社東芝 半導体記憶装置
US5528177A (en) 1994-09-16 1996-06-18 Research Foundation Of State University Of New York Complementary field-effect transistor logic circuits for wave pipelining
US5497337A (en) 1994-10-21 1996-03-05 International Business Machines Corporation Method for designing high-Q inductors in silicon technology without expensive metalization
JPH08139591A (ja) * 1994-11-10 1996-05-31 Olympus Optical Co Ltd 論理回路装置
US5852562A (en) 1994-12-13 1998-12-22 Matsushita Electric Industrial Co., Ltd. Method and apparatus for designing an LSI layout utilizing cells having a predetermined wiring height in order to reduce wiring zones
JPH08292938A (ja) 1995-02-24 1996-11-05 Fujitsu Ltd 有限要素メッシュ発生方法及び装置、並びに解析方法及び装置
JP2647045B2 (ja) 1995-02-28 1997-08-27 日本電気株式会社 半導体記憶装置及びその製造方法
US5682323A (en) 1995-03-06 1997-10-28 Lsi Logic Corporation System and method for performing optical proximity correction on macrocell libraries
US5581098A (en) 1995-05-05 1996-12-03 Circuit Integration Technology, Inc. Circuit routing structure using fewer variable masks
JP3708168B2 (ja) 1995-06-13 2005-10-19 富士通株式会社 遅延装置
JP3535615B2 (ja) 1995-07-18 2004-06-07 株式会社ルネサステクノロジ 半導体集積回路装置
US5774367A (en) 1995-07-24 1998-06-30 Motorola, Inc. Method of selecting device threshold voltages for high speed and low power
US5764533A (en) 1995-08-01 1998-06-09 Sun Microsystems, Inc. Apparatus and methods for generating cell layouts
US5754826A (en) 1995-08-04 1998-05-19 Synopsys, Inc. CAD and simulation system for targeting IC designs to multiple fabrication processes
US5841663A (en) 1995-09-14 1998-11-24 Vlsi Technology, Inc. Apparatus and method for synthesizing integrated circuits using parameterized HDL modules
JPH0993118A (ja) * 1995-09-22 1997-04-04 Kawasaki Steel Corp パストランジスタ論理回路
US5789776A (en) 1995-09-22 1998-08-04 Nvx Corporation Single poly memory cell and array
JPH0997885A (ja) 1995-09-28 1997-04-08 Denso Corp ゲートアレイ
US5973369A (en) 1997-03-11 1999-10-26 Nec Corporation SRAM having P-channel TFT as load element with less series-connected high resistance
US5723883A (en) 1995-11-14 1998-03-03 In-Chip Gate array cell architecture and routing scheme
US5640342A (en) 1995-11-20 1997-06-17 Micron Technology, Inc. Structure for cross coupled thin film transistors and static random access memory cell
JP3400215B2 (ja) 1995-11-21 2003-04-28 沖電気工業株式会社 半導体装置
JP3486725B2 (ja) 1995-11-28 2004-01-13 株式会社ルネサステクノロジ 可変論理集積回路
JP3934719B2 (ja) 1995-12-22 2007-06-20 株式会社東芝 光近接効果補正方法
US6043562A (en) 1996-01-26 2000-03-28 Micron Technology, Inc. Digit line architecture for dynamic memory
KR100229577B1 (ko) 1996-01-31 1999-11-15 포만 제프리 엘 게이트 어레이 셀 및 이것을 포함한 집적 회로 칩
US5798298A (en) 1996-02-09 1998-08-25 United Microelectronics Corporation Method of automatically generating dummy metals for multilevel interconnection
US5705301A (en) 1996-02-27 1998-01-06 Lsi Logic Corporation Performing optical proximity correction with the aid of design rule checkers
US6269472B1 (en) 1996-02-27 2001-07-31 Lsi Logic Corporation Optical proximity correction method and apparatus
US5698873A (en) 1996-03-08 1997-12-16 Lsi Logic Corporation High density gate array base cell architecture
JPH09282349A (ja) 1996-04-17 1997-10-31 Shinko Electric Ind Co Ltd データ変換処理装置
JPH09289251A (ja) 1996-04-23 1997-11-04 Matsushita Electric Ind Co Ltd 半導体集積回路のレイアウト構造およびその検証方法
JP2914292B2 (ja) 1996-04-25 1999-06-28 日本電気株式会社 半導体装置
US5740068A (en) 1996-05-30 1998-04-14 International Business Machines Corporation Fidelity enhancement of lithographic and reactive-ion-etched images by optical proximity correction
JP2809200B2 (ja) 1996-06-03 1998-10-08 日本電気株式会社 半導体装置の製造方法
US5935763A (en) 1996-06-11 1999-08-10 International Business Machines Corporation Self-aligned pattern over a reflective layer
US6026223A (en) 1996-06-28 2000-02-15 Scepanovic; Ranko Advanced modular cell placement system with overlap remover with minimal noise
JP3311244B2 (ja) 1996-07-15 2002-08-05 株式会社東芝 基本セルライブラリ及びその形成方法
JP2918101B2 (ja) 1996-07-25 1999-07-12 日本電気株式会社 半導体集積回路のレイアウト方法
US5796128A (en) 1996-07-25 1998-08-18 Translogic Technology, Inc. Gate array with fully wired multiplexer circuits
US5920486A (en) 1996-08-16 1999-07-06 International Business Machines Corporation Parameterized cells for generating dense layouts of VLSI circuits
JP3152635B2 (ja) 1996-09-09 2001-04-03 三洋電機株式会社 マスタスライス方式の基本セル、半導体集積回路装置、フリップフロップ回路、排他的論理和回路、マルチプレクサ及び加算器
US5858580A (en) 1997-09-17 1999-01-12 Numerical Technologies, Inc. Phase shifting circuit manufacture method and apparatus
US5790417A (en) 1996-09-25 1998-08-04 Taiwan Semiconductor Manufacturing Company Ltd. Method of automatic dummy layout generation
US5923060A (en) 1996-09-27 1999-07-13 In-Chip Systems, Inc. Reduced area gate array cell design based on shifted placement of alternate rows of cells
US5684733A (en) 1996-09-30 1997-11-04 Holtek Microelectronics, Inc. Fixed resistance high density parallel ROM device
JP3529563B2 (ja) 1996-10-09 2004-05-24 株式会社東芝 半導体集積回路の再レイアウト方法及び半導体集積回路の再レイアウトプログラムを記録した媒体
US5984510A (en) 1996-11-01 1999-11-16 Motorola Inc. Automatic synthesis of standard cell layouts
US6209123B1 (en) 1996-11-01 2001-03-27 Motorola, Inc. Methods of placing transistors in a circuit layout and semiconductor device with automatically placed transistors
US6099584A (en) 1996-12-06 2000-08-08 Vsli Technology, Inc. System to fix post-layout timing and design rules violations
JP3523762B2 (ja) 1996-12-19 2004-04-26 株式会社東芝 半導体記憶装置
JP3352895B2 (ja) 1996-12-25 2002-12-03 株式会社東芝 半導体集積回路、半導体集積回路の設計方法および製造方法
JP3420694B2 (ja) 1996-12-27 2003-06-30 株式会社東芝 スタンダードセル方式の集積回路
JPH10189746A (ja) 1996-12-27 1998-07-21 Oki Electric Ind Co Ltd Lsi論理回路の配線レイアウト方法
JP3036588B2 (ja) 1997-02-03 2000-04-24 日本電気株式会社 半導体記憶装置
JP3180700B2 (ja) 1997-02-03 2001-06-25 日本電気株式会社 半導体集積回路装置
JP3352349B2 (ja) 1997-02-24 2002-12-03 シャープ株式会社 双方向サイリスタ素子
US5900340A (en) 1997-03-03 1999-05-04 Motorola, Inc. One dimensional lithographic proximity correction using DRC shape functions
US5977574A (en) 1997-03-28 1999-11-02 Lsi Logic Corporation High density gate array cell architecture with sharing of well taps between cells
US5880991A (en) 1997-04-14 1999-03-09 International Business Machines Corporation Structure for low cost mixed memory integration, new NVRAM structure, and process for forming the mixed memory and NVRAM structure
US6393601B1 (en) 1997-04-14 2002-05-21 Matsushita Electric Industrial Co., Ltd. Layout designing apparatus for integrated circuit, transistor size determining apparatus, circuit characteristic evaluating method, and transistor size determining method
JP3178799B2 (ja) * 1997-04-18 2001-06-25 シャープ株式会社 Mos論理回路及びこのmos論理回路を備えた半導体装置
KR100227621B1 (ko) 1997-05-22 1999-11-01 김영환 반도체 소자의 트랜지스터 제조방법
US6005296A (en) 1997-05-30 1999-12-21 Stmicroelectronics, Inc. Layout for SRAM structure
US6445049B1 (en) 1997-06-30 2002-09-03 Artisan Components, Inc. Cell based array comprising logic, transfer and drive cells
US6282696B1 (en) 1997-08-15 2001-08-28 Lsi Logic Corporation Performing optical proximity correction with the aid of design rule checkers
US6470489B1 (en) 1997-09-17 2002-10-22 Numerical Technologies, Inc. Design rule checking system and method
US6370679B1 (en) 1997-09-17 2002-04-09 Numerical Technologies, Inc. Data hierarchy layout correction and verification method and apparatus
US6009251A (en) 1997-09-30 1999-12-28 Synopsys, Inc. Method and system for layout verification of an integrated circuit design with reusable subdesigns
US6114071A (en) 1997-11-24 2000-09-05 Asml Masktools Netherlands B.V. Method of fine feature edge tuning with optically-halftoned mask
JP3701781B2 (ja) * 1997-11-28 2005-10-05 株式会社ルネサステクノロジ 論理回路とその作成方法
EP0920025B1 (en) 1997-11-28 2004-02-11 STMicroelectronics S.r.l. A low power RAM memory cell
JP3926011B2 (ja) 1997-12-24 2007-06-06 株式会社ルネサステクノロジ 半導体装置の設計方法
JP3777768B2 (ja) 1997-12-26 2006-05-24 株式会社日立製作所 半導体集積回路装置およびセルライブラリを記憶した記憶媒体および半導体集積回路の設計方法
KR100278273B1 (ko) 1997-12-30 2001-02-01 김영환 반도체장치의콘택홀형성방법
US6249902B1 (en) 1998-01-09 2001-06-19 Silicon Perspective Corporation Design hierarchy-based placement
US6571140B1 (en) 1998-01-15 2003-05-27 Eutech Cybernetics Pte Ltd. Service-oriented community agent
JPH11214662A (ja) 1998-01-29 1999-08-06 Mitsubishi Electric Corp 半導体装置
US6091845A (en) 1998-02-24 2000-07-18 Micron Technology, Inc. Inspection technique of photomask
US6378110B1 (en) 1998-03-31 2002-04-23 Synopsys, Inc. Layer-based rule checking for an integrated circuit layout
US6230299B1 (en) 1998-03-31 2001-05-08 Mentor Graphics Corporation Method and apparatus for extracting and storing connectivity and geometrical data for a deep sub-micron integrated circuit design
JPH11297856A (ja) 1998-04-16 1999-10-29 Mitsubishi Electric Corp スタティック半導体記憶装置
US5915199A (en) 1998-06-04 1999-06-22 Sharp Microelectronics Technology, Inc. Method for manufacturing a CMOS self-aligned strapped interconnection
US6262487B1 (en) 1998-06-23 2001-07-17 Kabushiki Kaisha Toshiba Semiconductor integrated circuit device, semiconductor integrated circuit wiring method, and cell arranging method
US6063132A (en) 1998-06-26 2000-05-16 International Business Machines Corporation Method for verifying design rule checking software
US6480989B2 (en) 1998-06-29 2002-11-12 Lsi Logic Corporation Integrated circuit design incorporating a power mesh
US6714903B1 (en) 1998-07-10 2004-03-30 Lsi Logic Corporation Placement and routing of circuits using a combined processing/buffer cell
US6240542B1 (en) 1998-07-14 2001-05-29 Lsi Logic Corporation Poly routing for chip interconnects with minimal impact on chip performance
US6182272B1 (en) 1998-07-16 2001-01-30 Lsi Logic Corporation Metal layer assignment
JP3562975B2 (ja) 1998-09-29 2004-09-08 株式会社東芝 集積回路設計方法及び集積回路設計装置
US20020008257A1 (en) 1998-09-30 2002-01-24 John P. Barnak Mosfet gate electrodes having performance tuned work functions and methods of making same
JP2000114262A (ja) 1998-10-05 2000-04-21 Toshiba Corp 半導体装置及びその製造方法
JP3852729B2 (ja) 1998-10-27 2006-12-06 富士通株式会社 半導体記憶装置
US6174742B1 (en) 1998-10-30 2001-01-16 Lsi Logic Corporation Off-grid metal layer utilization
US6275973B1 (en) 1998-10-30 2001-08-14 Lsi Logic Corporation Integrated circuit design with delayed cell selection
US6166415A (en) 1998-11-02 2000-12-26 Mitsubishi Denki Kabushiki Kaisha Semiconductor device with improved noise resistivity
JP3680594B2 (ja) 1998-11-10 2005-08-10 株式会社日立製作所 半導体集積回路
TW476069B (en) 1998-11-20 2002-02-11 Via Tech Inc Placement and routing for array device
AU1913500A (en) 1998-11-25 2000-06-13 Nanopower, Inc. Improved flip-flops and other logic circuits and techniques for improving layouts of integrated circuits
JP4437565B2 (ja) 1998-11-26 2010-03-24 富士通マイクロエレクトロニクス株式会社 半導体集積回路装置、半導体集積回路装置の設計方法、及び、記録媒体
US6477695B1 (en) 1998-12-09 2002-11-05 Artisan Components, Inc. Methods for designing standard cell transistor structures
US6588005B1 (en) 1998-12-11 2003-07-01 Hitachi, Ltd. Method of manufacturing semiconductor integrated circuit device
KR100291384B1 (ko) 1998-12-31 2001-07-12 윤종용 반도체장치의레이아웃방법
US6040991A (en) 1999-01-04 2000-03-21 International Business Machines Corporation SRAM memory cell having reduced surface area
US6159839A (en) 1999-02-11 2000-12-12 Vanguard International Semiconductor Corporation Method for fabricating borderless and self-aligned polysilicon and metal contact landing plugs for multilevel interconnections
US6974978B1 (en) 1999-03-04 2005-12-13 Intel Corporation Gate array architecture
US6480032B1 (en) 1999-03-04 2002-11-12 Intel Corporation Gate array architecture
US6691297B1 (en) 1999-03-04 2004-02-10 Matsushita Electric Industrial Co., Ltd. Method for planning layout for LSI pattern, method for forming LSI pattern and method for generating mask data for LSI
US6331733B1 (en) 1999-08-10 2001-12-18 Easic Corporation Semiconductor device
US6194912B1 (en) 1999-03-11 2001-02-27 Easic Corporation Integrated circuit device
US6044007A (en) 1999-03-24 2000-03-28 Advanced Micro Devices, Inc. Modification of mask layout data to improve writeability of OPC
JP3986036B2 (ja) 1999-04-16 2007-10-03 株式会社日立製作所 半導体集積回路装置
US6505328B1 (en) 1999-04-27 2003-01-07 Magma Design Automation, Inc. Method for storing multiple levels of design data in a common database
US6507941B1 (en) 1999-04-28 2003-01-14 Magma Design Automation, Inc. Subgrid detailed routing
JP4565700B2 (ja) 1999-05-12 2010-10-20 ルネサスエレクトロニクス株式会社 半導体装置
US6492066B1 (en) 1999-05-28 2002-12-10 Advanced Micro Devices, Inc. Characterization and synthesis of OPC structures by fourier space analysis and/or wavelet transform expansion
US6425112B1 (en) 1999-06-17 2002-07-23 International Business Machines Corporation Auto correction of error checked simulated printed images
US6381730B1 (en) 1999-07-09 2002-04-30 Sequence Design, Inc. Method and system for extraction of parasitic interconnect impedance including inductance
US6525350B1 (en) 1999-07-16 2003-02-25 Kawasaki Steel Corporation Semiconductor integrated circuit basic cell semiconductor integrated circuit using the same
JP2001056463A (ja) 1999-08-20 2001-02-27 Casio Comput Co Ltd 液晶表示装置
JP2001068558A (ja) 1999-08-30 2001-03-16 Hitachi Ltd 半導体集積回路装置
US6436805B1 (en) 1999-09-01 2002-08-20 Micron Technology, Inc. Local interconnect structures and methods for making the same
US6496965B1 (en) 1999-09-20 2002-12-17 Magma Design Automation, Inc. Automated design of parallel drive standard cells
TW423218B (en) 1999-10-06 2001-02-21 Ind Tech Res Inst Charge-redistribution low-swing differential logic circuit
US6194104B1 (en) 1999-10-12 2001-02-27 Taiwan Semiconductor Manufacturing Company Optical proximity correction (OPC) method for improving lithography process window
US6737347B1 (en) 1999-10-20 2004-05-18 Texas Instruments Incorporated Semiconductor device with fully self-aligned local interconnects, and method for fabricating the device
US6426269B1 (en) 1999-10-21 2002-07-30 International Business Machines Corporation Dummy feature reduction using optical proximity effect correction
US6255845B1 (en) 1999-11-16 2001-07-03 Advanced Micro Devices, Inc. Efficient use of spare gates for post-silicon debug and enhancements
US6570234B1 (en) 1999-11-17 2003-05-27 Aeroflex Utmc Microelectronic Systems, Inc. Radiation resistant integrated circuit design
AU1770301A (en) 1999-11-18 2001-05-30 Pdf Solutions, Inc. System and method for product yield prediction using device and process neighborhood characterization vehicle
JP2001144603A (ja) * 1999-11-18 2001-05-25 Oki Micro Design Co Ltd レベルシフタ回路およびそれを含むデータ出力回路
US6421820B1 (en) 1999-12-13 2002-07-16 Infineon Technologies Ag Semiconductor device fabrication using a photomask with assist features
US6303252B1 (en) 1999-12-27 2001-10-16 United Microelectronics Corp. Reticle having assist feature between semi-dense lines
US6295224B1 (en) 1999-12-30 2001-09-25 Stmicroelectronics, Inc. Circuit and method of fabricating a memory cell for a static random access memory
KR100346832B1 (ko) 2000-01-12 2002-08-03 삼성전자 주식회사 스태틱 랜덤 억세스 메모리 소자 및 그 제조 방법
US6737199B1 (en) 2000-01-31 2004-05-18 Taiwan Semiconductor Manufacturing Company Using new pattern fracturing rules for optical proximity correction mask-making to improve critical dimension uniformity
US6408427B1 (en) 2000-02-22 2002-06-18 The Regents Of The University Of California Wire width planning and performance optimization for VLSI interconnects
US6331790B1 (en) 2000-03-10 2001-12-18 Easic Corporation Customizable and programmable cell array
US6756811B2 (en) 2000-03-10 2004-06-29 Easic Corporation Customizable and programmable cell array
US6399972B1 (en) 2000-03-13 2002-06-04 Oki Electric Industry Co., Ltd. Cell based integrated circuit and unit cell architecture therefor
US6536028B1 (en) 2000-03-14 2003-03-18 Ammocore Technologies, Inc. Standard block architecture for integrated circuit design
JP2001272228A (ja) 2000-03-24 2001-10-05 Railway Technical Res Inst 相対変位量計測システム及び相対変位量計測方法
US6356112B1 (en) 2000-03-28 2002-03-12 Translogic Technology, Inc. Exclusive or/nor circuit
US6553544B2 (en) 2000-04-04 2003-04-22 Matsushita Electric Industrial Co., Ltd. Method for design of partial circuit
US6624459B1 (en) 2000-04-12 2003-09-23 International Business Machines Corp. Silicon on insulator field effect transistors having shared body contact
US6416907B1 (en) 2000-04-27 2002-07-09 Micron Technology, Inc. Method for designing photolithographic reticle layout, reticle, and photolithographic process
JP2001306641A (ja) 2000-04-27 2001-11-02 Victor Co Of Japan Ltd 半導体集積回路の自動配置配線方法
US6583041B1 (en) 2000-05-01 2003-06-24 Advanced Micro Devices, Inc. Microdevice fabrication method using regular arrays of lines and spaces
TW512424B (en) 2000-05-01 2002-12-01 Asml Masktools Bv Hybrid phase-shift mask
JP4885365B2 (ja) 2000-05-16 2012-02-29 ルネサスエレクトロニクス株式会社 半導体装置
US6509952B1 (en) 2000-05-23 2003-01-21 Silicon Valley Group, Inc. Method and system for selective linewidth optimization during a lithographic process
US6610607B1 (en) 2000-05-25 2003-08-26 International Business Machines Corporation Method to define and tailor process limited lithographic features using a modified hard mask process
US6445065B1 (en) 2000-06-06 2002-09-03 In-Chip Systems, Inc. Routing driven, metal programmable integrated circuit architecture with multiple types of core cells
US6617621B1 (en) 2000-06-06 2003-09-09 Virage Logic Corporation Gate array architecture using elevated metal levels for customization
US6425113B1 (en) 2000-06-13 2002-07-23 Leigh C. Anderson Integrated verification and manufacturability tool
US6889370B1 (en) 2000-06-20 2005-05-03 Unisys Corporation Method and apparatus for selecting and aligning cells using a placement tool
JP2002026296A (ja) 2000-06-22 2002-01-25 Internatl Business Mach Corp <Ibm> 半導体集積回路装置
JP2002009160A (ja) 2000-06-26 2002-01-11 Nec Microsystems Ltd 半導体集積回路の自動レイアウト方法、この方法で製造した半導体集積回路及びこの方法を記録した記録媒体
US7225423B2 (en) 2000-06-30 2007-05-29 Zenasis Technologies, Inc. Method for automated design of integrated circuits with targeted quality objectives using dynamically generated building blocks
US7028285B2 (en) 2000-07-05 2006-04-11 Synopsys, Inc. Standard cell design incorporating phase information
US6733929B2 (en) 2000-07-05 2004-05-11 Numerical Technologies, Inc. Phase shift masking for complex patterns with proximity adjustments
US6978436B2 (en) 2000-07-05 2005-12-20 Synopsys, Inc. Design data format and hierarchy management for phase processing
US6787271B2 (en) 2000-07-05 2004-09-07 Numerical Technologies, Inc. Design and layout of phase shifting photolithographic masks
US6516459B1 (en) 2000-07-10 2003-02-04 Mentor Graphics Corporation Integrated circuit design correction using fragment correspondence
JP4794030B2 (ja) 2000-07-10 2011-10-12 ルネサスエレクトロニクス株式会社 半導体装置
US6632741B1 (en) 2000-07-19 2003-10-14 International Business Machines Corporation Self-trimming method on looped patterns
US20050136340A1 (en) 2000-07-21 2005-06-23 Asml Netherlands B.V. Lithographic apparatus and methods, patterning structure and method for making a patterning structure, device manufacturing method, and device manufactured thereby
US6574786B1 (en) 2000-07-21 2003-06-03 Aeroflex UTMC Microelectronics Systems, Inc. Gate array cell generator using cadence relative object design
US6523162B1 (en) 2000-08-02 2003-02-18 Numerical Technologies, Inc. General purpose shape-based layout processing scheme for IC layout modifications
JP4357101B2 (ja) 2000-08-23 2009-11-04 株式会社ルネサステクノロジ 半導体記憶装置
JP4764987B2 (ja) 2000-09-05 2011-09-07 富士電機株式会社 超接合半導体素子
AU2001290937A1 (en) 2000-09-13 2002-04-02 Massachusetts Institute Of Technology Method of design and fabrication of integrated circuits using regular arrays and gratings
US6800883B2 (en) 2000-09-21 2004-10-05 Matsushita Electric Industrial Co., Ltd. CMOS basic cell and method for fabricating semiconductor integrated circuit using the same
US6453457B1 (en) 2000-09-29 2002-09-17 Numerical Technologies, Inc. Selection of evaluation point locations based on proximity effects model amplitudes for correcting proximity effects in a fabrication layout
US6625801B1 (en) 2000-09-29 2003-09-23 Numerical Technologies, Inc. Dissection of printed edges from a fabrication layout for correcting proximity effects
US6557162B1 (en) 2000-09-29 2003-04-29 Numerical Technologies, Inc. Method for high yield reticle formation
US6794677B2 (en) 2000-10-02 2004-09-21 Matsushita Electric Industrial Co., Ltd. Semiconductor integrated circuit device and method for fabricating the same
US6555450B2 (en) 2000-10-04 2003-04-29 Samsung Electronics Co., Ltd. Contact forming method for semiconductor device
US6566720B2 (en) 2000-10-05 2003-05-20 United Memories, Inc. Base cell layout permitting rapid layout with minimum clock line capacitance on CMOS standard-cell and gate-array integrated circuits
US6978437B1 (en) 2000-10-10 2005-12-20 Toppan Photomasks, Inc. Photomask for eliminating antenna effects in an integrated circuit and integrated circuit manufacture with same
KR20020034313A (ko) 2000-10-31 2002-05-09 박종섭 에스램셀의 제조 방법
US6703170B1 (en) 2000-12-13 2004-03-09 Dupont Photomasks, Inc. Method and apparatus for reducing loading effects on a semiconductor manufacturing component during an etch process
JP2002184870A (ja) 2000-12-18 2002-06-28 Mitsubishi Electric Corp スタティック型半導体記憶装置
KR100355036B1 (ko) 2000-12-22 2002-10-05 삼성전자 주식회사 크로스 커플드 트랜지스터 쌍의 레이아웃 방법
US6992394B2 (en) 2000-12-28 2006-01-31 Infineon Technologies Ag Multi-level conductive lines with reduced pitch
US6553559B2 (en) 2001-01-05 2003-04-22 International Business Machines Corporation Method to determine optical proximity correction and assist feature rules which account for variations in mask dimensions
US6578190B2 (en) 2001-01-11 2003-06-10 International Business Machines Corporation Process window based optical proximity correction of lithographic images
JP2002289703A (ja) 2001-01-22 2002-10-04 Nec Corp 半導体記憶装置およびその製造方法
JP2002252161A (ja) 2001-02-23 2002-09-06 Hitachi Ltd 半導体製造システム
US6792591B2 (en) 2001-02-28 2004-09-14 Asml Masktools B.V. Method of identifying an extreme interaction pitch region, methods of designing mask patterns and manufacturing masks, device manufacturing methods and computer programs
JP4928675B2 (ja) 2001-03-01 2012-05-09 エルピーダメモリ株式会社 半導体装置
JP4736206B2 (ja) 2001-03-05 2011-07-27 大日本印刷株式会社 フォトマスクパタン欠陥検査方法および微細図形パタンの検出方法
EP1241525B1 (en) 2001-03-14 2004-12-15 ASML MaskTools B.V. An optical proximity correction method utilizing ruled ladder bars as sub-resolution assist features
US6732334B2 (en) 2001-04-02 2004-05-04 Matsushita Electric Industrial Co., Ltd. Analog MOS semiconductor device, manufacturing method therefor, manufacturing program therefor, and program device therefor
US6514849B1 (en) 2001-04-02 2003-02-04 Advanced Micro Devices, Inc. Method of forming smaller contact size using a spacer hard mask
US6574779B2 (en) 2001-04-12 2003-06-03 International Business Machines Corporation Hierarchical layout method for integrated circuits
US6505327B2 (en) 2001-04-13 2003-01-07 Numerical Technologies, Inc. Generating an instance-based representation of a design hierarchy
US6524870B2 (en) 2001-04-24 2003-02-25 Pell, Iii Edwin A. Method and apparatus for improving resolution of objects in a semiconductor wafer
JP4187947B2 (ja) 2001-04-26 2008-11-26 株式会社東芝 パターン補正方法、パターン補正装置、およびパターン補正プログラムを記録した記録媒体
US6936908B2 (en) 2001-05-03 2005-08-30 Ixys Corporation Forward and reverse blocking devices
US6553562B2 (en) 2001-05-04 2003-04-22 Asml Masktools B.V. Method and apparatus for generating masks utilized in conjunction with dipole illumination techniques
US6590289B2 (en) 2001-05-17 2003-07-08 Lsi Logic Corporation Hexadecagonal routing
US6523156B2 (en) 2001-06-08 2003-02-18 Library Technologies, Inc. Apparatus and methods for wire load independent logic synthesis and timing closure with constant replacement delay cell libraries
US6759282B2 (en) 2001-06-12 2004-07-06 International Business Machines Corporation Method and structure for buried circuits and devices
JP2002368135A (ja) 2001-06-12 2002-12-20 Hitachi Ltd 半導体記憶装置
JP4746770B2 (ja) 2001-06-19 2011-08-10 ルネサスエレクトロニクス株式会社 半導体装置
US6609235B2 (en) 2001-06-22 2003-08-19 Bae Systems Information And Electronic Systems Integration, Inc. Method for providing a fill pattern for an integrated circuit design
US7079989B2 (en) 2001-06-29 2006-07-18 Shmuel Wimer Arrangements for automatic re-legging of transistors
US6835591B2 (en) 2001-07-25 2004-12-28 Nantero, Inc. Methods of nanotube films and articles
DE10137830A1 (de) 2001-08-02 2003-02-27 Infineon Technologies Ag Verfahren zum Herstellen einer selbstjustierten Struktur auf einem Halbleiter-Wafer
US6684382B2 (en) 2001-08-31 2004-01-27 Numerical Technologies, Inc. Microloading effect correction
DE10143723B4 (de) 2001-08-31 2006-09-28 Infineon Technologies Ag Verfahren zur Optimierung eines Layouts für eine Maske zur Verwendung bei der Halbleiterherstellung
US6633182B2 (en) 2001-09-05 2003-10-14 Carnegie Mellon University Programmable gate array based on configurable metal interconnect vias
JP4786836B2 (ja) 2001-09-07 2011-10-05 富士通セミコンダクター株式会社 配線接続部設計方法及び半導体装置
JP2003092250A (ja) 2001-09-18 2003-03-28 Hitachi Ltd 半導体装置及びその製造方法
JP3637299B2 (ja) 2001-10-05 2005-04-13 松下電器産業株式会社 半導体記憶装置
EP1329771B1 (en) 2001-10-09 2006-09-06 ASML MaskTools B.V. Method of two dimensional feature model calibration and optimization
JP2003124339A (ja) 2001-10-11 2003-04-25 Toshiba Corp 半導体装置およびその製造方法
JP3526450B2 (ja) 2001-10-29 2004-05-17 株式会社東芝 半導体集積回路およびスタンダードセル配置設計方法
JP2003142584A (ja) 2001-11-05 2003-05-16 Matsushita Electric Ind Co Ltd 半導体集積回路装置の設計方法
US6673638B1 (en) 2001-11-14 2004-01-06 Kla-Tencor Corporation Method and apparatus for the production of process sensitive lithographic features
JP2003218238A (ja) 2001-11-14 2003-07-31 Mitsubishi Electric Corp 半導体記憶装置
JP3789351B2 (ja) 2001-11-30 2006-06-21 株式会社日立製作所 反射型液晶表示装置及びその製造方法
JP2003168640A (ja) 2001-12-03 2003-06-13 Hitachi Ltd 半導体装置の製造方法
JP2003188361A (ja) 2001-12-20 2003-07-04 Mitsubishi Electric Corp ゲートアレイ構造の半導体集積回路
JP3828419B2 (ja) 2001-12-25 2006-10-04 株式会社東芝 半導体装置及びその製造方法
US6787469B2 (en) 2001-12-28 2004-09-07 Texas Instruments Incorporated Double pattern and etch of poly with hard mask
US7159197B2 (en) 2001-12-31 2007-01-02 Synopsys, Inc. Shape-based geometry engine to perform smoothing and other layout beautification operations
US6817000B2 (en) 2002-01-02 2004-11-09 International Business Machines Corporation Delay correlation analysis and representation for vital complaint VHDL models
US7085701B2 (en) 2002-01-02 2006-08-01 International Business Machines Corporation Size reduction techniques for vital compliant VHDL simulation models
JP2003203993A (ja) 2002-01-10 2003-07-18 Mitsubishi Electric Corp 半導体記憶装置及びその製造方法
US6749972B2 (en) 2002-01-15 2004-06-15 Taiwan Semiconductor Manufacturing Co., Ltd. Optical proximity correction common process window maximization over varying feature pitch
US6721926B2 (en) 2002-01-25 2004-04-13 Intel Corporation Method and apparatus for improving digital circuit design
US6662350B2 (en) 2002-01-28 2003-12-09 International Business Machines Corporation FinFET layout generation
US6820248B1 (en) 2002-02-14 2004-11-16 Xilinx, Inc. Method and apparatus for routing interconnects to devices with dissimilar pitches
US6877144B1 (en) 2002-02-28 2005-04-05 Dupont Photomasks, Inc. System and method for generating a mask layout file to reduce power supply voltage fluctuations in an integrated circuit
JP2003264231A (ja) 2002-03-11 2003-09-19 Mitsubishi Electric Corp レイアウト設計方法および半導体装置
TWI252516B (en) 2002-03-12 2006-04-01 Toshiba Corp Determination method of process parameter and method for determining at least one of process parameter and design rule
US7386433B2 (en) 2002-03-15 2008-06-10 Synopsys, Inc. Using a suggested solution to speed up a process for simulating and correcting an integrated circuit layout
US6732338B2 (en) 2002-03-20 2004-05-04 International Business Machines Corporation Method for comprehensively verifying design rule checking runsets
US6765245B2 (en) * 2002-03-25 2004-07-20 Bae Systems Information And Electronic Systems Integration Inc. Gate array core cell for VLSI ASIC devices
US6754121B2 (en) 2002-03-29 2004-06-22 Stmicroelectronics, Inc. Sense amplifying circuit and method
US6745372B2 (en) 2002-04-05 2004-06-01 Numerical Technologies, Inc. Method and apparatus for facilitating process-compliant layout optimization
US6789246B1 (en) 2002-04-07 2004-09-07 Barcelona Design, Inc. Method and apparatus for automatic layout of circuit structures
US7252909B2 (en) 2002-04-18 2007-08-07 Taiwan Semiconductor Manufacturing Co., Ltd. Method to reduce CD non-uniformity in IC manufacturing
JP4190796B2 (ja) 2002-04-24 2008-12-03 Necエレクトロニクス株式会社 露光原版の作成方法
US6992925B2 (en) 2002-04-26 2006-01-31 Kilopass Technologies, Inc. High density semiconductor memory cell and memory array using a single transistor and having counter-doped poly and buried diffusion wordline
US6826738B2 (en) 2002-05-10 2004-11-30 Pdf Solutions, Inc. Optimization of die placement on wafers
US6794914B2 (en) 2002-05-24 2004-09-21 Qualcomm Incorporated Non-volatile multi-threshold CMOS latch with leakage control
JP2004013920A (ja) 2002-06-03 2004-01-15 Mitsubishi Electric Corp 半導体記憶装置
US6980211B2 (en) 2002-06-04 2005-12-27 Springsoft, Inc. Automatic schematic diagram generation using topology information
US7363099B2 (en) 2002-06-07 2008-04-22 Cadence Design Systems, Inc. Integrated circuit metrology
US7774726B2 (en) 2002-06-07 2010-08-10 Cadence Design Systems, Inc. Dummy fill for integrated circuits
US7124386B2 (en) 2002-06-07 2006-10-17 Praesagus, Inc. Dummy fill for integrated circuits
US7712056B2 (en) 2002-06-07 2010-05-04 Cadence Design Systems, Inc. Characterization and verification for integrated circuit designs
US20030229875A1 (en) 2002-06-07 2003-12-11 Smith Taber H. Use of models in integrated circuit fabrication
US7152215B2 (en) 2002-06-07 2006-12-19 Praesagus, Inc. Dummy fill for integrated circuits
WO2003104921A2 (en) 2002-06-07 2003-12-18 Praesagus, Inc. Characterization adn reduction of variation for integrated circuits
JP3879063B2 (ja) 2002-06-11 2007-02-07 富士通株式会社 半導体装置およびその製造方法
US6795953B2 (en) 2002-06-11 2004-09-21 Hpl Technologies, Inc. Method for avoiding false failures attributable to dummy interconnects during defect analysis of an integrated circuit design
US7039882B2 (en) 2002-06-17 2006-05-02 Amar Pal Singh Rana Technology dependent transformations for Silicon-On-Insulator in digital design synthesis
JP2004022070A (ja) 2002-06-17 2004-01-22 Renesas Technology Corp 半導体記憶装置
JP4036688B2 (ja) 2002-06-18 2008-01-23 松下電器産業株式会社 自動配置配線用スタンダードセルライブラリ及び半導体集積装置
EP1376676A3 (en) 2002-06-24 2008-08-20 Interuniversitair Microelektronica Centrum Vzw Multibit non-volatile memory device and method
JP4462528B2 (ja) 2002-06-24 2010-05-12 株式会社日立製作所 半導体集積回路装置
US6687895B2 (en) 2002-07-03 2004-02-03 Numerical Technologies Inc. Method and apparatus for reducing optical proximity correction output file size
JP2004040042A (ja) 2002-07-08 2004-02-05 Fujitsu Ltd 半導体記憶装置
US6998722B2 (en) 2002-07-08 2006-02-14 Viciciv Technology Semiconductor latches and SRAM devices
US20040009409A1 (en) 2002-07-11 2004-01-15 Jiunn-Ren Hwang Optical proximity correction method
US7063923B2 (en) 2002-07-11 2006-06-20 United Electronics Corp. Optical proximity correction method
WO2004008245A2 (en) 2002-07-12 2004-01-22 Cadence Design Systems, Inc. Method and system for context-specific mask inspection
JP4416384B2 (ja) 2002-07-19 2010-02-17 株式会社ルネサステクノロジ 半導体集積回路
KR100445638B1 (ko) 2002-07-26 2004-08-25 삼성전자주식회사 전기적으로 분리된 영역들을 연결하는 상호 연결 구조 및그 제조방법
US7739624B2 (en) 2002-07-29 2010-06-15 Synopsys, Inc. Methods and apparatuses to generate a shielding mesh for integrated circuit devices
US7171645B2 (en) 2002-08-06 2007-01-30 Matsushita Electric Industrial Co., Ltd. Semiconductor device, method of generating pattern for semiconductor device, method of manufacturing semiconductor device and device of generating pattern used for semiconductor device
KR100493025B1 (ko) 2002-08-07 2005-06-07 삼성전자주식회사 반도체 메모리 장치의 제조 방법
US6789244B1 (en) 2002-08-08 2004-09-07 Xilinx, Inc. Placement of clock objects under constraints
FR2843481B1 (fr) 2002-08-08 2005-09-16 Soisic Memoire sur substrat du type silicium sur isolant
US7143380B1 (en) 2002-08-08 2006-11-28 Xilinx, Inc. Method for application of network flow techniques under constraints
JP2004079694A (ja) * 2002-08-14 2004-03-11 Fujitsu Ltd スタンダードセル
US6785875B2 (en) 2002-08-15 2004-08-31 Fulcrum Microsystems, Inc. Methods and apparatus for facilitating physical synthesis of an integrated circuit design
US6854100B1 (en) 2002-08-27 2005-02-08 Taiwan Semiconductor Manufacturing Company Methodology to characterize metal sheet resistance of copper damascene process
US7345511B2 (en) 2002-08-29 2008-03-18 Technion Research & Development Foundation Ltd. Logic circuit and method of logic circuit design
JP3795846B2 (ja) 2002-08-29 2006-07-12 富士通株式会社 半導体装置
US6734521B2 (en) 2002-08-30 2004-05-11 Texas Instruments Incorporated Integrated circuit cells
DE10241170A1 (de) 2002-09-05 2004-03-18 Infineon Technologies Ag Hochdichter NROM-FINFET
US20040049754A1 (en) 2002-09-06 2004-03-11 Sun Microsystems, Inc. Method and apparatus for filling and connecting filler material in a layout
TWI274969B (en) 2002-09-11 2007-03-01 Asml Masktools Bv Method and computer program product of generating masks and mask generated thereby, device manufacturing method and device manufactured thereby, and method of printing pattern
US6807663B2 (en) 2002-09-23 2004-10-19 Numerical Technologies, Inc. Accelerated layout processing using OPC pre-processing
US6928635B2 (en) 2002-09-25 2005-08-09 Numerical Technologies, Inc. Selectively applying resolution enhancement techniques to improve performance and manufacturing cost of integrated circuits
US7327597B1 (en) 2002-10-02 2008-02-05 Cisco Technology, Inc. Static random access memory architecture
JP4279782B2 (ja) 2002-10-10 2009-06-17 富士通株式会社 レイアウト方法及び装置並びにそのプログラム及び記録媒体
US7214579B2 (en) 2002-10-24 2007-05-08 Nxp Bv. Self-aligned 2-bit “double poly CMP” flash memory cell
US6994939B1 (en) 2002-10-29 2006-02-07 Advanced Micro Devices, Inc. Semiconductor manufacturing resolution enhancement system and method for simultaneously patterning different feature types
US7053424B2 (en) 2002-10-31 2006-05-30 Yamaha Corporation Semiconductor integrated circuit device and its manufacture using automatic layout
US7219326B2 (en) 2002-12-16 2007-05-15 Intrinsity, Inc. Physical realization of dynamic logic using parameterized tile partitioning
JP3848248B2 (ja) 2002-12-17 2006-11-22 株式会社東芝 Sramセルおよびそれを用いたメモリ集積回路
US6953956B2 (en) 2002-12-18 2005-10-11 Easic Corporation Semiconductor device having borderless logic array and flexible I/O
US7093228B2 (en) 2002-12-20 2006-08-15 Lsi Logic Corporation Method and system for classifying an integrated circuit for optical proximity correction
JP4202120B2 (ja) 2002-12-27 2008-12-24 セイコーインスツル株式会社 集積回路の最適化設計装置
EP1434264A3 (en) 2002-12-27 2017-01-18 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method using the transfer technique
US6898770B2 (en) 2003-01-09 2005-05-24 Lsi Logic Corporation Split and merge design flow concept for fast turnaround time of circuit layout design
JP4136684B2 (ja) 2003-01-29 2008-08-20 Necエレクトロニクス株式会社 半導体装置及びそのダミーパターンの配置方法
US6996790B2 (en) 2003-01-30 2006-02-07 Synopsys, Inc. System and method for generating a two-dimensional yield map for a full layout
JP2004241529A (ja) 2003-02-05 2004-08-26 Matsushita Electric Ind Co Ltd 半導体回路装置及びその回路シミュレーション方法
US6884712B2 (en) 2003-02-07 2005-04-26 Chartered Semiconductor Manufacturing, Ltd. Method of manufacturing semiconductor local interconnect and contact
US6777146B1 (en) 2003-02-21 2004-08-17 International Business Machines Corporation Method of optical proximity correction with sub-resolution assists
JP2004253730A (ja) 2003-02-21 2004-09-09 Renesas Technology Corp 半導体集積回路装置およびその製造方法
US7149999B2 (en) 2003-02-25 2006-12-12 The Regents Of The University Of California Method for correcting a mask design layout
JP4531340B2 (ja) 2003-02-27 2010-08-25 ルネサスエレクトロニクス株式会社 マルチプレクサセルのレイアウト構造
DE602004022141D1 (de) 2003-02-27 2009-09-03 Univ Hong Kong Mehrfachbelichtungsverfahren zur schaltungsleistungsverbesserung und maskenset
JP4290457B2 (ja) 2003-03-31 2009-07-08 株式会社ルネサステクノロジ 半導体記憶装置
JP3920804B2 (ja) 2003-04-04 2007-05-30 松下電器産業株式会社 半導体記憶装置
US6931617B2 (en) 2003-04-21 2005-08-16 Synopsys, Inc. Mask cost driven logic optimization and synthesis
TW594991B (en) 2003-04-29 2004-06-21 Faraday Tech Corp Integrated circuit with one metal layer for programming functionality of a logic operation module
KR101053010B1 (ko) 2003-05-07 2011-07-29 모사이드 테크놀로지스, 인코포레이티드 파워 아일랜드를 사용한 집적 회로의 파워 관리
US7065731B2 (en) 2003-05-07 2006-06-20 Cadence Design Systems, Inc. Removal of acute angles in a design layout
US7093208B2 (en) 2003-05-12 2006-08-15 International Business Machines Corporation Method for tuning a digital design for synthesized random logic circuit macros in a continuous design space with optional insertion of multiple threshold voltage devices
JP2004342757A (ja) 2003-05-14 2004-12-02 Toshiba Corp 半導体集積回路及びその設計方法
US7063920B2 (en) 2003-05-16 2006-06-20 Asml Holding, N.V. Method for the generation of variable pitch nested lines and/or contact holes using fixed size pixels for direct-write lithographic systems
JP4233381B2 (ja) 2003-05-21 2009-03-04 株式会社ルネサステクノロジ 半導体装置とその製造方法
US7062740B2 (en) 2003-05-22 2006-06-13 Taiwan Semiconductor Manufacturing Co., Ltd. System and method for reducing design cycle time for designing input/output cells
US7770144B2 (en) 2003-05-28 2010-08-03 Eric Dellinger Modular array defined by standard cell logic
US7107551B1 (en) 2003-05-30 2006-09-12 Prolific, Inc. Optimization of circuit designs using a continuous spectrum of library cells
US7183611B2 (en) 2003-06-03 2007-02-27 Micron Technology, Inc. SRAM constructions, and electronic systems comprising SRAM constructions
US7400627B2 (en) 2003-06-05 2008-07-15 Brooktree Broadband Holding, Inc. ATM header compression using hash tables
US6992916B2 (en) 2003-06-13 2006-01-31 Taiwan Semiconductor Manufacturing Co., Ltd. SRAM cell design with high resistor CMOS gate structure for soft error rate improvement
JP4245418B2 (ja) 2003-06-25 2009-03-25 富士通マイクロエレクトロニクス株式会社 斜め方向配線を有する半導体集積回路装置及びそのレイアウト方法
US20050009312A1 (en) 2003-06-26 2005-01-13 International Business Machines Corporation Gate length proximity corrected device
US6900999B1 (en) 2003-06-30 2005-05-31 Integrated Device Technology, Inc. Ternary content addressable memory (TCAM) cells with small footprint size and efficient layout aspect ratio
KR100577610B1 (ko) 2003-07-15 2006-05-10 삼성전자주식회사 반도체 장치, 반도체 장치의 제조 방법 및 에스램 장치,에스램 장치 제조 방법.
US6993741B2 (en) 2003-07-15 2006-01-31 International Business Machines Corporation Generating mask patterns for alternating phase-shift mask lithography
EP1519421A1 (en) 2003-09-25 2005-03-30 Interuniversitair Microelektronica Centrum Vzw Multiple gate semiconductor device and method for forming same
US6921982B2 (en) 2003-07-21 2005-07-26 International Business Machines Corporation FET channel having a strained lattice structure along multiple surfaces
EP1503411A1 (fr) 2003-07-30 2005-02-02 St Microelectronics S.A. Lignes conductrices enterrées dans des zones d'isolement
US6924560B2 (en) 2003-08-08 2005-08-02 Taiwan Semiconductor Manufacturing Co., Ltd. Compact SRAM cell with FinFET
JP4398195B2 (ja) 2003-08-08 2010-01-13 パナソニック株式会社 半導体記憶装置
JP4620942B2 (ja) 2003-08-21 2011-01-26 川崎マイクロエレクトロニクス株式会社 半導体集積回路のレイアウト方法、そのレイアウト構造、およびフォトマスク
TWI220268B (en) 2003-09-17 2004-08-11 Faraday Tech Corp Method for programming a routing layout design through one via layer
US6957402B2 (en) 2003-09-24 2005-10-18 Artisan Components, Inc. Yield maximization in the manufacture of integrated circuits
US7345909B2 (en) 2003-09-24 2008-03-18 Yen-Jen Chang Low-power SRAM memory cell
KR100516226B1 (ko) 2003-09-25 2005-09-23 동부아남반도체 주식회사 에스램 테스트용 셀 및 에스램 셀 테스트 방법
JP4599048B2 (ja) 2003-10-02 2010-12-15 川崎マイクロエレクトロニクス株式会社 半導体集積回路のレイアウト構造、半導体集積回路のレイアウト方法、およびフォトマスク
JP2005114752A (ja) 2003-10-02 2005-04-28 Yamaha Corp 演奏装置
JP4632287B2 (ja) 2003-10-06 2011-02-16 株式会社日立製作所 半導体集積回路装置
US7155689B2 (en) 2003-10-07 2006-12-26 Magma Design Automation, Inc. Design-manufacturing interface via a unified model
FR2860920A1 (fr) 2003-10-14 2005-04-15 St Microelectronics Sa Procede de realisation de connexions conductrices de circuits integres, et circuit integre mettant en oeuvre des telles connexions
JP2005123537A (ja) 2003-10-20 2005-05-12 Sony Corp 半導体装置及び製造方法
JP2005123524A (ja) 2003-10-20 2005-05-12 Toshiba Corp 半導体装置及びその製造方法
US6867073B1 (en) 2003-10-21 2005-03-15 Ziptronix, Inc. Single mask via method and device
JP4346410B2 (ja) 2003-10-28 2009-10-21 東芝メモリシステムズ株式会社 半導体集積回路の配線設計方法及び半導体集積回路
US7329953B2 (en) 2003-10-29 2008-02-12 Taiwan Semiconductor Manufacturing Co., Ltd. Structure for reducing leakage currents and high contact resistance for embedded memory and method for making same
JP2005149265A (ja) 2003-11-18 2005-06-09 Olympus Corp 演算処理システム及び演算処理装置
US7269803B2 (en) 2003-12-18 2007-09-11 Lsi Corporation System and method for mapping logical components to physical locations in an integrated circuit design environment
US7052972B2 (en) 2003-12-19 2006-05-30 Micron Technology, Inc. Method for forming sublithographic features during the manufacture of a semiconductor device and a resulting in-process apparatus
JP4585197B2 (ja) 2003-12-22 2010-11-24 ルネサスエレクトロニクス株式会社 レイアウト設計方法およびフォトマスク
KR100702552B1 (ko) 2003-12-22 2007-04-04 인터내셔널 비지네스 머신즈 코포레이션 이중 게이트 FinFET 디자인을 위한 자동화 레이어생성 방법 및 장치
EP1698534B1 (en) 2003-12-26 2011-03-16 Tan-Cheng Huang Hydraulic disc brake
JP2005197345A (ja) 2004-01-05 2005-07-21 Hitachi Ltd 半導体装置
JP2005203447A (ja) 2004-01-13 2005-07-28 Toshiba Corp 半導体集積回路、半導体集積回路設計システム及び半導体集積回路設計方法
US7064068B2 (en) 2004-01-23 2006-06-20 Taiwan Semiconductor Manufacturing Company, Ltd. Method to improve planarity of electroplated copper
KR100564612B1 (ko) 2004-02-19 2006-03-28 삼성전자주식회사 하드 디스크 드라이브
US7523429B2 (en) 2004-02-20 2009-04-21 Takumi Technology Corporation System for designing integrated circuits with enhanced manufacturability
TW200532768A (en) 2004-02-24 2005-10-01 Univ Hong Kong Rectangular contact lithography for circuit performance improvement
US7084476B2 (en) 2004-02-26 2006-08-01 International Business Machines Corp. Integrated circuit logic with self compensating block delays
US7353492B2 (en) 2004-02-26 2008-04-01 International Business Machines Corporation Method of IC fabrication, IC mask fabrication and program product therefor
JP2005243928A (ja) 2004-02-26 2005-09-08 Fujitsu Ltd トレンチアイソレーションで分離されたトランジスタ対を有する半導体装置
US7335966B2 (en) 2004-02-26 2008-02-26 Triad Semiconductor, Inc. Configurable integrated circuit capacitor array using via mask layers
JP4317777B2 (ja) 2004-03-10 2009-08-19 パナソニック株式会社 半導体集積回路
US7115343B2 (en) 2004-03-10 2006-10-03 International Business Machines Corporation Pliant SRAF for improved performance and manufacturability
US7423298B2 (en) 2004-03-17 2008-09-09 Sharp Kabushiki Kaisha Bidirectional photothyristor chip, optical lighting coupler, and solid state relay
JP2005268610A (ja) 2004-03-19 2005-09-29 Matsushita Electric Ind Co Ltd スタンダードセルの設計方法及び半導体集積回路
DE102004063926B4 (de) 2004-03-24 2017-10-19 Infineon Technologies Ag Konfigurierbare Treiberzelle eines logischen Zellenfeldes
US7126837B1 (en) 2004-03-26 2006-10-24 Netlogic Microsystems, Inc. Interlocking memory/logic cell layout and method of manufacture
DE602005002546T2 (de) 2004-04-01 2008-06-12 Arm Ltd. Verbessertes layout einer sram-speicherzelle
WO2005098686A2 (en) 2004-04-02 2005-10-20 Clear Shape Technologies, Inc. Modeling resolution enhancement processes in integrated circuit fabrication
US7449371B2 (en) 2004-04-02 2008-11-11 Triad Semiconductor VIA configurable architecture for customization of analog circuitry in a semiconductor device
TWI297446B (en) 2004-04-02 2008-06-01 Clear Shape Technologies Inc Delta information design closure in integrated circuit fabrication
US7404173B2 (en) 2004-04-07 2008-07-22 Aprio Technologies, Inc. Intermediate layout for resolution enhancement in semiconductor fabrication
US20050229130A1 (en) 2004-04-07 2005-10-13 Aprio Technologies, Inc. Method and apparatus for selective, incremental, reconfigurable and reusable semiconductor manufacturing resolution-enhancements
US7115920B2 (en) 2004-04-12 2006-10-03 International Business Machines Corporation FinFET transistor and circuit
CN100576725C (zh) 2004-04-20 2009-12-30 Nxp股份有限公司 提供差分输出信号的差分接收机和方法
EP1747520B1 (en) 2004-05-07 2018-10-24 Mentor Graphics Corporation Integrated circuit layout design methodology with process variation bands
US7194712B2 (en) 2004-05-12 2007-03-20 Synopsys, Inc. Method and apparatus for identifying line-end features for lithography verification
US7053668B2 (en) 2004-05-25 2006-05-30 Kabushiki Kaisha Toshiba SOI sense amplifier with cross-coupled body terminal
US6975133B1 (en) 2004-05-27 2005-12-13 International Business Machines Corporation Logic circuits having linear and cellular gate transistors
US7426710B2 (en) 2004-05-27 2008-09-16 Verisilicon Holdings, Co. Ltd. Standard cell library having cell drive strengths selected according to delay
US7257017B2 (en) 2004-05-28 2007-08-14 Taiwan Semiconductor Manufacturing Company, Ltd. SRAM cell for soft-error rate reduction and cell stability improvement
KR100591158B1 (ko) 2004-06-01 2006-06-19 동부일렉트로닉스 주식회사 반도체 소자의 게이트 전극의 제조 방법
US20070257277A1 (en) 2004-06-04 2007-11-08 Nec Corporation Semiconductor Device and Method for Manufacturing the Same
JP4834853B2 (ja) 2004-06-10 2011-12-14 シャープ株式会社 薄膜トランジスタ回路、薄膜トランジスタ回路の設計方法、薄膜トランジスタ回路の設計プログラム、設計プログラム記録媒体、及び表示装置
JP4248451B2 (ja) 2004-06-11 2009-04-02 パナソニック株式会社 半導体装置およびそのレイアウト設計方法
JP4778689B2 (ja) 2004-06-16 2011-09-21 パナソニック株式会社 標準セル、標準セルライブラリおよび半導体集積回路
US7327591B2 (en) 2004-06-17 2008-02-05 Texas Instruments Incorporated Staggered memory cell array
US7003068B2 (en) 2004-06-21 2006-02-21 Kenet, Inc. Device for subtracting or adding a constant amount of charge in a charge-coupled device at high operating frequencies
JP4405865B2 (ja) 2004-06-24 2010-01-27 富士通マイクロエレクトロニクス株式会社 多層配線構造の製造方法及びfib装置
JP4175649B2 (ja) 2004-07-22 2008-11-05 松下電器産業株式会社 半導体装置
JP2008512850A (ja) 2004-07-27 2008-04-24 イージック・コーポレーション 構造化された集積回路デバイス
US7176508B2 (en) 2004-07-27 2007-02-13 International Business Machines Corporation Temperature sensor for high power very large scale integration circuits
JP2006049780A (ja) 2004-08-09 2006-02-16 Elpida Memory Inc 半導体集積回路装置
US7093213B2 (en) 2004-08-13 2006-08-15 International Business Machines Corporation Method for designing an integrated circuit defect monitor
US7365432B2 (en) 2004-08-23 2008-04-29 Taiwan Semiconductor Manufacturing Company, Ltd. Memory cell structure
JP2006073696A (ja) 2004-09-01 2006-03-16 Matsushita Electric Ind Co Ltd スタンダードセルを用いた半導体集積回路とその設計方法
US7632610B2 (en) 2004-09-02 2009-12-15 Intel Corporation Sub-resolution assist features
US7227183B2 (en) 2004-09-17 2007-06-05 International Business Machines Corporation Polysilicon conductor width measurement for 3-dimensional FETs
US20060063334A1 (en) 2004-09-17 2006-03-23 International Business Machines Corporation Fin FET diode structures and methods for building
US7185294B2 (en) 2004-09-23 2007-02-27 Verisilicon Holdings, Co Ltd Standard cell library having globally scalable transistor channel length
DE102004047263B4 (de) 2004-09-24 2010-04-22 Qimonda Ag Verfahren zum Erzeugen eines Abbildungsfehler vermeidenden Maskenlayouts für eine Maske
JP2006100718A (ja) 2004-09-30 2006-04-13 Matsushita Electric Ind Co Ltd 半導体集積回路装置の動作解析方法、これに用いられる解析装置およびこれを用いた最適化設計方法
US7466607B2 (en) 2004-09-30 2008-12-16 Analog Devices, Inc. Memory access system and method using de-coupled read and write circuits
US7337421B2 (en) 2004-09-30 2008-02-26 Cadence Design Systems, Inc. Method and system for managing design corrections for optical and process effects based on feature tolerances
JP2006114668A (ja) 2004-10-14 2006-04-27 Sony Corp 半導体集積回路およびその製造方法
US7487475B1 (en) 2004-10-15 2009-02-03 Cadence Design Systems, Inc. Systems, methods, and apparatus to perform statistical static timing analysis
JP2006119195A (ja) 2004-10-19 2006-05-11 Nec Electronics Corp 配線のレイアウト方法
US7458045B2 (en) 2004-10-29 2008-11-25 Synopsys, Inc. Silicon tolerance specification using shapes as design intent markers
US7302651B2 (en) 2004-10-29 2007-11-27 International Business Machines Corporation Technology migration for integrated circuits with radical design restrictions
JP4768251B2 (ja) 2004-11-01 2011-09-07 株式会社東芝 半導体集積回路の設計方法、半導体集積回路の設計システム及び半導体集積回路の製造方法
US7278118B2 (en) 2004-11-04 2007-10-02 Pdf Solutions, Inc. Method and process for design of integrated circuits using regular geometry patterns to obtain geometrically consistent component features
US7350183B2 (en) 2004-11-05 2008-03-25 International Business Machines Corporation Method for improving optical proximity correction
KR100587692B1 (ko) 2004-11-05 2006-06-08 삼성전자주식회사 반도체 메모리 장치에서의 회로 배선 배치구조와 그에따른 배치방법
JP2006156778A (ja) 2004-11-30 2006-06-15 Matsushita Electric Ind Co Ltd 半導体装置及びそのレイアウト設計方法
US7465973B2 (en) 2004-12-03 2008-12-16 International Business Machines Corporation Integrated circuit having gates and active regions forming a regular grating
US7424696B2 (en) 2004-12-03 2008-09-09 Lsi Corporation Power mesh for multiple frequency operation of semiconductor products
US7345330B2 (en) 2004-12-09 2008-03-18 Omnivision Technologies, Inc. Local interconnect structure and method for a CMOS image sensor
JP2006165365A (ja) 2004-12-09 2006-06-22 Renesas Technology Corp 半導体装置および半導体装置の製造方法
US7396732B2 (en) 2004-12-17 2008-07-08 Interuniversitair Microelektronica Centrum Vzw (Imec) Formation of deep trench airgaps and related applications
JP4357409B2 (ja) 2004-12-17 2009-11-04 株式会社東芝 半導体集積回路装置及びその設計方法
FR2879816B1 (fr) 2004-12-20 2007-06-08 Atmel Nantes Sa Sa Circuit electronique comprenant au moins une premiere et une seconde paires differentielles dont les transistors partagent un meme caisson
JP2007043049A (ja) 2004-12-20 2007-02-15 Matsushita Electric Ind Co Ltd セル、スタンダードセル、スタンダードセル配置方法、スタンダードセルライブラリ、ならびに半導体集積回路
WO2006070473A1 (ja) 2004-12-28 2006-07-06 Spansion Llc 半導体装置及びその動作制御方法
US7106620B2 (en) 2004-12-30 2006-09-12 International Business Machines Corporation Memory cell having improved read stability
US7743349B2 (en) 2004-12-31 2010-06-22 Tela Innovations, Inc. Method and system for finding an equivalent circuit representation for one or more elements in an integrated circuit
US7509621B2 (en) 2005-01-03 2009-03-24 Synopsys, Inc. Method and apparatus for placing assist features by identifying locations of constructive and destructive interference
US7366997B1 (en) 2005-01-11 2008-04-29 Synplicity, Inc. Methods and apparatuses for thermal analysis based circuit design
JP2006196627A (ja) 2005-01-12 2006-07-27 Nec Electronics Corp 半導体装置、及び半導体装置の設計プログラム
DE102005002533B4 (de) 2005-01-14 2007-09-13 Infineon Technologies Ag Verfahren zum Erzeugen eines Abbildungsfehler vermeidenden Maskenlayouts für eine Maske
JP4455356B2 (ja) 2005-01-28 2010-04-21 Necエレクトロニクス株式会社 半導体装置
KR20060092408A (ko) 2005-02-17 2006-08-23 삼성전자주식회사 고성능 배타적 오아 및 배타적 노아 회로 및 방법
JP4602112B2 (ja) 2005-02-17 2010-12-22 株式会社東芝 半導体集積回路の製造方法及び半導体集積回路
WO2006090445A1 (ja) 2005-02-23 2006-08-31 Fujitsu Limited 半導体回路装置及びその半導体回路装置の製造方法
US7721246B2 (en) 2005-02-24 2010-05-18 Synopsys, Inc. Method and apparatus for quickly determining the effect of placing an assist feature at a location in a layout
US7200835B2 (en) 2005-02-24 2007-04-03 Texas Instruments Incorporated Method of locating sub-resolution assist feature(s)
US7266787B2 (en) * 2005-02-24 2007-09-04 Icera, Inc. Method for optimising transistor performance in integrated circuits
US7287237B2 (en) 2005-02-24 2007-10-23 Icera Inc. Aligned logic cell grid and interconnect routing architecture
US7421678B2 (en) 2005-02-24 2008-09-02 Synopsys, Inc. Assist feature placement using a process-sensitivity model
US7188322B2 (en) 2005-02-25 2007-03-06 International Business Machines Corporation Circuit layout methodology using a shape processing application
TWI281317B (en) 2005-03-07 2007-05-11 Sunplus Technology Co Ltd Self DC-bias high frequency logic gate, NAND gate, and NOR gate using the same
US7304874B2 (en) 2005-03-08 2007-12-04 Lsi Corporation Compact ternary and binary CAM bitcell architecture with no enclosed diffusion areas
US7992122B1 (en) 2005-03-25 2011-08-02 Gg Technology, Inc. Method of placing and routing for power optimization and timing closure
US7563701B2 (en) 2005-03-31 2009-07-21 Intel Corporation Self-aligned contacts for transistors
US7882456B2 (en) 2005-04-09 2011-02-01 Cadence Design Systems, Inc. Optical lithography correction process
JP4634849B2 (ja) 2005-04-12 2011-02-16 株式会社東芝 集積回路のパターンレイアウト、フォトマスク、半導体装置の製造方法、及びデータ作成方法
EP1712954B1 (en) 2005-04-12 2010-05-19 ASML MaskTools B.V. A method and program product for performing double exposure lithography
JP4921723B2 (ja) 2005-04-18 2012-04-25 株式会社東芝 半導体装置の製造方法
TWI297101B (en) 2005-04-20 2008-05-21 Nanya Technology Corp Phase shifting mask for equal line/space dense line patterns
US7506300B2 (en) 2005-04-29 2009-03-17 Cadence Design Systems, Inc. Apparatus and method for breaking up and merging polygons
US7480891B2 (en) 2005-04-29 2009-01-20 Cadence Design Systems, Inc. Method and apparatus of model-based photomask synthesis
US7441211B1 (en) 2005-05-06 2008-10-21 Blaze Dfm, Inc. Gate-length biasing for digital circuit optimization
US8044437B1 (en) 2005-05-16 2011-10-25 Lsi Logic Corporation Integrated circuit cell architecture configurable for memory or logic elements
JP4936418B2 (ja) 2005-05-17 2012-05-23 ルネサスエレクトロニクス株式会社 半導体装置とその製造方法、及び半導体装置の設計プログラム
US7308669B2 (en) 2005-05-18 2007-12-11 International Business Machines Corporation Use of redundant routes to increase the yield and reliability of a VLSI layout
JP4912016B2 (ja) 2005-05-23 2012-04-04 ルネサスエレクトロニクス株式会社 半導体記憶装置
KR20080018905A (ko) 2005-05-26 2008-02-28 엔엑스피 비 브이 전자 장치 및 그 설계 방법
US7411252B2 (en) 2005-06-21 2008-08-12 International Business Machines Corporation Substrate backgate for trigate FET
US7960791B2 (en) 2005-06-24 2011-06-14 International Business Machines Corporation Dense pitch bulk FinFET process by selective EPI and etch
US7492013B2 (en) 2005-06-27 2009-02-17 International Business Machines Corporation Systems and arrangements to interconnect components of a semiconductor device
US8405216B2 (en) 2005-06-29 2013-03-26 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure for integrated circuits
WO2007002799A1 (en) 2005-06-29 2007-01-04 Lightspeed Logic, Inc. Methods and systems for placement
US7236396B2 (en) 2005-06-30 2007-06-26 Texas Instruments Incorporated Area efficient implementation of small blocks in an SRAM array
JP2007012855A (ja) 2005-06-30 2007-01-18 Matsushita Electric Ind Co Ltd 半導体集積回路、標準セル、標準セルライブラリ、半導体集積回路の設計方法および半導体集積回路の設計装置
JP2007013060A (ja) 2005-07-04 2007-01-18 Matsushita Electric Ind Co Ltd 半導体装置
JP2007018588A (ja) 2005-07-06 2007-01-25 Toshiba Corp 半導体記憶装置および半導体記憶装置の駆動方法
US7235424B2 (en) 2005-07-14 2007-06-26 Taiwan Semiconductor Manufacturing Co., Ltd. Method and apparatus for enhanced CMP planarization using surrounded dummy design
EP1917715A2 (en) 2005-07-22 2008-05-07 Nanopower Technologies, Inc. High sensitivity rfid tag integrated circuits
DE112005003638B4 (de) 2005-07-22 2018-10-25 Fujitsu Semiconductor Ltd. Verfahren zur Erstellung von Fotomaskenstrukturdaten und Verfahren zur Herstellung einer Halbleitervorrichtung
US7404154B1 (en) 2005-07-25 2008-07-22 Lsi Corporation Basic cell architecture for structured application-specific integrated circuits
US7934172B2 (en) 2005-08-08 2011-04-26 Micronic Laser Systems Ab SLM lithography: printing to below K1=.30 without previous OPC processing
US7568174B2 (en) 2005-08-19 2009-07-28 Cadence Design Systems, Inc. Method for checking printability of a lithography target
JP2007093861A (ja) 2005-09-28 2007-04-12 Renesas Technology Corp マスクパターン設計方法および半導体装置の製造方法
US7749662B2 (en) 2005-10-07 2010-07-06 Globalfoundries Inc. Process margin using discrete assist features
US7485934B2 (en) 2005-10-25 2009-02-03 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated semiconductor structure for SRAM cells
JP4796817B2 (ja) 2005-10-31 2011-10-19 エルピーダメモリ株式会社 基本セル設計方法、レイアウト設計方法、設計装置およびプログラム
US7397260B2 (en) 2005-11-04 2008-07-08 International Business Machines Corporation Structure and method for monitoring stress-induced degradation of conductive interconnects
US20070106971A1 (en) 2005-11-04 2007-05-10 Lizotech, Inc. Apparatus for a routing system
US7569309B2 (en) 2005-11-09 2009-08-04 Texas Instruments Incorporated Gate critical dimension variation by use of ghost features
US7527900B2 (en) 2005-11-10 2009-05-05 United Microelectronics Corp. Reticle and optical proximity correction method
US7934184B2 (en) 2005-11-14 2011-04-26 Takumi Technology Corporation Integrated circuit design using modified cells
JP2007141971A (ja) 2005-11-15 2007-06-07 Matsushita Electric Ind Co Ltd 半導体集積回路の設計方法
US8124976B2 (en) 2005-12-02 2012-02-28 Nec Corporation Semiconductor device and method of manufacturing the same
US7543262B2 (en) 2005-12-06 2009-06-02 Cadence Design Systems, Inc. Analog layout module generator and method
US7569310B2 (en) 2005-12-07 2009-08-04 Intel Corporation Sub-resolution assist features for photolithography with trim ends
US7512017B2 (en) 2005-12-21 2009-03-31 Intel Corporation Integration of planar and tri-gate devices on the same substrate
JP4774294B2 (ja) 2005-12-26 2011-09-14 富士通株式会社 集積回路レイアウト装置、その方法及びプログラム
EP1804282A1 (en) 2005-12-29 2007-07-04 Interuniversitair Microelektronica Centrum vzw ( IMEC) Methods for manufacturing dense integrated circuits
US7640522B2 (en) 2006-01-14 2009-12-29 Tela Innovations, Inc. Method and system for placing layout objects in a standard-cell layout
US7614030B2 (en) 2006-01-17 2009-11-03 Taiwan Semiconductor Manufacturing Co., Ltd. Scattering bar OPC application method for mask ESD prevention
JP5091462B2 (ja) 2006-01-19 2012-12-05 パナソニック株式会社 セルおよび半導体装置
JP4675249B2 (ja) 2006-02-07 2011-04-20 パナソニック株式会社 位置依存変動量計算方法並びに回路解析方法
US7480880B2 (en) 2006-02-21 2009-01-20 International Business Machines Corporation Method, system, and program product for computing a yield gradient from statistical timing
US7469401B2 (en) 2006-02-22 2008-12-23 International Business Machines Corporation Method for using partitioned masks to build a chip
JP4644614B2 (ja) 2006-02-27 2011-03-02 富士通セミコンダクター株式会社 レイアウトエディタ装置、配線表示方法、及び配線表示プログラム
US8839175B2 (en) 2006-03-09 2014-09-16 Tela Innovations, Inc. Scalable meta-data objects
US8225261B2 (en) 2006-03-09 2012-07-17 Tela Innovations, Inc. Methods for defining contact grid in dynamic array architecture
US8658542B2 (en) 2006-03-09 2014-02-25 Tela Innovations, Inc. Coarse grid design methods and structures
US8653857B2 (en) * 2006-03-09 2014-02-18 Tela Innovations, Inc. Circuitry and layouts for XOR and XNOR logic
US8225239B2 (en) 2006-03-09 2012-07-17 Tela Innovations, Inc. Methods for defining and utilizing sub-resolution features in linear topology
US7956421B2 (en) 2008-03-13 2011-06-07 Tela Innovations, Inc. Cross-coupled transistor layouts in restricted gate level layout architecture
US7763534B2 (en) * 2007-10-26 2010-07-27 Tela Innovations, Inc. Methods, structures and designs for self-aligning local interconnects used in integrated circuits
US8247846B2 (en) 2006-03-09 2012-08-21 Tela Innovations, Inc. Oversized contacts and vias in semiconductor chip defined by linearly constrained topology
US7446352B2 (en) 2006-03-09 2008-11-04 Tela Innovations, Inc. Dynamic array architecture
US8245180B2 (en) 2006-03-09 2012-08-14 Tela Innovations, Inc. Methods for defining and using co-optimized nanopatterns for integrated circuit design and apparatus implementing same
US9009641B2 (en) 2006-03-09 2015-04-14 Tela Innovations, Inc. Circuits with linear finfet structures
US9035359B2 (en) 2006-03-09 2015-05-19 Tela Innovations, Inc. Semiconductor chip including region including linear-shaped conductive structures forming gate electrodes and having electrical connection areas arranged relative to inner region between transistors of different types and associated methods
US7943967B2 (en) 2006-03-09 2011-05-17 Tela Innovations, Inc. Semiconductor device and associated layouts including diffusion contact placement restriction based on relation to linear conductive segments
US9563733B2 (en) 2009-05-06 2017-02-07 Tela Innovations, Inc. Cell circuit and layout with linear finfet structures
US7932545B2 (en) 2006-03-09 2011-04-26 Tela Innovations, Inc. Semiconductor device and associated layouts including gate electrode level region having arrangement of six linear conductive segments with side-to-side spacing less than 360 nanometers
JP2007265179A (ja) 2006-03-29 2007-10-11 Fujitsu Ltd レイアウト検証方法、レイアウト検証装置
JP4882455B2 (ja) 2006-03-31 2012-02-22 富士通セミコンダクター株式会社 半導体集積回路のユニットセルおよびユニットセルを使用した配線方法および配線プログラム
US7437691B2 (en) 2006-04-11 2008-10-14 International Business Machines Corporation VLSI artwork legalization for hierarchical designs with multiple grid constraints
US7484197B2 (en) 2006-04-14 2009-01-27 International Business Machines Corporation Minimum layout perturbation-based artwork legalization with grid constraints for hierarchical designs
US7509622B2 (en) 2006-04-17 2009-03-24 Synopsys, Inc. Dummy filling technique for improved planarization of chip surface topography
JP5579959B2 (ja) 2006-04-18 2014-08-27 ピーエスフォー ルクスコ エスエイアールエル 半導体装置
US7407890B2 (en) 2006-04-21 2008-08-05 International Business Machines Corporation Patterning sub-lithographic features with variable widths
US7355906B2 (en) 2006-05-24 2008-04-08 International Business Machines Corporation SRAM cell design to improve stability
US7941776B2 (en) 2006-05-26 2011-05-10 Open-Silicon Inc. Method of IC design optimization via creation of design-specific cells from post-layout patterns
WO2007149004A1 (en) 2006-06-13 2007-12-27 Freescale Semiconductor, Inc. Methods and apparatus for simulating distributed effects
US7317339B1 (en) 2006-06-16 2008-01-08 Via Technologies, Inc. N-domino register with accelerated non-discharge path
US7459792B2 (en) 2006-06-19 2008-12-02 Taiwan Semiconductor Manufacturing Co., Ltd. Via layout with via groups placed in interlocked arrangement
US7992117B2 (en) 2006-06-20 2011-08-02 Adtran, Inc. System and method for designing a common centroid layout for an integrated circuit
JP2008004790A (ja) * 2006-06-23 2008-01-10 Oki Electric Ind Co Ltd スタンダードセル
JP2008004796A (ja) 2006-06-23 2008-01-10 Matsushita Electric Ind Co Ltd 半導体装置および回路素子レイアウト方法
US7763932B2 (en) 2006-06-29 2010-07-27 International Business Machines Corporation Multi-bit high-density memory device and architecture and method of fabricating multi-bit high-density memory devices
US7444609B2 (en) 2006-06-29 2008-10-28 International Business Machines Corporation Method of optimizing customizable filler cells in an integrated circuit physical design process
US7739627B2 (en) 2006-07-05 2010-06-15 Chew Marko P System and method of maximizing integrated circuit manufacturing yield with context-dependent yield cells
JP2008027940A (ja) 2006-07-18 2008-02-07 Matsushita Electric Ind Co Ltd 半導体集積回路の設計方法および回路シミュレーション方法
JP4973224B2 (ja) * 2006-07-19 2012-07-11 富士通セミコンダクター株式会社 電子回路装置設計方法、電子ビーム露光データ作成方法、及び、電子ビーム露光方法
DE102006037162B4 (de) 2006-08-01 2008-08-21 Qimonda Ag Verfahren und Vorrichtung und deren Verwendung zur Prüfung des Layouts einer elektronischen Schaltung
US7966579B2 (en) 2006-08-04 2011-06-21 Infineon Technologies Ag Methods of optical proximity correction
WO2008015111A2 (en) 2006-08-04 2008-02-07 Sagantec Israel Ltd Method and system for adapting a circuit layout to a predefined grid
US7873929B2 (en) 2006-08-14 2011-01-18 The Regents Of The University Of California Method, apparatus and system for designing an integrated circuit including generating at least one auxiliary pattern for cell-based optical proximity correction
US7886262B2 (en) 2006-08-15 2011-02-08 Chew Marko P System and method of maximizing integrated circuit manufacturing yield with fabrication process simulation driven layout optimization
TW200811704A (en) * 2006-08-31 2008-03-01 Univ Nat Yunlin Sci & Tech Full adder of complementary type carry logic voltage compensator
KR100773353B1 (ko) 2006-09-26 2007-11-05 삼성전자주식회사 기판 플러그를 가지는 반도체 장치들 및 그의 형성방법들
US7434185B2 (en) 2006-09-27 2008-10-07 International Business Machines Corporation Method and apparatus for parallel data preparation and processing of integrated circuit graphical design data
JP4362785B2 (ja) 2006-09-28 2009-11-11 エルピーダメモリ株式会社 半導体装置の製造方法
US20080082952A1 (en) 2006-09-29 2008-04-03 Texas Instruments Incorporated Method of inclusion of sub-resolution assist feature(s)
JP4814044B2 (ja) 2006-10-05 2011-11-09 ルネサスエレクトロニクス株式会社 パターン設計方法
JP2008103610A (ja) 2006-10-20 2008-05-01 Matsushita Electric Ind Co Ltd 半導体集積回路の配線構造およびその設計方法と設計装置
US8230379B2 (en) 2006-10-20 2012-07-24 Kabushiki Kaisha Toshiba Layout generating method for semiconductor integrated circuits
US7624369B2 (en) 2006-10-31 2009-11-24 International Business Machines Corporation Closed-loop design for manufacturability process
US7802219B2 (en) 2006-11-30 2010-09-21 Cadence Design Systems, Inc. Flat placement of cells on non-integer multiple height rows in a digital integrated circuit layout
US7774739B2 (en) 2006-11-30 2010-08-10 Texas Instruments Incorporated Methods for adjusting shifter width of an alternating phase shifter having variable width
US8378407B2 (en) 2006-12-07 2013-02-19 Tower Semiconductor, Ltd. Floating gate inverter type memory cell and array
US8156450B2 (en) 2006-12-18 2012-04-10 Cadence Design Systems, Inc. Method and system for mask optimization
US7814447B2 (en) 2006-12-29 2010-10-12 Cadence Design Systems, Inc. Supplant design rules in electronic designs
US8178905B2 (en) 2007-01-12 2012-05-15 Panasonic Corporation Layout structure of semiconductor device
US7535751B2 (en) 2007-02-12 2009-05-19 Taiwan Semioconductor Manufacturing Co., Ltd. Dual-port SRAM device
JP5217180B2 (ja) 2007-02-20 2013-06-19 富士通セミコンダクター株式会社 静電放電保護装置の製造方法
US7888705B2 (en) 2007-08-02 2011-02-15 Tela Innovations, Inc. Methods for defining dynamic array section with manufacturing assurance halo and apparatus implementing the same
US8667443B2 (en) 2007-03-05 2014-03-04 Tela Innovations, Inc. Integrated circuit cell library for multiple patterning
US20080216207A1 (en) 2007-03-09 2008-09-11 Shen-Hai Tsai Finger pressing massage glove
KR100911187B1 (ko) 2007-03-13 2009-08-06 주식회사 하이닉스반도체 래치 구조 및 그것을 포함하는 비트라인 센스앰프 구조
US7575973B2 (en) 2007-03-27 2009-08-18 Sandisk 3D Llc Method of making three dimensional NAND memory
US7543252B2 (en) 2007-03-28 2009-06-02 International Business Machines Corporation Migration of integrated circuit layout for alternating phase shift masks
US7791109B2 (en) 2007-03-29 2010-09-07 International Business Machines Corporation Metal silicide alloy local interconnect
US7757196B2 (en) 2007-04-04 2010-07-13 Cisco Technology, Inc. Optimizing application specific integrated circuit pinouts for high density interconnect printed circuit boards
US7723786B2 (en) 2007-04-11 2010-05-25 Ronald Kakoschke Apparatus of memory array using FinFETs
US7964267B1 (en) 2007-04-13 2011-06-21 Bae Systems Tensylon H.P.M., Inc. Ballistic-resistant panel including high modulus ultra high molecular weight polyethylene tape
US7453125B1 (en) 2007-04-24 2008-11-18 Infineon Technologies Ag Double mesh finfet
JP4461154B2 (ja) 2007-05-15 2010-05-12 株式会社東芝 半導体装置
US20080283910A1 (en) 2007-05-15 2008-11-20 Qimonda Ag Integrated circuit and method of forming an integrated circuit
US7911830B2 (en) 2007-05-17 2011-03-22 Integrated Magnetoelectronics Scalable nonvolatile memory
JP4445521B2 (ja) 2007-06-15 2010-04-07 株式会社東芝 半導体装置
US7898040B2 (en) 2007-06-18 2011-03-01 Infineon Technologies Ag Dual gate FinFET
US7923337B2 (en) 2007-06-20 2011-04-12 International Business Machines Corporation Fin field effect transistor devices with self-aligned source and drain regions
US7759194B2 (en) 2008-07-25 2010-07-20 Semiconductor Manufacturing International (Shanghai) Corporation Electrically programmable device with embedded EEPROM and method for making thereof
JP2009025914A (ja) 2007-07-17 2009-02-05 Nec Electronics Corp 半導体集積回路の設計方法及び設計プログラム
US7625790B2 (en) 2007-07-26 2009-12-01 International Business Machines Corporation FinFET with sublithographic fin width
US7700466B2 (en) 2007-07-26 2010-04-20 International Business Machines Corporation Tunneling effect transistor with self-aligned gate
US7562326B2 (en) * 2007-08-09 2009-07-14 United Microelectronics Corp. Method of generating a standard cell layout and transferring the standard cell layout to a substrate
US20090057780A1 (en) 2007-08-27 2009-03-05 International Business Machines Corporation Finfet structure including multiple semiconductor fin channel heights
US8156451B2 (en) 2007-09-14 2012-04-10 Renesas Electronics Corporation Method of manufacturing photomask
KR100905157B1 (ko) 2007-09-18 2009-06-29 주식회사 하이닉스반도체 반도체 소자의 미세 패턴 형성 방법
JP2009088085A (ja) 2007-09-28 2009-04-23 Tokyo Electron Ltd 半導体装置の製造方法、半導体装置の製造装置、制御プログラム及びプログラム記憶媒体
US20090101940A1 (en) 2007-10-19 2009-04-23 Barrows Corey K Dual gate fet structures for flexible gate array design methodologies
US8042070B2 (en) 2007-10-23 2011-10-18 International Business Machines Corporation Methods and system for analysis and management of parametric yield
JP2009130238A (ja) 2007-11-27 2009-06-11 Fujitsu Microelectronics Ltd 半導体装置
JP5193582B2 (ja) 2007-12-12 2013-05-08 株式会社東芝 半導体装置の製造方法
WO2009078069A1 (ja) 2007-12-14 2009-06-25 Fujitsu Limited 半導体装置
US7825437B2 (en) 2007-12-28 2010-11-02 Intel Corporation Unity beta ratio tri-gate transistor static random access memory (SRAM)
EP2235453B1 (en) 2007-12-31 2016-08-10 Arçelik Anonim Sirketi A cooling device
US7957178B2 (en) 2008-01-04 2011-06-07 Texas Instruments Incorporated Storage cell having buffer circuit for driving the bitline
US7934173B2 (en) 2008-01-14 2011-04-26 Taiwan Semiconductor Manufacturing Company, Ltd. Reverse dummy insertion algorithm
US7926001B2 (en) 2008-01-16 2011-04-12 Cadence Design Systems, Inc. Uniformity for semiconductor patterning operations
US7984395B2 (en) 2008-01-17 2011-07-19 Synopsys, Inc. Hierarchical compression for metal one logic layer
US9083341B2 (en) * 2008-01-17 2015-07-14 Robust Chip Inc. Soft error resilient circuit design method and logic cells
US8453094B2 (en) 2008-01-31 2013-05-28 Tela Innovations, Inc. Enforcement of semiconductor structure regularity for localized transistors and interconnect
US8866254B2 (en) 2008-02-19 2014-10-21 Micron Technology, Inc. Devices including fin transistors robust to gate shorts and methods of making the same
US7962878B2 (en) 2008-02-26 2011-06-14 Infineon Technologies Ag Method of making an integrated circuit using pre-defined interconnect wiring
US8423947B2 (en) 2008-03-13 2013-04-16 International Business Machines Corporation Gridded glyph geometric objects (L3GO) design method
US7939443B2 (en) 2008-03-27 2011-05-10 Tela Innovations, Inc. Methods for multi-wire routing and apparatus implementing same
US8173544B2 (en) 2008-05-02 2012-05-08 Texas Instruments Incorporated Integrated circuit having interleaved gridded features, mask set and method for printing
US7958465B2 (en) 2008-05-08 2011-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. Dummy pattern design for reducing device performance drift
US7917877B2 (en) 2008-05-09 2011-03-29 Cadence Design Systems, Inc. System and method for circuit schematic generation
EP2117045A1 (en) 2008-05-09 2009-11-11 Imec Design Methodology for MuGFET ESD Protection Devices
US7830025B2 (en) 2008-05-19 2010-11-09 United Microelectronics Corp. Contact layout structure
US7853915B2 (en) 2008-06-24 2010-12-14 Synopsys, Inc. Interconnect-driven physical synthesis using persistent virtual routing
KR101749351B1 (ko) 2008-07-16 2017-06-20 텔라 이노베이션스, 인코포레이티드 동적 어레이 아키텍쳐에서의 셀 페이징과 배치를 위한 방법 및 그 구현
US8136072B2 (en) 2008-11-03 2012-03-13 Arm Limited Standard cell placement
US8363455B2 (en) 2008-12-04 2013-01-29 David Rennie Eight transistor soft error robust storage cell
WO2010099673A1 (en) 2009-03-06 2010-09-10 Kaixin Inc. Leadless integrated circuit package having high density contacts
US8116121B2 (en) 2009-03-06 2012-02-14 Kabushiki Kaisha Toshiba Semiconductor device and manufacturing methods with using non-planar type of transistors
US8184472B2 (en) 2009-03-13 2012-05-22 International Business Machines Corporation Split-gate DRAM with lateral control-gate MuGFET
US8004042B2 (en) 2009-03-20 2011-08-23 Taiwan Semiconductor Manufacturing Company, Ltd. Static random access memory (SRAM) cell and method for forming same
US8053299B2 (en) 2009-04-17 2011-11-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabrication of a FinFET element
US8076236B2 (en) 2009-06-01 2011-12-13 Globalfoundries Inc. SRAM bit cell with self-aligned bidirectional local interconnects
US8782586B2 (en) 2009-07-16 2014-07-15 Cadence Design Systems, Inc. Method, system, and program product for routing an integrated circuit to be manufactured by doubled patterning
US8294212B2 (en) 2009-09-18 2012-10-23 Taiwan Semiconductor Manufacturing Company, Ltd. Methods and apparatus for SRAM bit cell with low standby current, low supply voltage and high speed
JP5513530B2 (ja) * 2010-02-03 2014-06-04 ルネサスエレクトロニクス株式会社 半導体装置
US8675397B2 (en) 2010-06-25 2014-03-18 Taiwan Semiconductor Manufacturing Company, Ltd. Cell structure for dual-port SRAM
US8860107B2 (en) 2010-06-03 2014-10-14 International Business Machines Corporation FinFET-compatible metal-insulator-metal capacitor
US8839162B2 (en) 2010-07-14 2014-09-16 International Business Machines Corporation Specifying circuit level connectivity during circuit design synthesis
US8796759B2 (en) 2010-07-15 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. Fin-like field effect transistor (FinFET) device and method of manufacturing same
US9613844B2 (en) 2010-11-18 2017-04-04 Monolithic 3D Inc. 3D semiconductor device having two layers of transistors
US9159627B2 (en) 2010-11-12 2015-10-13 Tela Innovations, Inc. Methods for linewidth modification and apparatus implementing the same
US8418111B2 (en) 2010-11-24 2013-04-09 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for achieving multiple patterning technology compliant design layout
US8402397B2 (en) 2011-07-26 2013-03-19 Mentor Graphics Corporation Hotspot detection based on machine learning
JP6094023B2 (ja) * 2011-09-12 2017-03-15 富士通セミコンダクター株式会社 半導体装置の製造方法
US8689164B2 (en) 2011-10-18 2014-04-01 National Taiwan University Method of analytical placement with weighted-average wirelength model
US9006841B2 (en) 2011-12-30 2015-04-14 Stmicroelectronics International N.V. Dual port SRAM having reduced cell size and rectangular shape
FR2996950B1 (fr) 2012-10-11 2016-01-01 Dolphin Integration Sa Réseau de mémoire base sur des bascules

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH01284115A (ja) * 1988-05-11 1989-11-15 Sharp Corp 論理回路
JPH05152937A (ja) * 1991-11-26 1993-06-18 Hitachi Ltd 論理ゲート回路
JP2001168707A (ja) * 1999-12-03 2001-06-22 Sony Corp 論理回路およびそれを用いた全加算器
US6700405B1 (en) * 1999-12-03 2004-03-02 Sony Corporation Logic circuit and full adder using the same
JP2003100872A (ja) * 2001-09-25 2003-04-04 Sharp Corp パストランジスタ論理回路

Also Published As

Publication number Publication date
KR20160075788A (ko) 2016-06-29
TW201727522A (zh) 2017-08-01
KR20180004323A (ko) 2018-01-10
US8653857B2 (en) 2014-02-18
KR20160136459A (ko) 2016-11-29
KR20120028315A (ko) 2012-03-22
KR101815441B1 (ko) 2018-01-05
US9673825B2 (en) 2017-06-06
JP2017069981A (ja) 2017-04-06
TW201546639A (zh) 2015-12-16
TWI621959B (zh) 2018-04-21
EP2425531B1 (en) 2016-01-13
KR101717456B1 (ko) 2017-03-17
EP2425531A2 (en) 2012-03-07
JP2016054529A (ja) 2016-04-14
TW201104480A (en) 2011-02-01
WO2010126738A3 (en) 2011-03-10
JP5870433B2 (ja) 2016-03-01
KR101898410B1 (ko) 2018-09-12
JP6095752B2 (ja) 2017-03-15
TWI644226B (zh) 2018-12-11
WO2010126738A2 (en) 2010-11-04
TWI510941B (zh) 2015-12-01
EP3358747A3 (en) 2018-11-14
EP3029840B1 (en) 2017-07-05
MY183545A (en) 2021-02-25
ES2565440T3 (es) 2016-04-04
ES2634499T3 (es) 2017-09-28
KR101678833B1 (ko) 2016-11-23
TWI592816B (zh) 2017-07-21
TW201816643A (zh) 2018-05-01
EP3358747A2 (en) 2018-08-08
MY163582A (en) 2017-09-29
TWI539313B (zh) 2016-06-21
US20170272080A1 (en) 2017-09-21
JP2012525774A (ja) 2012-10-22
KR20170031267A (ko) 2017-03-20
US20140159772A1 (en) 2014-06-12
SG175384A1 (en) 2011-12-29
JP5926175B2 (ja) 2016-05-25
KR101714337B1 (ko) 2017-03-08
TW201621730A (zh) 2016-06-16
EP3029840A1 (en) 2016-06-08
SG10201401689SA (en) 2014-06-27
US10230377B2 (en) 2019-03-12
US20100277202A1 (en) 2010-11-04

Similar Documents

Publication Publication Date Title
JP6095752B2 (ja) Xor及びxnorロジックの回路及びレイアウト
JP6462838B2 (ja) 制限付きゲートレベルレイアウトアーキテクチャにおける交差結合トランジスタレイアウト

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20140819

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20140819

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20150709

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20150722

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20151021

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20151124

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20151216

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20151224

R150 Certificate of patent or registration of utility model

Ref document number: 5870433

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees