TWI683386B - 基板處理裝置 - Google Patents

基板處理裝置 Download PDF

Info

Publication number
TWI683386B
TWI683386B TW106130479A TW106130479A TWI683386B TW I683386 B TWI683386 B TW I683386B TW 106130479 A TW106130479 A TW 106130479A TW 106130479 A TW106130479 A TW 106130479A TW I683386 B TWI683386 B TW I683386B
Authority
TW
Taiwan
Prior art keywords
substrate
unit
processing
gas supply
gas
Prior art date
Application number
TW106130479A
Other languages
English (en)
Other versions
TW201804559A (zh
Inventor
福富義光
三橋毅
小椋浩之
森西健也
川松康夫
長嶋廣路
Original Assignee
斯克林半導體科技股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=39666209&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=TWI683386(B) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by 斯克林半導體科技股份有限公司 filed Critical 斯克林半導體科技股份有限公司
Publication of TW201804559A publication Critical patent/TW201804559A/zh
Application granted granted Critical
Publication of TWI683386B publication Critical patent/TWI683386B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67178Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers vertical arrangement
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05BSPRAYING APPARATUS; ATOMISING APPARATUS; NOZZLES
    • B05B14/00Arrangements for collecting, re-using or eliminating excess spraying material
    • B05B14/30Arrangements for collecting, re-using or eliminating excess spraying material comprising enclosures close to, or in contact with, the object to be sprayed and surrounding or confining the discharged spray or jet but not the object to be sprayed
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05BSPRAYING APPARATUS; ATOMISING APPARATUS; NOZZLES
    • B05B14/00Arrangements for collecting, re-using or eliminating excess spraying material
    • B05B14/40Arrangements for collecting, re-using or eliminating excess spraying material for use in spray booths
    • B05B14/43Arrangements for collecting, re-using or eliminating excess spraying material for use in spray booths by filtering the air charged with excess material
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05CAPPARATUS FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05C13/00Means for manipulating or holding work, e.g. for separate articles
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05CAPPARATUS FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05C13/00Means for manipulating or holding work, e.g. for separate articles
    • B05C13/02Means for manipulating or holding work, e.g. for separate articles for particular articles
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D3/00Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials
    • B05D3/04Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials by exposure to gases
    • B05D3/0486Operating the coating or treatment in a controlled atmosphere
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02623Liquid deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/6715Apparatus for applying a liquid, a resin, an ink or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67173Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers in-line arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67184Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • H01L21/682Mask-wafer alignment
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05BSPRAYING APPARATUS; ATOMISING APPARATUS; NOZZLES
    • B05B14/00Arrangements for collecting, re-using or eliminating excess spraying material
    • B05B14/40Arrangements for collecting, re-using or eliminating excess spraying material for use in spray booths
    • B05B14/44Arrangements for collecting, re-using or eliminating excess spraying material for use in spray booths using walls specially adapted for promoting separation of the excess material from the air, e.g. baffle plates
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F24HEATING; RANGES; VENTILATING
    • F24FAIR-CONDITIONING; AIR-HUMIDIFICATION; VENTILATION; USE OF AIR CURRENTS FOR SCREENING
    • F24F3/00Air-conditioning systems in which conditioned primary air is supplied from one or more central stations to distributing units in the rooms or spaces where it may receive secondary treatment; Apparatus specially designed for such systems
    • F24F3/12Air-conditioning systems in which conditioned primary air is supplied from one or more central stations to distributing units in the rooms or spaces where it may receive secondary treatment; Apparatus specially designed for such systems characterised by the treatment of the air otherwise than by heating and cooling
    • F24F3/16Air-conditioning systems in which conditioned primary air is supplied from one or more central stations to distributing units in the rooms or spaces where it may receive secondary treatment; Apparatus specially designed for such systems characterised by the treatment of the air otherwise than by heating and cooling by purification, e.g. by filtering; by sterilisation; by ozonisation
    • F24F3/167Clean rooms, i.e. enclosed spaces in which a uniform flow of filtered air is distributed
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • H01L21/67225Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process comprising at least one lithography chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/34Arrangements for cooling, heating, ventilating or temperature compensation ; Temperature sensing arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S118/00Coating apparatus
    • Y10S118/07Hoods
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling

Abstract

本發明的基板處理裝置構成係具備有上下方向設置的複數基板處理列。各基板處理列係具備有:橫向排列的複數主搬送機構、以及依每個主搬送機構設置且對基板施行處理的複數處理單元;將基板搬送於各主搬送機構所對應的處理單元中,並將基板交接給橫向相鄰接的另一主搬送機構,而對基板施行一連串處理。藉由各基板處理例並行對基板施行處理,便可增加基板處理裝置的處理能力。

Description

基板處理裝置
本發明關於一種對諸如半導體基板、液晶顯示裝置用玻璃基板、光罩用玻璃基板、光碟用基板等(以下簡稱「基板」)施行一連串處理的基板處理裝置。
習知,此種裝置係有在基板上形成阻劑膜,並將形成有阻劑膜的基板利用另設之曝光機施行曝光,再將已曝光的基板施行顯影之裝置。具體而言,阻劑膜形成用塗佈處理單元等各種藥液處理單元與熱處理單元分別與單一主搬送機構併設而構成1個區塊,將此種區塊複數組排列而構成基板處理裝置。該裝置係在各區塊中進行基板搬送,並由各個區塊施行處理(例如日本專利特開2003-324139號公報所揭示)。
然而,在具有此種構造的習知例情況中,潛在有如下述問題。
亦即,習知裝置中,為在區塊內處理一片基板,主搬送機構便必需進行5~10個搬送步驟,各搬送步驟分別需要耗費數秒程度。假設將搬送步驟數設定為6個步驟,若各步驟分別耗費5秒,則區塊內的產能便每片基板耗費30秒(1小時處理120片)而已。但是,就減少所有單一主搬送機構的搬送步驟數、或縮短各搬送步驟所需時間並無太大 能改善的空間,因而頗難更進一步提高區塊內的產能。所以,有頗難改善裝置整體產能的不良情況發生。針對此情況便有考慮增加主搬送機構。然而,若增加區塊內的主搬送機構台數,則相對地隨藥液處理單元、加熱部等的增加,有整體變龐大的不良情況發生。
本發明有鑑於此種實情而完成,目的在於提供一種在未增加基板處理裝置之設置面積的情況下可提升產能的基板處理裝置。
本發明為達成此種目的,便採用下述構成:對基板施行處理的基板處理裝置(第1發明),上述裝置含有以下要件:複數主搬送機構,橫向排列;以及複數處理單元,依每個主搬送機構設置,對基板施行處理;將基板搬送至各主搬送機構所對應的處理單元,並將基板交接給橫向鄰接的另一主搬送機構,構成對基板施行一連串處理的基板處理列;在上下方向設置有複數該基板處理列。
根據本發明,藉由將複數基板處理列朝上下方向設置,可利用各基板處理列對基板並行施行處理。所以,可增加基板處理裝置的處理能力。此外,因為將基板處理列朝上下方向設置,因而可避免基板處理裝置的設置面積增大。
此處,橫向排列的主搬送機構配置為任意。例如可依朝一方向以1列或複數列排列的方式配置主搬送機構。此外,亦可在虛擬曲線上的各處配置主搬送機構,亦可將主搬送機構鋸齒狀配置。另外,依每個主搬送機構設置的處理單元配置亦任意。可將各處理單元朝橫向排 列,亦可朝上下方向疊層,亦可縱橫行列狀配置。
上述發明中,最好俯視下各基板處理列的主搬送機構與處理單元之配置略同(第2發明)。藉此可將裝置構造簡單化。
上述發明中,最好設置有:對設置有上述主搬送機構的搬送空間供應潔淨氣體的氣體供應口、以及從上述搬送空間排出氣體的氣體排出口(第3發明)。可將搬送空間的環境保持潔淨。
上述發明中,最好上述搬送空間的環境在每個基板處理列之間相互行阻隔,上述氣體供應口及上述氣體排出口係依照每個基板處理列分別個別設置(第4發明)。可將搬送空間的環境保持更潔淨。
上述發明中,最好具備有:形成有上述氣體供應口的吹出單元、與形成有上述氣體排出口的排出單元;上述吹出單元或排出單元之至少任一者在每個基板處理列間將環境阻隔開(第5發明)。藉此便可將裝置構造簡單化。
上述發明中,最好上述氣體供應口較上述氣體排出口配置於更高位置處(第6發明)。可將搬送空間的環境保持更潔淨。
上述發明中,最好上述氣體供應口配置於上述搬送空間的上端,而上述氣體排出口配置於上述搬送空間的下端(第7發明)。因為可在搬送空間內形成朝下的氣流,因而可將搬送空間保持更潔淨。
上述發明中,最好具備有:對收容複數片基板之卡匣搬送基板搬送的晶圓載入機(Indexer)用搬送機構;上述晶圓載入機用搬送機構在與作為各基板處理列一端側之主搬送機構的一端搬送機構間交接基板,且在與各一端搬送機構中在上側的一端搬送機構之間,於其下端附近的高度位置處交接基板,並在與各一端搬送機構中在下側的一端搬送機構之間,於其上端附近的高度位置處交接基板(第8發明)。因為上下 基板的交接位置較靠近,因而可抑制晶圓載入機用搬送機構的昇降量。所以,可提升晶圓載入機用搬送機構的處理效率。
上述發明中,最好具備有分別設置於上述晶圓載入機用搬送機構與各一端搬送機構間用於載置基板的載置部;上述晶圓載入機用搬送機構經由各載置部而交接基板(第9發明)。因為經由載置部進行基板的交接,因而相較於搬送機構間直接進行基板交接的情況下,可提升搬送效率。
一種對基板施行處理的基板處理裝置(第10發明),上述裝置具備有以下的要件:橫向排列有複數組具備處理單元及主搬送機構的處理區塊,該處理單元依上下方向的每個階層設置,對基板施行處理;該主搬送機構設置於各階層,對該階層的處理單元搬送基板;在鄰接之處理區塊中相同階層的主搬送機構間交接基板,而對基板施行一連串處理。
根據本發明,跨越橫向複數個排列的處理區塊依每個階層並行進行基板搬送。然後,在跨越複數處理區塊的各階層中並行地對基板施行一連串處理。所以,可增加基板處理裝置的處理能力。此外,處理區塊係上下方向具有複數階層的階層構造,因而可避免基板處理裝置的設置面積增加。
上述發明中,最好在每個處理區塊設置有將一處理區塊中所含之複數處理單元與複數主搬送機構共同收容的框體(第11發明)。因為可以處理區塊單位進行處理,因而可使基板處理裝置的製造、修補簡易。
上述發明中,最好各處理區塊具備有:在各階層間所設置的阻隔板、對各階層的主搬送機構之搬送空間供應潔淨氣體的氣體供應口、 以及從各階層的主搬送機構之搬送空間中將氣體排出的氣體排出口(第12發明)。可防止主搬送機構所引起的起塵影響到其他階層。又,可將各階層的搬送空間保持潔淨。
上述發明中,最好具備有:形成有上述氣體供應口的吹出單元、與形成有上述氣體排出口的排出單元;上述吹出單元或排出單元中至少任一者兼作上述阻隔板用(第13發明)。可使裝置構造簡單化。
上述發明中,最好各搬送空間的氣體供應口配置於較該搬送空間的氣體排出口更高位置處(第14發明)。因為搬送空間內的氣流形成所謂的垂直氣流,因而可將搬送空間保持更潔淨。
上述發明中,最好具備有:對收容複數片基板的卡匣搬送基板,同時在與一側端處理區塊的各階層主搬送機構之間,交接基板的晶圓載入機用搬送機構;而在與一側端處理區塊中,上述晶圓載入機用搬送機構在與各階層主搬送機構間交接基板的各位置互相靠近(第15發明)。由於可抑制晶圓載入機用搬送機構的昇降量,因而可提高晶圓載入機用搬送機構的處理效率。
上述發明中,最好具備有:分別設置於一側端處理區塊中,各階層主搬送機構與上述晶圓載入機用搬送機構之間用以載置基板的載置部;上述晶圓載入機用搬送機構經由各載置部交接基板(第16發明)。根據該構造,相較於主搬送機構間直接進行基板交接的情況下,可提升搬送效率。
一種對基板施行處理的基板處理裝置(第17發明),上述裝置具備有以下要件:晶圓載入機部,具備有對收納複數片基板的卡匣搬送基板的晶圓載入機用搬送機構; 塗佈處理區塊,係鄰接上述晶圓載入機部的塗佈處理區塊,其具備有:依上下方向的每個階層設置,用以在基板形成阻劑膜的塗佈處理單元與熱處理單元、以及依每個階層設置,對該階層的塗佈處理單元與熱處理單元搬送基板的主搬送機構;顯影處理區塊,係鄰接上述塗佈處理區塊的顯影處理區塊,其具備有:依上下方向的每個階層設置,用以將基板顯影的顯影處理單元與熱處理單元以及依每個階層設置,對該階層的顯影處理單元與熱處理單元搬送基板的主搬送機構;以及介面部,鄰接上述顯影處理區塊,具備有對另設於本裝置外的曝光機搬送基板的介面用搬送機構;而上述晶圓載入機用搬送機構在與上述塗佈處理區塊的各階層主搬送機構之間交接基板;上述塗佈處理區塊的各階層主搬送機構,在與上述顯影處理區塊之相同階層主搬送機構之間交接基板;上述介面用搬送機構在與上述顯影處理區塊之各階層主搬送機構之間交接基板。
根據本發明,晶圓載入機用搬送機構從卡匣中依序取出基板,再將該等基板交接給塗佈處理區塊各階層的主搬送機構。塗佈處理區塊的各主搬送機構便對分別負責的塗佈處理單元或熱處理單元進行基板搬送。在各處理單元中對基板施行既定處理。塗佈處理區塊各階層的主搬送機構係將形成有阻劑膜的基板,交接給鄰接之顯影處理區塊的相同階層主搬送機構。顯影處理區塊的各主搬送機構便將該基板交接給鄰接之介面部的介面用搬送機構。介面用搬送機構將所收取到的基板交接給外部裝置的曝光機。經曝光處理過的基板再度返回介面部。 介面用搬送機構便將各基板交接給顯影處理區塊之各階層主搬送機構。顯影處理區塊的各主搬送機構對分別負責的顯影處理單元或熱處理單元進行基板搬送。在各處理單元中對基板施行既定處理。顯影處理區塊各階層的主搬送機構係將經顯影處理過的基板交接給鄰接之塗佈處理區塊之同階層的主搬送機構。塗佈處理區塊各階層的主搬送機構係將該基板交接給晶圓載入機部的晶圓載入機用搬送機構。晶圓載入機用搬送機構係將該基板收納於既定卡匣中。如上所述,根據該構造,在塗佈處理區塊與顯影處理區塊之各階層中分別並行施行阻劑膜形成處理與顯影處理,因而可增加基板處理裝置的處理效率。此外,因為塗佈處理區塊與顯影處理區塊係上下方向具有複數階層的階層構造,因而可避免基板處理裝置的設置面積增加。
上述發明中,最好具備有:以依照與晶圓載入機用搬送機構從卡匣中將基板取出之順序相同的順序,控制介面用搬送機構以搬送基板至曝光機的控制部(第18發明)。可適當地管理複數基板。
上述發明中,最好具備有:設置於介面部,用以暫時收納基板的緩衝部;而上述控制部控制介面用搬送機構,在從顯影處理區塊搬出基板時,依照不同於晶圓載入機用搬送機構從卡匣取出基板順序之順序,收取該基板而搬送至緩衝部的方式進行控制。當依照不同於晶圓載入機用搬送機構從卡匣取出基板順序之順序,從顯影處理區塊中搬出基板時,便將該基板移往緩衝部。藉此,顯影處理區塊可將後續基板搬出。此外,在介面部中,可將基板搬送給曝光機的順序,調整為晶圓載入機用搬送機構從卡匣中取出基板的順序(第19發明)。因此,可依既定順序對基板施行適當處理。
上述發明中,用以在基板上形成阻劑膜的塗佈處理單元,最好含 有:將阻劑膜材料塗佈於基板的阻劑膜用塗佈處理單元、以及將反射防止膜用處理液塗佈於基板的反射防止膜用塗佈處理單元(第20發明)。藉此可對基板施行較佳處理。
另外,本說明書亦揭示關於如下述基板處理裝置的發明:
(1)第1發明所記載之基板處理裝置中,各基板處理列所施行的一連串處理係相同。
根據上述(1)所記載的發明,可將裝置構造簡單化。
(2)第1發明所記載之基板處理裝置中,上述處理單元係包括有:對基板施行液處理的液處理單元、以及對基板施行熱處理的熱處理單元。
(3)第10發明所記載之基板處理裝置中,上述處理單元係包括有:對基板施行液處理的液處理單元、以及對基板施行熱處理的熱處理單元。
根據上述(2)與上述(3)所記載的發明,可對基板施行各種處理。
(4)第2發明所記載的基板處理裝置中,具備有:分別對位於上下方向的各主搬送機構所對應的複數處理單元,供應潔淨氣體的單一第2氣體供應管。
根據上述(4)所記載的發明,可減少設置面積。
(5)第10發明所記載之基板處理裝置中,各處理區塊的各階層主搬送機構係俯視下配置於相同位置處。
根據上述(5)所記載的發明,便可將裝置構造簡單化。
(6)第10發明所記載之基板處理裝置中,在各處理區塊中,上下方向配設的各處理單元所施行的處理係相同。
根據上述(6)所記載的發明,可將裝置構造簡單化。
(7)第10發明所記載之基板處理裝置中,具備有對上下方向配置的複數處理單元供應潔淨氣體的單一第2氣體供應管。
根據上述(7)所記載的發明,可減少設置面積。
(8)第10發明所記載之基板處理裝置中,各階層的處理單元保持疊層。
根據上述(8)所記載的發明,可使裝置構造簡單化。
為說明本發明,圖示目前認為較佳的數個形態,惟本發明並不僅侷限於圖示構造及策略。
1‧‧‧晶圓載入機部
3‧‧‧處理部
5‧‧‧介面部
9‧‧‧卡匣載置台
11~14‧‧‧第1~第4槽
21‧‧‧可動平台
23、85‧‧‧昇降軸
25‧‧‧保持臂
31‧‧‧塗佈處理單元
32、77‧‧‧旋轉保持部
33、79‧‧‧杯
34、81‧‧‧供應部
35‧‧‧噴嘴
36‧‧‧把持部
37‧‧‧噴嘴移動機構
37a‧‧‧第1導軌
37b‧‧‧第2導軌
38‧‧‧處理液配管
41‧‧‧熱處理單元
42‧‧‧熱處理單元
43‧‧‧平板
51‧‧‧第3導軌
52‧‧‧第4導軌
53‧‧‧基座部
55‧‧‧旋轉台
57、57a、57b、87‧‧‧保持臂
61‧‧‧第1吹出單元
61a‧‧‧第1吹出口
62‧‧‧排出單元
62a‧‧‧排出口
63‧‧‧第1氣體供應管
64‧‧‧第1氣體排出管
65‧‧‧第2氣體供應管
66‧‧‧第2氣體排出管
67‧‧‧第2吹出單元
75‧‧‧框體
81a‧‧‧狹縫噴嘴
81b‧‧‧移動機構
83‧‧‧基台
91‧‧‧主控制器
93~98‧‧‧第1~第6控制器
AHL‧‧‧黏著處理單元
A1~A4‧‧‧搬送空間
Ba、Bb‧‧‧處理區塊
BARC、BARC1、BARC3‧‧‧反射防止膜用塗佈處理單元
BF‧‧‧緩衝部
C‧‧‧卡匣
CP、CP1~CP4‧‧‧冷卻單元
DEV、DEV2、DEV4‧‧‧顯影處理單元
EEW、EEW2、EEW4‧‧‧邊緣曝光單元
EXP‧‧‧曝光機
f‧‧‧小孔
HP、HP2‧‧‧加熱單元
Ld、Lu‧‧‧基板處理列
PASS1~PASS7、PASS5A、PASS5B、PASS-CP、PASS1A、PASS1B、PASS2A、PASS2B、PASS3A、PASS3B、PASS4A、PASS4B‧‧‧載置部
PHP、PHP1~PHP4‧‧‧加熱冷卻單元
PS‧‧‧豎孔部
Q‧‧‧縱軸心
RESIST、RESIST1、RESIST3‧‧‧阻劑膜用塗佈處理單元
T1、T2、T3、T4‧‧‧主搬送機構
TID‧‧‧ID用搬送機構
TIF‧‧‧IF用搬送機構
TIFA‧‧‧第1搬送機構
TIFB‧‧‧第2搬送機構
W‧‧‧基板
圖1為實施例基板處理裝置概略構造俯視圖。
圖2為基板處理裝置所設置處理單元的配置概略側視圖。
圖3為基板處理裝置所設置處理單元的配置概略側視圖。
圖4為圖1中的a-a箭頭方向各垂直剖視圖。
圖5為圖1中的b-b箭頭方向各垂直剖視圖。
圖6為圖1中的c-c箭頭方向各垂直剖視圖。
圖7為圖1中的d-d箭頭方向各垂直剖視圖。
圖8A為塗佈處理單元的俯視圖。
圖8B為塗佈處理單元的剖視圖。
圖9為主搬送機構的立體圖。
圖10為實施例的基板處理裝置控制方塊圖。
圖11為對基板W所施行一連串處理的流程圖。
圖12為各搬送機構分別重複施行的動作示意圖。
以下,針對本發明較佳實施例根據圖式進行詳細說明。
圖1所示係實施例的基板處理裝置概略構造俯視圖,圖2與圖3所示係基板處理裝置所設置處理單元的配置概略側視圖,圖4至圖7所示係分別就圖1中的a-a箭頭方向、b-b箭頭方向、c-c箭頭方向及d-d箭頭方向的各垂直剖視圖。
實施例係在基板(例如半導體晶圓)W上形成阻劑膜等,同時對經曝光過的基板W施行顯影的基板處理裝置。本裝置係分為:晶圓載入機部(以下稱「ID部」)1、處理部3、及介面部(以下稱「IF部」)5。在處理部3二側鄰接設置有ID部1與IF部5。於IF部5更鄰接設置本裝置外另設外部裝置的曝光機EXP。
ID部1係將從收容著複數片基板W的卡匣C中取出基板W,同時將基板W收納於卡匣C中。該ID部1係具備有:載置著卡匣C的卡匣載置台9、與對各卡匣C進行基板W搬送的ID用搬送機構TID。ID用搬送機構TID係相當於本發明的晶圓載入機用搬送機構。
處理部3係具備有4座主搬送機構T1、T2、T3、T4。處理部3係對應各主搬送機構T1、T2、T3、T4分隔為第1至第4槽11、12、13、14。在第1、第3槽11、13中於基板W上形成阻劑膜等。在第2、第4槽12、14中對基板W施行顯影。在該等各槽11~14中設置有複數處理單元(容後述)。主搬送機構T1、T2、T3、T4分別對各槽11~14的處理單元進行基板W搬送。
橫向排列的第1、第2槽11、12係相連結,構成將ID部1與IF部5間連結的一基板處理列Lu。此外,橫向排列的第3、第4槽13、14亦相連結,構成將ID部1與IF部5間連結的一基板處理列Ld。該等2基板處理列Lu、Ld係上下方向排列。換言之,處理部3係由上下方向具有複數基板處理列Lu、Ld的階層構造所構成。
再者,各基板處理列Lu、Ld係依相互鄰接方式上下疊層。即,第1槽11係疊層於第3槽13上,第2槽12係疊層於第4槽14上。因此,藉由將第1、第3槽11、13一體設置的處理區塊Ba、與第2、第4槽12、14一體設置的處理區塊Bb朝橫向排列,亦可輕易地構成處理部3。
IF部5係在與曝光機EXP間進行基板W的交接。IF部5係具備有將基板W進行搬送的IF用搬送機構TIF。IF用搬送機構TIF係具有:第1搬送機構TIFA與第2搬送機構TIFB。第1搬送機構TIFA與第2搬送機構TIFB係相當於本發明的介面用搬送機構。
然後,ID用搬送機構TID係在與ID部1相鄰接第1、第3槽11、13的主搬送機構T1、T3間進行基板W交接。此外,各槽11~14的主搬送機構T1~T4係在與相連結的同階層其他槽間進行基板W交接。且,IF用搬送機構TIF係在與IF部5相鄰接第2、第4槽12、14的主搬送機構T2、T4間進行基板W交接。結果,經由2基板處理列Lu、Ld,在ID部1與IF部5間將基板W並行搬送,同時在各基板處理列Lu、Ld中對基板W施行一連串處理。主搬送機構T1、T3相當於本發明的一端搬送機構。
本裝置係具備有用以在ID用搬送機構TID、與主搬送機構T1、T3間進行基板W交接的載置部PASS1、PASS3。同樣地,具備有:用以進行主搬送機構T1、T2間之基板交接的載置部PASS2、與用以進行主搬送機構T3、T4間之基板交接的載置部PASS4。此外,尚具備有用以在主搬送機構T2、T4、與IF用搬送機構TIF間進行基板W交接的載置部PASS5、PASS6。各載置部PASS1~PASS6係分別具有突出形成的複數支撐銷,構成可利用該等支撐栓將基板W以略水平姿勢載置。
[ID部1]
以下,針對ID部1進行說明。卡匣載置台9係構成可將4個卡匣C排成1列載置。ID用搬送機構TID係具備有:將卡匣載置台9側邊朝卡匣C排列方向水平移動的可動平台21、相對可動平台21朝鉛直方向伸縮的昇降軸23、以及相對該昇降軸23進行旋轉並且朝旋轉半徑方向進退而保持基板W的保持臂25;構成可在各卡匣C、載置部PASS1與載置部PASS3間進行基板W搬送。
[第1槽11]
用以進行基板W搬送的搬送空間A1,係形成通過該第1槽11中央,與第1、第2槽11、12排列方向平行帶狀。第1槽11的處理單元具備有:對基板W施行處理液塗佈的塗佈處理單元31、以及對基板W施行熱處理的熱處理單元41。塗佈處理單元31係配置於搬送空間A1之一側,另一側則配置有熱處理單元41。
塗佈處理單元31係依分別面對搬送空間A1的方式,縱橫排列設置複數個。本實施例中,將2列2層合計配置有4個塗佈處理單元31。塗佈處理單元31係包括有:施行在基板W上形成反射防止膜之處理的反射防止膜用塗佈處理單元BARC、以及施行在基板W上形成阻劑膜之處理的阻劑膜用塗佈處理單元RESIST。塗佈處理單元31係相當於本發明中的液處理單元。
參照圖8A、圖8B。圖8A所示係塗佈處理單元的俯視圖,圖8B所示係塗佈處理單元的剖視圖。各塗佈處理單元31係具備有:可旋轉地保持基板W的旋轉保持部32、設置於基板W周圍的杯33、以及對基板W供應處理液的供應部34等。各層所設置的2組旋轉保持部32及杯33,係在未由隔間壁等隔間的情況下併設。供應部34係具備有:複數個噴嘴35;把持一噴嘴35的把持部36;以及使把持部36移動, 而使一噴嘴35在基板W上方的處理位置、與偏離基板W上方的待機位置間進行移動的噴嘴移動機構37。於各噴嘴35分別連通連接有處理液配管38的一端。處理液配管38係依容許待機位置與處理位置間之噴嘴35移動的方式可動設計。各處理液配管38的另一端側將連接於處理液供應源(未圖示)。具體而言,在反射防止膜用塗佈處理單元BARC的情況,處理液供應源係將不同種類的反射防止膜用處理液供應至各噴嘴35。在阻劑膜用塗佈處理單元RESIST的情況,處理液供應源係將不同種類的阻劑膜材料供應至各噴嘴35。
噴嘴移動機構37係具備有第1導軌37a與第2導軌37b。第1導軌37a係在橫向排列的2個杯33二外側相互平行配置。第2導軌37b係由2個第1導軌37a可滑動地支撐,並架設於2個杯33上。把持部36係可滑動地由第2導軌37b支撐。此處,第1導軌37a與第2導軌37b所導引的各方向均係略水平方向且相互略正交。噴嘴移動機構37係更具有:使第2導軌37b滑動移動,並使把持部36滑動移動的未圖示驅動部。然後,藉由將驅動部驅動,而將由把持部36所把持的噴嘴35移動至相當於處理位置處的2個旋轉保持部32之上方位置處。
熱處理單元41係設置複數組,分別呈面對搬送空間A1的方式縱橫複數個排列。本實施例中,可橫向配置3個熱處理單元41,縱向疊層5個熱處理單元41。熱處理單元41分別具備有載置基板W的平板43等。熱處理單元41係包括有:將基板W冷卻的冷卻單元CP;持續施行加熱處理與冷卻處理的加熱冷卻單元PHP;及為提升基板W與被膜間之密接性,而在六甲基矽氮烷(HMDS)的蒸氣環境中施行熱處理的黏著處理單元AHL。另外,加熱冷卻單元PHP係具有2個平板43,同時具有使基板W在2個平板43間進行移動的未圖示區域搬送機構。 各種熱處理單元(冷卻單元CP、加熱冷卻單元PHP、黏著處理單元AHL)分別為複數組,並被配置於適當位置處。
以下參照圖9。圖9所示係主搬送機構的立體示意圖。主搬送機構T1係具有:朝上下方向導引的2支第3導軌51、與朝橫向導引的第4導軌52。第3導軌51係在搬送空間A1的一側邊相對向固定。本實施例中被配置於靠塗佈處理單元31側。第4導軌52係可滑動地安裝於第3導軌51上。於第4導軌52可滑動地設置有基座部53。基座部53係橫向伸出至搬送空間A1略中央處。更進一步,尚具備有使第4導軌52朝上下方向移動,並使基座部53朝橫向移動的未圖示驅動部。藉由將該驅動部驅動,便可使基座部53移動至縱橫排列的塗佈處理單元31與熱處理單元41之各位置處。
於基座部53設有可圍繞縱軸心Q進行旋轉的旋轉台55。在旋轉台55上,保持基板W的2個保持臂57a、57b分別被設成可朝水平方向移動。2個保持臂57a、57b係相互配置在上下相靠近的位置處。更進一步,尚具備有使旋轉台55旋轉,並使各保持臂57a、57b移動的未圖示驅動部。藉由驅動該驅動部,使旋轉台55對向於與各塗佈處理單元31、各熱處理單元41及載置部PASS1、PASS2相對向之位置處,使保持臂57a、57b相對該等塗佈處理單元31等進退。
[第3槽13]
針對第3槽13進行說明。另外,關於與第1槽11相同的構造賦予相同元件符號,而省略詳細說明。第3槽13內的主搬送機構T3及處理單元的俯視佈局係略同於第1槽11。因此,塗佈處理單元31可謂係橫跨第1槽11與第3槽13的各階層朝上下方向疊層。同樣地,熱處理單元41亦可謂係橫跨各階層疊層。此外,從主搬送機構T3所觀 看到第3槽13的各種處理單元配置,亦是略同於從主搬送機構T1所觀看到第1槽11的各種處理單元配置。
以下,當要區分第1、第3槽11、13上所設置阻劑膜用塗佈處理單元RESIST等時,分別標示下標符號「1」或「3」(例如將在第1槽11中所設置的阻劑膜用塗佈處理單元RESIST,記為「阻劑膜用塗佈處理單元RESIST1」)。
[第1槽11與第3槽13]
就第1槽11及第3槽13的相關構造歸納說明。載置部PASS1係配置於ID部1與第1槽11之間。載置部PASS3係配置於ID部1與第3槽13之間。俯視下,載置部PASS1、PASS3分別被配置於搬送空間A1、A3靠ID部1側。剖視下,載置部PASS1係配置於主搬送機構T1的下端附近高度處,載置部PASS3係配置於主搬送機構T3的上端附近高度處。因此,載置部PASS1與載置部PASS3的位置相靠近,ID用搬送機構TID可以較少的昇降量移動至載置部PASS1與載置部PASS3
載置部PASS1與載置部PASS3均被設有複數(2個),分別配置成上下2層。2個載置部PASS1中,其中一載置部PASS1A係用以從ID用搬送機構TID將基板W交接給主搬送機構T1者,而在載置部PASS1A透過專屬ID用搬送機構TID載置基板W。另一載置部PASS1B係用以從主搬送機構T1將基板W交接給ID用搬送機構TID者,在載置部PASS1B透過專屬主搬送機構T1載置基板W。另外,後述載置部PASS2、PASS4、PASS5、PASS6,亦同樣分別設置2個,並依各交接方向分開使用。
載置部PASS2係設置於第1槽11與第2槽12之間。載置部PASS4係設置於第3槽13與第4槽14之間。載置部PASS2、PASS4係俯視下 被配置於相同位置處。在載置部PASS2、PASS4的上方與下方,適當配設有暫時載置基板W的緩衝單元、或對基板W施行熱處理的熱處理單元等(均未圖示)。
在搬送空間A1、A3中,分別設置有吹出潔淨氣體的第1吹出單元61、與抽吸氣體的排出單元62。第1吹出單元61與排出單元62分別具有俯視下與搬送空間A1略同寬度的扁平箱狀物。在第1吹出單元61與排出單元62的其中一面上,分別形成有第1吹出口61a與排出口62a。本實施例中,由多數小孔f構成第1吹出口61a與排出口62a。第1吹出單元61係將第1吹出口61a以朝下姿勢配置於搬送空間A1、A3上端。此外,排出單元62係將排出口62a以朝上姿勢配置於搬送空間A1、A3下端。搬送空間A1的環境與搬送空間A3的環境,由搬送空間A1的排出單元62與搬送空間A3的第1吹出單元61阻隔。第1吹出口61a相當於本發明的氣體供應口。排出口62a相當於本發明的氣體排出口。第1吹出單元61相當於本發明的吹出單元。
搬送空間A1、A3的各第1吹出單元61,同樣地連接連通於第1氣體供應管63。第1氣體供應管63在載置部PASS2、PASS4的側邊位置處,從搬送空間A1上端起跨越至搬送空間A3下端設置,同時在搬送空間A2下方朝水平方向彎曲。第1氣體供應管63的另一端側連接連通於未圖示氣體供應源。同樣地,搬送空間A1、A3的排出單元62,同樣連接連通於第1氣體排出管64。第1氣體排出管64係從搬送空間A1下端起跨越至搬送空間A3下端被設置於載置部PASS2、PASS4的側邊位置處,同時在搬送空間A3下方朝水平方向彎曲。然後,藉由從搬送空間A1、A3的各第1吹出口61a將氣體吹出,同時從各排出口62a進行氣體的抽吸/排出,而在搬送空間A1、A3中形成從上端朝下端流動 的氣流,將各搬送空間A1、A3分別保持潔淨狀態。
在第1、第3槽11、13的各塗佈處理單元31中,形成有縱向貫通的豎孔部PS。在該豎孔部PS中朝上下方向設置有用以供應潔淨氣體的第2氣體供應管65、及用以將氣體排氣的第2氣體排出管66。第2氣體供應管65與第2氣體排出管66分別在各塗佈處理單元31的既定高度位置處分枝,並從豎孔部PS朝略水平方向拉出。分枝的複數第2氣體供應管65係連接連通於將氣體朝下方吹出的第2吹出單元67。此外,分枝的複數第2氣體排出管66分別連接連通於各杯33的底部。第2氣體供應管65的另一端係在第3槽13的下方連接連通於第1氣體供應管63。第2氣體排出管66的另一端係在第3槽13的下方連接連通於第1氣體排出管64。然後,藉由從第2吹出單元67將氣體吹出,同時通過第2氣體排出管66將氣體排出,而將各杯33內的環境經常保持潔淨,便可對由旋轉保持部32保持的基板W施行較佳處理。
在豎孔部PS中更設有供處理液通過的配管與電佈線等(均未圖示)。依此,便可將第1、第3槽11、13的塗佈處理單元31所附設配管與佈線等收容於豎孔部PS,因而可縮短配管與佈線等的長度。
再者,第1槽11及第3槽13所具有的主搬送機構T1、T3、與各處理單元,分別收容於一框體75中。利用該框體75便構成一處理區塊Ba。將第1槽11與第3槽13一體設置的處理區塊Ba,係相當於本發明的塗佈處理區塊。同樣地,後述第2槽12與第4槽14的主搬送機構T1、T3、及各處理單元,被收容於其他的框體75中。利用該框體75構成另一處理區塊Bb。將第2槽12與第4槽14一體設置的處理區塊Bb,係相當於本發明的顯影處理區塊。依此,藉由框體75的設置,構成將上下方向排列的各槽一體設置之處理區塊Ba、Bb,由此處理部 3可輕易地製得,並可進行組裝。
[第2槽12]
以下就第2槽12進行說明。關於與第1槽11相同構造賦予相同元件符號,而省略詳細說明。第2槽12的搬送空間A2係依搬送空間A1的延長狀態形成。
第2槽12的處理單元係對基板W施行顯影的顯影處理單元DEV、對基板W施行熱處理的熱處理單元42、以及對基板W周緣部施行曝光的邊緣曝光單元EEW。顯影處理單元DEV係配置於搬送空間A2一側,熱處理單元42及邊緣曝光單元EEW係配置於搬送空間A2的另一側。其中,顯影處理單元DEV最好與塗佈處理單元31同側配置。此外,熱處理單元42與邊緣曝光單元EEW最好與熱處理單元41相同併排。
顯影處理單元DEV係設置4個,沿搬送空間A2朝橫向排列2個,且上下疊層2層。各顯影處理單元DEV係具備有:可旋轉地保持基板W的旋轉保持部77、以及設置於基板W周圍的杯79。在同1層中併設的2個顯影處理單元DEV,係在未由隔間壁等隔開下設置。更進一步,設有對2個顯影處理單元DEV供應顯影液的供應部81。供應部81係設有用以將顯影液吐出之具有狹縫或小孔列的2個狹縫噴嘴81a。狹縫或小孔列的長邊方向長度,最好相當於基板W的直徑。此外,2個狹縫噴嘴81a最好構成將相互不同種類或濃度的顯影液吐出。供應部81更進一步具備有使各狹縫噴嘴81a移動的移動機構81b。藉此,各狹縫噴嘴81a可分別在橫向排列的2個旋轉保持部77上方移動。
熱處理單元42係設置複數組,朝沿搬送空間A2的橫向複數排列,同時朝縱向疊層複數個。熱處理單元42係包括有:對基板W施行加 熱的加熱單元HP、與將基板W冷卻的冷卻單元CP。
邊緣曝光單元EEW係設置單一個,設置於既定位置處。邊緣曝光單元EEW係具備有:可旋轉地保持基板W的旋轉保持部(未圖示)、以及對由該旋轉保持部所保持的基板W周緣施行曝光之光照射部(未圖示)。
在面對於搬送空間A2同時鄰接IF部5的一區中,疊層設置有載置部PASS5與加熱冷卻單元PHP。該等載置部PASS5與加熱冷卻單元PHP的一側邊鄰接熱處理單元42,並被設置成與熱處理單元42併排。關於加熱冷卻單元PHP就IF用搬送機構TIF負責搬送之處,係與第2槽12的熱處理單元42有所差異,但在佈局上收容於與第2、第4槽12、14相同的框體75中。然後,該等加熱冷卻單元PHP與載置部PASS5係構成可從面對搬送空間A2的前面側、與面對IF部5的側面側進行基板W的搬入、搬出。
主搬送機構T2俯視下被設置於搬送空間A2略中央處。主搬送機構T2係構成與主搬送機構T1相同。然後,主搬送機構T2在載置部PASS2、各種熱處理單元42、邊緣曝光單元EEW、及載置部PASS5等間,進行基板W的搬送。
[第4槽14]
關於與第1、第2槽11、12相同之構造賦予相同元件符號而省略詳細說明。第4槽14內的主搬送機構T4及處理單元之俯視佈局,係略同第2槽12。此外,從主搬送機構T4所觀看到的第4槽14之各種處理單元配置,亦是略同從主搬送機構T2所觀看到的第2槽12之各種處理單元配置。所以,第2槽12與第4槽14的各顯影處理單元DEV係上下疊層。同樣,第2槽12與第4槽14的各熱處理單元42等係呈 上下疊層。
[第2槽12與第4槽14]
關於第2槽12及第4槽14的構造亦略同第1、第3槽11、13的相關構造,以下簡單說明。在第2、第4槽12、14的搬送空間A2、A4中,亦同樣地分別設置有相當於第1吹出單元61、排出單元62等的構造。又,在第2、第4槽12、14的顯影處理單元DEV中,分別設置有相當於第2吹出單元67、第2氣體排出管66等的構造。
以下,當區分第2、第4槽12、14中所設置的顯影處理單元DEV、邊緣曝光單元EEW等時,便分別標示下標符號「2」或「4」(例如將第2槽12中所設置的加熱單元HP記為「加熱單元HP2」)。
[IF部5等]
第1搬送機構TIFA與第2搬送機構TIFB,係朝槽11、12(13、14)排列方向的正交方向排列設置。第1搬送機構TIFA係配置於第2、4槽12、14的熱處理單元42等所在之位置側。第2搬送機構TIFB係配置於第2、4槽12、14的顯影處理單元DEV所在之位置側。在該等第1、第2搬送機構TIFA、TIFB間多層疊層有:載置基板W並施行冷卻的載置部PASS-CP、載置基板W的載置部PASS7、以及暫時收容基板W的緩衝部BF。
第1搬送機構TIFA係具備有:固定設置的基台83、相對基台83朝鉛直上方伸縮的昇降軸85、以及相對該昇降軸85可旋轉且朝旋轉半徑方向進退而保持基板W的保持臂87。然後,在加熱冷卻單元(PHP2、PHP4)、載置部(PASS5、PASS6、PASS-CP)、及緩衝部BF間進行基板W的搬送。第2搬送機構TIFB亦是具備有基台83、昇降軸85及保持臂87。然後,在載置部(PASS-CP、PASS7)、與曝光機EXP間進行基板 W的搬送。
接著,以下針對本裝置的控制系統進行說明。圖10所示係實施例的基板處理裝置控制方塊圖。如圖所示,本裝置係具備有:主控制器91、與第1至第6控制器93、94、95、96、97、98。
第1控制器93係對由ID用搬送機構TID所進行的基板搬送進行控制。第2控制器94係對由主搬送機構T1所進行的基板搬送,以及在阻劑膜用塗佈處理單元RESIST1、反射防止膜用塗佈處理單元BARC1、冷卻單元CP1、加熱冷卻單元PHP1及黏著處理單元AHL1中的基板處理進行控制。第3控制器95係對由主搬送機構T2所進行的基板搬送,以及在邊緣曝光單元EEW2、顯影處理單元DEV2、加熱單元HP2及冷卻單元CP2中的基板處理進行控制。第4、第5控制器96、97的控制分別對應於第2、第3控制器94、95的控制。第6控制器98係對由第1、第2搬送機構TIFA、TIFB所進行的基板搬送、以及在加熱冷卻單元PHP2、PHP4中的基板處理進行控制。由上述第1~第6控制器93~98所進行的控制係分別相互獨立進行。
主控制器91係統括式對第1至第6控制器93~98進行控制。具體而言,主控制器91係控制各搬送機構的連動。例如調整各搬送機構對載置部PASS1~PASS6存取之時序。此外,主控制器91依照與從卡匣C中搬出順序相同之次序,將基板W搬送控制於曝光機EXP中。
主控制器91及第1~第6控制器93~98分別由執行各種處理的中央運算處理裝置(CPU)、作為運算處理作業區域的RAM(Random-Access Memory)、記憶預設處理配方(處理程式)等各種資訊的固定式磁碟等記憶媒體等而實現。主控制器91及第1~第6控制器93~98係相當於本發明的控制部。
其次,針對實施例的基板處理裝置動作進行說明。圖11所示係對基板W施行一連串處理時的流程圖,係依序搬送基板W的處理單元或載置部等的部分。此外,圖12所示係各搬送機構分別重複施行動作的示意圖,表示搬送機構所存取的處理單元、載置部或卡匣等之順序。以下,依每個搬送機構分開進行說明。
[ID用搬送機構TID]
ID用搬送機構TID係移動至一卡匣C相對向位置處,將卡匣C中所收容的一片未處理基板W保持於保持臂25上,再從卡匣C中搬出。ID用搬送機構TID係將保持臂25旋轉,並使昇降軸23昇降,並移動至載置部PASS1相對向位置處,而將所保持的基板W載置於載置部PASS1A上(對應於圖11中的步驟S1a。以下,僅註記步驟編號)。此時,在載置部PASS1B通常載置有基板W,收取該基板W並收納於卡匣C中(步驟S23)。當載置部PASS1B上並無基板W時,便直接對卡匣C進行存取。然後,將卡匣C中所收容的基板W搬送於載置部PASS3A(步驟S1b)。此處亦若於載置部PASS3B上載置有基板W,便將該基板W收納於卡匣C中(步驟S23)。
ID用搬送機構TID重複施行上述動作。另外,該動作係利用第1控制器93進行控制。藉此,從卡匣C中各一片搬出的基板W便交錯搬送於第1槽11與第3槽13中。
[主搬送機構T1、T3]
因為主搬送機構T3的動作略同於主搬送機構T1的動作,因而僅就主搬送機構T1進行說明。主搬送機構T1移動至載置部PASS1的相對向位置處。此時,主搬送機構T1便將之前從載置部PASS2B所收取到的基板W保持於其一保持臂57(例如57b)上。主搬送機構T1便將所保持的 基板W載置於載置部PASS1B上(步驟S22),同時由另一保持臂57(例如57a)保持載置部PASS1A所載置的基板W。
主搬送機構T1對既定冷卻單元CP1進行存取。在冷卻單元CP1中有已完成既定熱處理(冷卻)的其他基板W。主搬送機構T1便由空的(未保持基板W)保持臂57保持其他基板W,並從冷卻單元CP1中搬出,同時將從載置部PASS1A所收取到的基板W搬入於冷卻單元CP1中。然後,主搬送機構T1保持經冷卻過的基板W,並移動至反射防止膜用塗佈處理單元BARC1中。冷卻單元CP1開始對所搬入的基板W施行熱處理(冷卻)(步驟S2)。另外,然後當主搬送機構T1對各種熱處理單元41、塗佈處理單元31進行存取時,在該等處理單元(31、41)中有已完成既定處理的基板W。
若對反射防止膜用塗佈處理單元BARC1進行存取時,主搬送機構T1便從反射防止膜用塗佈處理單元BARC1中,將已形成反射防止膜的基板W搬出,同時將經冷卻過的基板W放置於反射防止膜用塗佈處理單元BARC1的旋轉保持部32中。然後,主搬送機構T1便保持已形成反射防止膜的基板W,並移動至加熱冷卻單元PHP1。反射防止膜用塗佈處理單元BARC1便開始對旋轉保持部32上所載置的基板W施行處理(步驟S3)。
具體而言,旋轉保持部32係將基板W以水平姿勢進行旋轉,同時利用把持部36把持一噴嘴35,藉由噴嘴移動機構37的驅動而使所把持的噴嘴35移動至基板W上方,再從噴嘴35將反射防止膜用處理液供應給基板W。所供應的處理液擴散於基板W整面,再從基板W上捨棄。杯33便將所捨棄的處理液回收。依此,對基板W施行反射防止膜塗佈形成處理。
若主搬送機構T1對加熱冷卻單元PHP1進行存取,便從加熱冷卻單元PHP1中將經熱處理過的基板W搬出,同時將已形成反射防止膜的基板W投入於加熱冷卻單元PHP1中。然後,主搬送機構T1便保持從加熱冷卻單元PHP1中搬出的基板W,並移動至冷卻單元CP1。加熱冷卻單元PHP1便在2個平板43上依序載置基板W,經在其中一平板43上對基板W加熱後,再於另一平板43上對基板W施行冷卻(步驟S4)。
若主搬送機構T1移動至冷卻單元CP1,將冷卻單元CP1內的基板W搬出,同時將所保持的基板W搬入於冷卻單元CP1中。冷卻單元CP1將所搬入的基板W施行冷卻(步驟S5)。
接著,主搬送機構T1移動至阻劑膜用塗佈處理單元RESIST1。然後,從阻劑膜用塗佈處理單元RESIST1中將已形成阻劑膜的基板W搬出,同時將所保持的基板W搬入於阻劑膜用塗佈處理單元RESIST1中。阻劑膜用塗佈處理單元RESIST1使所搬入的基板W進行旋轉並供應阻劑膜材料,而在基板W上形成阻劑膜(步驟S6)。
主搬送機構T1更進一步移動至加熱冷卻單元PHP1與冷卻單元CP1。然後,將已形成阻劑膜的基板W搬入加熱冷卻單元PHP1中,將經加熱冷卻單元PHP1處理過的基板W移動至冷卻單元CP1,同時在該冷卻單元CP1中收取經處理過的基板W。加熱冷卻單元PHP1與冷卻單元CP1分別對未處理的基板W施行既定處理(步驟S7、S8)。
主搬送機構T1移動至載置部PASS2,並將所保持的基板W載置於載置部PASS2A上(步驟S9),並收取載置部PASS2B上所載置的基板W(步驟S21)。
然後,主搬送機構T1再度對載置部PASS1進行存取,並重複施行 上述動作。另外,該動作由第2控制器94進行控制。藉此,若收取由載置部PASS1所載置的基板W,主搬送機構T1便將該基板W搬送至既定處理單元(本實施例中為冷卻單元CP1)中,同時從該處理單元中將已完成處理的基板W取出。接著,依序移動至複數處理單元,將由各處理單元完成處理的基板W移入處理單元中並替換。在每次在各處理單元(31、41)中將已處理過的基板W取代為未處理基板W時,便開始施行既定處理。所以,在各處理單元中,便同時對複數基板W施行既定處理。針對複數基板W依序進行一連串處理步驟。此時,第2控制器94控制一連串處理步驟的期間成一律。更進一步,最好亦將基板W被搬入至各處理單元(31、41)中的時序、或由各處理單元(31、41)施行處理的行程,控制為在基板W間均呈一致狀態。結果,一連串處理便從先載置於載置部PASS1上的基板W開始依序完成,因而便如同載置於載置部PASS1上的順序,將基板W搬送給載置部PASS2。同樣,主搬送機構T1依照載置部PASS2所收取到的順序,將基板W載置於載置部PASS1上。
[主搬送機構T2、T4]
因為主搬送機構T4的動作係略同於主搬送機構T2的動作,因而僅就主搬送機構T2進行說明。主搬送機構T2移動至載置部PASS2的相對向位置處。此時,主搬送機構T2保持著從之前所存取的冷卻單元CP2中所收取到的基板W。主搬送機構T2將所保持的基板W載置於載置部PASS2B上(步驟S21),同時保持載置部PASS2A上所載置的基板W(步驟S9)。
主搬送機構T2對邊緣曝光單元EEW2進行存取。然後,收取經邊緣曝光單元EEW2既定處理過的基板W,同時將經冷卻過的基板W搬 入至邊緣曝光單元EEW2中。邊緣曝光單元EEW2使所搬入之基板W進行旋轉,並從未圖示光照射部對基板W周緣部施行光照射。藉此,便將基板W周邊施行曝光(步驟S10)。
主搬送機構T2保持從邊緣曝光單元EEW2所收取到的基板W,並存取於載置部PASS5中。然後,將所保持的基板W載置於載置部PASS5A(步驟S11),並保持載置部PASS5B所載置的基板W(步驟S16)。
主搬送機構T2移動至冷卻單元CP2,並將所保持的基板W與冷卻單元CP2內的基板W進行替換。主搬送機構T2保持經冷卻處理過的基板W,並存取於顯影處理單元DEV2中。冷卻單元CP2便開始對新搬入的基板W施行處理(步驟S17)。
主搬送機構T2從顯影處理單元DEV2中將經顯影過的基板W搬出,同時將經冷卻過的基板W放置於顯影處理單元DEV2的旋轉保持部77上。顯影處理單元DEV2便對旋轉保持部77上所放置的基板W施行顯影(步驟S18)。具體而言,旋轉保持部77使基板W依水平姿勢旋轉,並從任一狹縫噴嘴81a朝基板W供應顯影液以對基板W施行顯影。
主搬送機構T2保持經顯影過的基板W,並存取於加熱單元HP2中。然後,從加熱單元HP2中將基板W搬出,同時將所保持的基板W投入於加熱單元HP2中。接著,主搬送機構T2便將從加熱單元HP2中所搬出的基板W搬送於冷卻單元CP2中,同時將經冷卻單元CP2中處理過的基板W取出。加熱單元HP2與冷卻單元CP2分別對未處理基板W施行既定處理(步驟S19、S20)。
然後,主搬送機構T2再度對載置部PASS2進行存取,並重複施行上述動作。另外,該動作由第3控制器95進行控制。藉此,依照載置 於載置部PASS2A上的順序,將基板W搬送給載置部PASS5A。同樣,依照將基板W載置於載置部PASS5B上的順序,將基板W搬送給載置部PASS2B
[IF用搬送機構TIF~第1搬送機構TIFA]
第1搬送機構TIFA對載置部PASS5進行存取,並收取載置部PASS5A上所載置的基板W(步驟S11a)。第1搬送機構TIFA保持所收取到的基板W,並移動至載置部PASS-CP,搬入於載置部PASS-CP內(步驟S12)。
接著,第1搬送機構TIFA從載置部PASS7中收取基板W(步驟S14),並移動至加熱冷卻單元PHP2的相對向位置處。然後,第1搬送機構TIFA從加熱冷卻單元PHP2中,取出已完成熱處理(PEB:Post Exposure Bake)過的基板W,並將從載置部PASS7中所收取到的基板W搬入於加熱冷卻單元PHP2中。加熱冷卻單元PHP2便對未處理的基板W施行熱處理(步驟S15)。
第1搬送機構TIFA將從加熱冷卻單元PHP2中所取出的基板W搬送於載置部PASS5B中。接著,第1搬送機構TIFA將載置部PASS6A上所載置的基板W,搬送給載置部PASS-CP(步驟S11b、12)。接著,第1搬送機構TIFA從載置部PASS7搬入於加熱冷卻單元PHP4中。此時,將經加熱冷卻單元PHP4處理過的基板W取出,並載置於載置部PASS6B上。
然後,第1搬送機構TIFA再度對載置部PASS5進行存取,並重複施行上述動作。另外,該動作由第6控制器98進行控制。依此,藉由從載置部PASS5、PASS6交互搬送於載置部PASS-CP中,而依照ID用搬送機構TID從卡匣C中取出的順序,將基板W載置於載置部PASS-CP上。
但是,由主搬送機構T的對處理單元進行之搬送、及各處理單元的處理控制係依每個槽11~14成獨立。亦即,搬送至載置部PASS5與載置部PASS6的各時序並未調整。因而,有因基板處理或搬送延遲等障礙,導致有搬送給載置部PASS5及載置部PASS6雙方的前後關係並未與從卡匣C中取出的順序一致之情況。此種情況下,根據第6控制器98所進行的控制,使第1搬送機構TIFA產生如下述動作。
在未對載置部PASS5A、或載置部PASS6A中任一者進行基板W搬送的情況下,於將基板W載置於另一載置部時,該載置部上所載置的基板W,並非搬送給載置部PASS-CP,而是變更為先搬送入緩衝部BF中。然後,若開始對已停止搬送的一載置部再度進行基板W載置,便從復原的一載置部中對載置部PASS-CP進行搬送,同時從緩衝部BF對載置部PASS-CP進行搬送。此時,從復原的一載置部、與緩衝部BF交互將基板W搬送入載置部PASS-CP中。藉此,即使對載置部PASS5與載置部PASS6搬送基板W的時序前後關係不同於從卡匣C中取出基板W的順序之情況下,關於搬送至載置部PASS-CP的基板W之順序,仍可與從卡匣C中取出的基板W之順序一致。
[IF用搬送機構TIF~第2搬送機構TIFB]
第2搬送機構TIFB從載置部PASS-CP中取出基板W,並搬送入曝光機EXP中。然後,若收取到從曝光機EXP所搬出經完成曝光過的基板W時,便搬送入載置部PASS7
然後,第2搬送機構TIFB再度對載置部PASS-CP進行存取,並重複施行上述動作。另外,該動作亦是利用第6控制器98進行控制。依此,因為第1、第2搬送機構TIFA、TIFB連動地產生動作,因而依照從卡匣C中取出的順序,將基板W搬送入曝光機EXP中。
依此,根據實施例的基板處理裝置,由於具有2組上下配設的基板處理列Lu、Ld,便可使形成反射防止膜與阻劑膜的處理、以及顯影處理之各處理能力幾近倍增。所以,可大幅改善基板處理裝置的產能。
再者,於各基板處理列Lu、Ld中所設置之主搬送機構T係成1列排列,因而可抑制處理部3的設置面積增加。
上下2個基板處理列Lu(Ld)的主搬送機構T1、T3(T2、T4)、及處理單元的配置,係俯視下略同,因而可將裝置構造簡單化。
再者,藉由將構成上下2個基板處理列Lu、Ld的處理單元設為同種類,且將由上下2個基板處理列Lu、Ld所施行的一連串處理設為相同,便可使裝置構造簡單化。
再者,因為上下槽11、13(12、14)的各處理單元係成相互疊層關係,因而可使由上下2個槽構成的處理區塊Ba、Bb構造簡單化。
再者,因為將共同支撐處理區塊Ba、Bb內的上下2個主搬送機構T、與複數處理單元之框體75,依每個處理區塊Ba、Bb設置,因而可使基板處理裝置效率佳地進行製造,同時可輕易地施行修補。
再者,因為在各搬送空間A1~A4中分別設置第1吹出口61a與排出口62a,因而可將各搬送空間A保持潔淨。
再者,因為第1吹出口61a被配置於搬送空間A上端,排出口62a被配置於搬送空間A下端,因而在搬送空間A中形成略鉛直朝下的氣流。藉此,可防止因來自各熱處理單元41的熱而對搬送空間A、塗佈處理單元31或顯影處理單元DEV的溫度環境造成影響。
再者,因為搬送空間A1(A2)中所設置的排出單元62、與搬送空間A3(A4)中所設置的第1吹出單元61阻隔各搬送空間A1、A3(A2、A4)的環境,因而可分別將各搬送空間A保持潔淨。此外,因為不需要專程 設置阻隔環境的構件,因而可將裝置構造簡單化。
藉由對分別在上下搬送空間A1、A3中設置的第1吹出單元61設置共通的第1氣體供應管63,便可縮小配管設置空間,可使裝置構造簡單化。
再者,因為設置有在ID用搬送機構TID與主搬送機構T1、T3間進行基板W交接的載置部PASS1、PASS3,因而可防止ID用搬送機構TID與主搬送機構T1、T3的搬送效率降低。同樣,各搬送機構間的基板W交接亦是經由載置部PASS執行,而可防止各搬送機構的搬送效率惡化。
再者,因為載置部PASS1、與載置部PASS3的位置相靠近,因而ID用搬送機構TID可以較少的昇降量對載置部PASS1與載置部PASS3進行存取。
再者,藉由設置有主控制器91、與第1至第6控制器93~98,便針對各基板W依從卡匣C中取出的順序、與投入於曝光機EXP中的順序成一致方式進行控制。藉此,可在不需要設置基板W辨識用的構造之情況下,對各基板W進行管理、追蹤調查。
藉由對分別在上下槽11、13(12、14)中所設置的各塗佈處理單元31(各顯影處理單元DEV),設置共通的第2氣體供應管65,可縮小配管設置空間,可將裝置構造簡單化。
本發明並不僅侷限上述實施形態,亦可如下述變化實施。
(1)上述實施例中,雖設置2個基板處理列Lu、Ld,惟並不僅侷限於此。亦可變更為構成3個以上的基板處理列,並上下多層設置。
(2)上述實施例中,雖各基板處理列Lu(Ld)係將2個槽11、12(13、14)相連結構成,惟並不僅侷限於此。亦可將3個以上的槽相連結而構 成基板處理列。
(3)上述實施例中,雖各基板處理列Lu、Ld係對基板W施行形成阻劑膜、反射防止膜的處理、以及對經曝光過的基板W顯影的處理,惟並不僅侷限於此。亦可變更為在基板處理列中對基板W施行諸如洗淨處理等其他處理。藉此,便可適當選擇、設計各處理單元的種類、個數等。此外,亦可省略IF部5而構成基板處理裝置。
(4)上述實施例中,雖2組基板處理列Lu、Ld所施行的一連串處理相同,惟並不僅侷限於此。亦可變更為由各基板處理列Lu、Ld施行不同的處理。
(5)上述實施例中,雖2組基板處理列Lu、Ld的平面佈局略同,惟並不僅侷限於此。亦可變更為依每個基板處理列Lu、Ld(即上下槽間)將主搬送機構T、處理單元配置為不同。
(6)上述實施例中,雖上下槽11、13(12、14)間從主搬送機構T所觀看到的處理單元配置相同,惟並不僅侷限於此,亦可變更為上下槽間不同。
(7)上述實施例中,雖各槽11~14係在搬送空間A二側配置處理單元,但亦可僅在單側配置處理單元。
(8)上述實施例中,雖構成經由載置部PASS施行搬送機構間的交接,惟並不僅侷限於此。例如亦可變更為直接交接。
(9)上述實施例中,亦可構成在各載置部PASS1、PASS2、PASS3、PASS4的上側或下側,配置緩衝部BF、冷卻單元CP等的狀態。藉此,便可將基板W適當暫時放置、或施行冷卻。
(10)上述實施例中,雖IF用搬送機構TIF係由2台搬送機構TIFA、TIFB構成,惟並不僅侷限於此,亦可變更為由1台或3台以上的搬送機 構構成。
(11)上述實施例中,雖未在反射防止膜用塗佈處理單元BARC、與阻劑膜用塗佈處理單元RESIST間設置隔壁等各單元間的環境成相連通狀態,惟並不僅侷限於此。亦可適當構成將二單元的環境阻隔。
(12)上述實施例中,雖構成一第1吹出單元61、與一排出單元62,阻隔各搬送空間A1、A3(A2、A4)的環境,惟並不僅侷限於此。例如亦可構成僅第1吹出單元61或排出單元62其中一者將環境阻隔。或者,構成在排出單元62與第1吹出單元61之外,另設將具有上下關係的各搬送空間A之環境阻隔的阻隔板。
(13)上述實施例中,雖在各搬送空間A上端配置第1吹出單元61,在下端配置排出單元62,惟並不僅侷限於此。亦可構成在搬送空間A的側部配置第1吹出單元61或排出單元62。此外,亦可在相同基板處理列Lu(Ld)的搬送空間A1、A2(A3、A4)中,將第1吹出單元61或排出單元62共通化。
(14)上述實施例中,亦可構成為液處理單元以側視時的配置位置,於各階層之間均相同,熱處理單元以側視時的配置位置,於各階層之間均相同,處理區塊係於俯視時呈矩形。
本發明在不脫離其思想或本質的前提下,可以其他具體形式實施,所以,發明的範圍並非以上的說明,而是應參照所添附的申請專利範圍。
BARC1、BARC3‧‧‧反射防止膜用塗佈處理單元
C‧‧‧卡匣
CP1~CP4‧‧‧冷卻單元
DEV2、DEV4‧‧‧顯影處理單元
EEW2、EEW4‧‧‧邊緣曝光單元
EXP‧‧‧曝光機
HP2、HP4‧‧‧加熱單元
PASS1A~PASS6A、PASS1B~PASS6B、PASS7、PASS-CP‧‧‧載置部
PHP1~PHP4‧‧‧加熱冷卻單元
RESIST1、RESIST3‧‧‧阻劑膜用塗佈處理單元

Claims (20)

  1. 一種基板處理裝置,係對基板進行處理者,其具備有處理區塊及氣體供應源,上述處理區塊具有被疊層之複數個槽,上述槽分別具備有:對基板進行液處理之液處理單元;及被設置於搬送空間,對上述液處理單元搬送基板之主搬送機構;上述氣體供應源對各槽之上述液處理單元供應相同的氣體。
  2. 如請求項1之基板處理裝置,其中,於各槽中,至少2個以上的上述液處理單元係在未隔間的情況下併設。
  3. 如請求項2之基板處理裝置,其中,上述槽分別具備有被設置於上述液處理單元之上方,將氣體朝下方吹出之第2吹出單元,上述第2吹出單元係相對於併設之複數個上述液處理單元,各設置1個。
  4. 如請求項1之基板處理裝置,其中,其具備有被連接於上述氣體供應源,將氣體送至各槽之上述液處理單元的第2氣體供應管。
  5. 如請求項4之基板處理裝置,其中,上述第2氣體供應管係分枝為複數個配管,自上述第2氣體供應管所分枝之複數個配管,分別將氣體送至各槽之上述液處理單元。
  6. 如請求項1之基板處理裝置,其中,上述氣體供應源進一步對各槽之上述搬送空間供應相同的氣體。
  7. 如請求項6之基板處理裝置,其中,上述氣體供應源供應至上述搬送空間之氣體,與上述氣體供應源供應至上述液處理單元之氣體相同。
  8. 如請求項1之基板處理裝置,其中,其具備有被連接於上述氣體供應源,將氣體送至各槽之上述搬送空間的第1氣體供應管。
  9. 如請求項8之基板處理裝置,其中,上述第2氣體供應管係於上述處理區塊內被連通連接於上述第1氣體供應管。
  10. 如請求項9之基板處理裝置,其中,上述第2氣體供應管係於下側之槽之上述液處理單元的下方被連通連接於上述第1氣體供應管。
  11. 一種基板處理裝置,係對基板進行處理者,該基板處理裝置具備有處理區塊及氣體供應源,上述處理區塊具有被疊層之複數個槽,上述槽分別具備有:對基板進行液處理之液處理單元;及被設置於搬送空間,對上述液處理單元搬送基板之主搬送機構;上述氣體供應源對相同槽內之上述液處理單元及上述搬送空間供應相同的氣體。
  12. 如請求項11之基板處理裝置,其中,其具備有:被連接於上述氣體供應源,將氣體送至上述搬送空間之第1氣體供應管;及被連接於上述氣體供應源,將氣體送至上述液處理單元之第2氣體供應管;上述第2氣體供應管係於上述處理區塊內被連通連接於上述第1氣 體供應管。
  13. 如請求項12之基板處理裝置,其中,上述第2氣體供應管係於下側之槽之上述液處理單元的下方被連通連接於上述第1氣體供應管。
  14. 一種基板處理裝置,係對基板進行處理者,該基板處理裝置具備有處理區塊,上述處理區塊具有被疊層之複數個槽,上述槽分別具備有:對基板進行處理之處理單元;及被設置於搬送空間,對上述處理單元搬送基板之主搬送機構;該基板處理裝置具備有用以對各槽之上述搬送空間供應氣體之第1氣體供應管,上述處理單元包含有對基板進行液處理之液處理單元、及對基板進行熱處理之熱處理單元,上述液處理單元係配置於上述搬送空間之一側,上述熱處理單元係配置於上述搬送空間之另一側,上述第1氣體供應管係配置於上述搬送空間內之一側部。
  15. 如請求項14之基板處理裝置,其中,該基板處理裝置具備有用以自各槽之搬送空間將氣體排出之第1氣體排出管,上述第1氣體排出管係配置於上述搬送空間內之另一側部。
  16. 如請求項14之基板處理裝置,其中,上述第1氣體供應管係跨越自上側之槽之搬送空間的上部至下側之槽之搬送空間的下部而設置。
  17. 如請求項14之基板處理裝置,其中, 上述槽分別具備有對上述搬送空間供應氣體之吹出單元,各槽之上述吹出單元係連通連接於上述第1氣體供應管。
  18. 一種基板處理裝置,係對基板進行處理者,該基板處理裝置具備有處理區塊,上述處理區塊具有被疊層之複數個槽,上述槽分別具備有:對基板進行處理之處理單元;及被設置於搬送空間,對上述處理單元搬送基板之主搬送機構;該基板處理裝置具備有用以自各槽之搬送空間將氣體排出之第1氣體排出管,上述處理單元包含有對基板進行液處理之液處理單元、及對基板進行熱處理之熱處理單元,上述液處理單元係配置於上述搬送空間之一側,上述熱處理單元係配置於上述搬送空間之另一側,上述第1氣體排出管係配置於上述搬送空間內之另一側部。
  19. 如請求項18之基板處理裝置,其中,上述第1氣體排出管係跨越自上側之槽之搬送空間的下部至下側之槽之搬送空間的下部而設置。
  20. 如請求項18之基板處理裝置,其中,上述槽分別具備有自上述搬送空間將氣體排出之排出單元,各槽之上述排出單元係連通連接於上述第1氣體排出管。
TW106130479A 2007-06-29 2008-06-27 基板處理裝置 TWI683386B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2007172496A JP5006122B2 (ja) 2007-06-29 2007-06-29 基板処理装置
JP2007-172496 2007-06-29

Publications (2)

Publication Number Publication Date
TW201804559A TW201804559A (zh) 2018-02-01
TWI683386B true TWI683386B (zh) 2020-01-21

Family

ID=39666209

Family Applications (7)

Application Number Title Priority Date Filing Date
TW105134414A TWI602259B (zh) 2007-06-29 2008-06-27 基板處理裝置
TW101118486A TWI489584B (zh) 2007-06-29 2008-06-27 基板處理裝置
TW101118484A TWI502677B (zh) 2007-06-29 2008-06-27 基板處理裝置
TW106130479A TWI683386B (zh) 2007-06-29 2008-06-27 基板處理裝置
TW101118483A TWI489583B (zh) 2007-06-29 2008-06-27 基板處理方法
TW104105567A TWI587438B (zh) 2007-06-29 2008-06-27 基板處理裝置
TW097124376A TWI372440B (en) 2007-06-29 2008-06-27 Substrate treating apparatus

Family Applications Before (3)

Application Number Title Priority Date Filing Date
TW105134414A TWI602259B (zh) 2007-06-29 2008-06-27 基板處理裝置
TW101118486A TWI489584B (zh) 2007-06-29 2008-06-27 基板處理裝置
TW101118484A TWI502677B (zh) 2007-06-29 2008-06-27 基板處理裝置

Family Applications After (3)

Application Number Title Priority Date Filing Date
TW101118483A TWI489583B (zh) 2007-06-29 2008-06-27 基板處理方法
TW104105567A TWI587438B (zh) 2007-06-29 2008-06-27 基板處理裝置
TW097124376A TWI372440B (en) 2007-06-29 2008-06-27 Substrate treating apparatus

Country Status (6)

Country Link
US (7) US8851008B2 (zh)
EP (1) EP2009671B1 (zh)
JP (1) JP5006122B2 (zh)
KR (2) KR101001511B1 (zh)
CN (1) CN101335187B (zh)
TW (7) TWI602259B (zh)

Families Citing this family (52)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5006122B2 (ja) 2007-06-29 2012-08-22 株式会社Sokudo 基板処理装置
JP5160204B2 (ja) * 2007-11-30 2013-03-13 株式会社Sokudo 基板処理装置
JP5318403B2 (ja) 2007-11-30 2013-10-16 株式会社Sokudo 基板処理装置
JP5128918B2 (ja) 2007-11-30 2013-01-23 株式会社Sokudo 基板処理装置
KR100892756B1 (ko) * 2007-12-27 2009-04-15 세메스 주식회사 기판 처리 장치 및 이를 이용한 기판 이송 방법
JP5179170B2 (ja) * 2007-12-28 2013-04-10 株式会社Sokudo 基板処理装置
JP5001828B2 (ja) 2007-12-28 2012-08-15 株式会社Sokudo 基板処理装置
JP5359285B2 (ja) * 2009-01-07 2013-12-04 東京エレクトロン株式会社 処理装置及び処理装置の運転方法
JP5462506B2 (ja) 2009-03-18 2014-04-02 株式会社Sokudo 基板処理装置
KR101690970B1 (ko) * 2010-02-19 2016-12-29 주성엔지니어링(주) 기판 처리 시스템 및 기판 반송 방법
JP5551625B2 (ja) * 2011-01-13 2014-07-16 東京エレクトロン株式会社 基板処理装置及び基板処理方法
JP5883232B2 (ja) * 2011-03-26 2016-03-09 東京エレクトロン株式会社 基板処理装置
JP5666361B2 (ja) 2011-03-29 2015-02-12 株式会社Screenセミコンダクターソリューションズ 基板処理装置
TWI523134B (zh) * 2011-09-22 2016-02-21 東京威力科創股份有限公司 基板處理系統、基板搬運方法、及電腦記憶媒體
JP5673480B2 (ja) * 2011-10-14 2015-02-18 東京エレクトロン株式会社 基板処理装置
JP5450562B2 (ja) * 2011-10-20 2014-03-26 株式会社日本製鋼所 薄膜を有する成形品の製造方法および製造装置
JP5565422B2 (ja) * 2012-02-08 2014-08-06 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
KR101898134B1 (ko) * 2012-03-30 2018-10-05 삼성전자주식회사 리드 프레임 이송용 매거진
JP2013247197A (ja) * 2012-05-24 2013-12-09 Sokudo Co Ltd 基板処理装置
JP2014038929A (ja) * 2012-08-15 2014-02-27 Disco Abrasive Syst Ltd インラインシステム
US9405194B2 (en) * 2012-11-30 2016-08-02 Semes Co., Ltd. Facility and method for treating substrate
JP5541398B1 (ja) * 2013-07-02 2014-07-09 日本精工株式会社 テーブル装置、及び搬送装置
JP6121846B2 (ja) * 2013-08-15 2017-04-26 株式会社Screenホールディングス 基板処理装置、基板処理方法、および基板処理システム
JP5977728B2 (ja) * 2013-11-14 2016-08-24 東京エレクトロン株式会社 基板処理システム
US10236196B2 (en) * 2013-11-14 2019-03-19 Tokyo Electron Limited Substrate processing system
JP5758509B2 (ja) * 2014-01-17 2015-08-05 株式会社Screenセミコンダクターソリューションズ 基板処理方法および基板処理装置
CN103928378A (zh) * 2014-04-15 2014-07-16 沈阳拓荆科技有限公司 双层传片腔体
JP5852219B2 (ja) * 2014-12-24 2016-02-03 株式会社Screenセミコンダクターソリューションズ 基板処理方法および基板処理装置
JP6511858B2 (ja) 2015-02-27 2019-05-15 シンフォニアテクノロジー株式会社 搬送室
JP6292155B2 (ja) * 2015-03-19 2018-03-14 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
JP6564642B2 (ja) * 2015-07-23 2019-08-21 東京エレクトロン株式会社 基板搬送室、基板処理システム、及び基板搬送室内のガス置換方法
JP6548513B2 (ja) 2015-08-21 2019-07-24 株式会社Screenホールディングス 基板処理装置
EP3147621B1 (en) * 2015-09-24 2019-09-11 ABB Schweiz AG Cooling device and method for cooling at least two power electronic devices
JP6503280B2 (ja) 2015-11-12 2019-04-17 株式会社Screenホールディングス 基板処理装置
JP6503281B2 (ja) * 2015-11-13 2019-04-17 株式会社Screenホールディングス 基板処理装置
JP6195601B2 (ja) * 2015-12-03 2017-09-13 株式会社Screenセミコンダクターソリューションズ 基板処理方法および基板処理装置
JP6723110B2 (ja) * 2016-08-18 2020-07-15 株式会社Screenホールディングス 基板処理装置および基板処理方法
EP3596752A4 (en) * 2017-03-15 2021-01-06 LAM Research Corporation SPACE-SAVING PLATFORM ARCHITECTURE WITH LINEAR VACUUM TRANSFER MODULE
JP7115947B2 (ja) 2018-09-21 2022-08-09 株式会社Screenホールディングス 基板処理装置
JP7178223B2 (ja) * 2018-09-21 2022-11-25 株式会社Screenホールディングス 基板処理装置
JP7195841B2 (ja) * 2018-09-21 2022-12-26 株式会社Screenホールディングス 基板処理装置
JP7181068B2 (ja) 2018-11-30 2022-11-30 株式会社Screenホールディングス 基板処理装置
JP7133451B2 (ja) * 2018-11-30 2022-09-08 株式会社Screenホールディングス 基板処理装置
JP6655206B2 (ja) * 2019-03-25 2020-02-26 株式会社Screenホールディングス 基板処理装置
JP6941697B2 (ja) * 2019-03-25 2021-09-29 株式会社Screenホールディングス 基板処理装置
JP7458718B2 (ja) * 2019-07-19 2024-04-01 株式会社Screenホールディングス 基板処理装置および基板搬送方法
US11898248B2 (en) 2019-12-18 2024-02-13 Jiangsu Favored Nanotechnology Co., Ltd. Coating apparatus and coating method
TWI775073B (zh) * 2020-05-07 2022-08-21 台灣積體電路製造股份有限公司 光固化的方法及其設備
JP7437599B2 (ja) 2020-05-12 2024-02-26 東京エレクトロン株式会社 基板処理装置及び基板処理方法
JP2022039827A (ja) 2020-08-28 2022-03-10 株式会社Screenホールディングス 基板処理装置および基板処理方法
KR102583261B1 (ko) * 2020-10-28 2023-09-27 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
KR20230029443A (ko) * 2021-08-24 2023-03-03 주식회사 케이씨텍 기판 세정 라인 및 이를 포함하는 기판 세정 시스템

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6454472B1 (en) * 1999-12-06 2002-09-24 Dns Korea Co., Ltd. Semiconductor manufacturing apparatus for photolithographic process

Family Cites Families (331)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3249765B2 (ja) * 1997-05-07 2002-01-21 東京エレクトロン株式会社 基板処理装置
US4409889A (en) 1981-11-02 1983-10-18 Burleson Maurice L Modular clean room
DE3347438A1 (de) 1983-12-29 1985-07-18 Ulrich 2814 Bruchhausen-Vilsen Grigat Multivalenter heizkoerper zur raumluftbeheizung
JPH065689Y2 (ja) 1986-12-26 1994-02-16 小橋工業株式会社 正逆回転ロ−タリ作業機のフロントカバ−
JPH065689B2 (ja) 1987-12-08 1994-01-19 富士電機株式会社 超音波ボンデングツール
KR970003907B1 (ko) 1988-02-12 1997-03-22 도오교오 에레구토론 가부시끼 가이샤 기판처리 장치 및 기판처리 방법
US5177514A (en) * 1988-02-12 1993-01-05 Tokyo Electron Limited Apparatus for coating a photo-resist film and/or developing it after being exposed
US5202716A (en) * 1988-02-12 1993-04-13 Tokyo Electron Limited Resist process system
JP2559617B2 (ja) * 1988-03-24 1996-12-04 キヤノン株式会社 基板処理装置
US5536128A (en) 1988-10-21 1996-07-16 Hitachi, Ltd. Method and apparatus for carrying a variety of products
JPH085812B2 (ja) 1988-10-27 1996-01-24 三新化学工業株式会社 酸アミド化合物の製造方法
JPH02197599A (ja) * 1989-01-25 1990-08-06 Yamaha Motor Co Ltd 金属表面の化学処理装置
JP2683675B2 (ja) 1989-01-26 1997-12-03 東京エレクトロン株式会社 搬送装置
JPH085812Y2 (ja) 1989-12-05 1996-02-21 沖電気工業株式会社 印字ヘッド駆動回路
JPH081921B2 (ja) 1990-01-13 1996-01-10 東京エレクトロン株式会社 半導体製造装置
ES2020758A6 (es) 1990-02-08 1991-09-16 Balzola Elorza Martin Msnipulador automatico para lamacenes.
JP2704309B2 (ja) * 1990-06-12 1998-01-26 大日本スクリーン製造株式会社 基板処理装置及び基板の熱処理方法
JP2919925B2 (ja) 1990-07-26 1999-07-19 東京エレクトロン株式会社 処理装置
US5668056A (en) 1990-12-17 1997-09-16 United Microelectronics Corporation Single semiconductor wafer transfer method and manufacturing system
US5297910A (en) 1991-02-15 1994-03-29 Tokyo Electron Limited Transportation-transfer device for an object of treatment
US5275709A (en) * 1991-11-07 1994-01-04 Leybold Aktiengesellschaft Apparatus for coating substrates, preferably flat, more or less plate-like substrates
JPH065689A (ja) 1992-06-17 1994-01-14 Toshiba Corp 半導体基板処理システム
JP3174409B2 (ja) 1992-09-08 2001-06-11 株式会社日立国際電気 半導体製造装置、及び半導体製造装置に於ける基板処理方法
JP3338343B2 (ja) 1992-12-21 2002-10-28 大日本スクリーン製造株式会社 基板処理装置
KR970011065B1 (ko) * 1992-12-21 1997-07-05 다이닛뽕 스크린 세이조오 가부시키가이샤 기판처리장치와 기판처리장치에 있어서 기판교환장치 및 기판교환방법
TW276353B (zh) 1993-07-15 1996-05-21 Hitachi Seisakusyo Kk
EP0634699A1 (en) 1993-07-16 1995-01-18 Semiconductor Systems, Inc. Clustered photolithography system
US5565034A (en) * 1993-10-29 1996-10-15 Tokyo Electron Limited Apparatus for processing substrates having a film formed on a surface of the substrate
US5518542A (en) 1993-11-05 1996-05-21 Tokyo Electron Limited Double-sided substrate cleaning apparatus
JPH07263302A (ja) 1994-03-18 1995-10-13 Fujitsu Ltd レジストの現像方法
JP2994553B2 (ja) 1994-04-08 1999-12-27 大日本スクリーン製造株式会社 基板処理装置
JPH07297258A (ja) 1994-04-26 1995-11-10 Tokyo Electron Ltd 板状体の搬送装置
US5826129A (en) 1994-06-30 1998-10-20 Tokyo Electron Limited Substrate processing system
JP3122868B2 (ja) * 1994-09-29 2001-01-09 東京エレクトロン株式会社 塗布装置
JP3592771B2 (ja) 1994-12-07 2004-11-24 大日本スクリーン製造株式会社 基板処理装置
TW297910B (zh) 1995-02-02 1997-02-11 Tokyo Electron Co Ltd
US5677758A (en) 1995-02-09 1997-10-14 Mrs Technology, Inc. Lithography System using dual substrate stages
JP3069945B2 (ja) * 1995-07-28 2000-07-24 東京エレクトロン株式会社 処理装置
KR100310249B1 (ko) 1995-08-05 2001-12-17 엔도 마코토 기판처리장치
US5788868A (en) 1995-09-04 1998-08-04 Dainippon Screen Mfg. Co., Ltd. Substrate transfer method and interface apparatus
JPH09148240A (ja) 1995-11-24 1997-06-06 Dainippon Screen Mfg Co Ltd 基板処理装置
JP3575717B2 (ja) 1995-12-28 2004-10-13 大日本スクリーン製造株式会社 基板処理装置
US5842917A (en) * 1996-01-11 1998-12-01 United Microelectronics Corproration Automated manufacturing plant for semiconductor devices
JPH09251953A (ja) 1996-01-12 1997-09-22 Sony Corp レジスト現像方法
JPH09199568A (ja) 1996-01-22 1997-07-31 Dainippon Screen Mfg Co Ltd 基板処理装置
JP3938409B2 (ja) 1996-01-22 2007-06-27 大日本スクリーン製造株式会社 基板処理装置
TW317644B (zh) 1996-01-26 1997-10-11 Tokyo Electron Co Ltd
JP3890393B2 (ja) 1996-01-29 2007-03-07 株式会社Sokudo 回転式基板塗布装置
JP3859800B2 (ja) 1996-03-19 2006-12-20 大日本スクリーン製造株式会社 基板処理装置のフロー管理方法及びフロー管理装置
JP3565650B2 (ja) 1996-04-03 2004-09-15 富士通株式会社 Ac型pdpの駆動方法及び表示装置
US6176667B1 (en) * 1996-04-30 2001-01-23 Applied Materials, Inc. Multideck wafer processing system
TW333658B (en) * 1996-05-30 1998-06-11 Tokyo Electron Co Ltd The substrate processing method and substrate processing system
US6062798A (en) * 1996-06-13 2000-05-16 Brooks Automation, Inc. Multi-level substrate processing apparatus
JPH1050794A (ja) 1996-08-01 1998-02-20 Dainippon Screen Mfg Co Ltd 基板処理装置および方法
KR100269097B1 (ko) 1996-08-05 2000-12-01 엔도 마코토 기판처리장치
JP3415404B2 (ja) 1996-08-29 2003-06-09 東京エレクトロン株式会社 処理システム
JP3278714B2 (ja) 1996-08-30 2002-04-30 東京エレクトロン株式会社 塗布膜形成装置
JP3571471B2 (ja) * 1996-09-03 2004-09-29 東京エレクトロン株式会社 処理方法,塗布現像処理システム及び処理システム
JP3779393B2 (ja) 1996-09-06 2006-05-24 東京エレクトロン株式会社 処理システム
TW466622B (en) 1996-09-11 2001-12-01 Hitachi Ltd Operating method of vacuum processing device and vacuum processing device
JP3771347B2 (ja) 1997-03-19 2006-04-26 株式会社日立製作所 真空処理装置及び真空処理方法
JP3619346B2 (ja) * 1996-09-19 2005-02-09 大日本スクリーン製造株式会社 基板処理装置及び方法
JP3082688B2 (ja) 1996-11-05 2000-08-28 ヤマハ株式会社 配線形成法
TW353777B (en) * 1996-11-08 1999-03-01 Tokyo Electron Ltd Treatment device
CN1144263C (zh) 1996-11-28 2004-03-31 株式会社尼康 曝光装置以及曝光方法
US6099643A (en) * 1996-12-26 2000-08-08 Dainippon Screen Mfg. Co., Ltd. Apparatus for processing a substrate providing an efficient arrangement and atmospheric isolation of chemical treatment section
JP3429964B2 (ja) 1996-12-26 2003-07-28 大日本スクリーン製造株式会社 基板処理装置
JPH10209241A (ja) 1997-01-16 1998-08-07 Dainippon Screen Mfg Co Ltd 基板搬送装置およびそれを備えた基板処理装置
JP3579228B2 (ja) 1997-01-24 2004-10-20 大日本スクリーン製造株式会社 基板処理装置
JP4080021B2 (ja) 1997-03-19 2008-04-23 大日本スクリーン製造株式会社 基板処理装置
JP3882954B2 (ja) 1997-03-19 2007-02-21 Tdk株式会社 チップ型積層セラミックコンデンサ
US5788477A (en) 1997-03-26 1998-08-04 Jones; Wendyle Gas flare
JPH10294351A (ja) 1997-04-21 1998-11-04 Sharp Corp 半導体装置製造用クリーンボックス、及び半導体装置の製造システム並びに製造方法
TW420829B (en) * 1997-05-22 2001-02-01 Tokyo Electron Ltd Treatment device and method, impurity removing apparatus
JPH10335415A (ja) 1997-05-30 1998-12-18 Dainippon Screen Mfg Co Ltd 処理時間の設定方法
JP3600711B2 (ja) 1997-05-30 2004-12-15 大日本スクリーン製造株式会社 基板処理装置
JPH113581A (ja) 1997-06-11 1999-01-06 Sony Corp 蓋開閉装置及び方法
JPH113851A (ja) * 1997-06-11 1999-01-06 Tokyo Electron Ltd 液処理装置及び液処理方法
JPH1116978A (ja) 1997-06-19 1999-01-22 Dainippon Screen Mfg Co Ltd 基板処理装置
JPH1126550A (ja) 1997-07-04 1999-01-29 Tokyo Electron Ltd 基板搬送装置およびそれを用いた基板処理装置
US6151981A (en) 1997-07-24 2000-11-28 Costa; Larry J. Two-axis cartesian robot
JPH1154588A (ja) 1997-07-30 1999-02-26 Tokyo Electron Ltd 基板搬送装置およびそれを用いた基板処理装置
TW385488B (en) 1997-08-15 2000-03-21 Tokyo Electron Ltd substrate processing device
US6287023B1 (en) * 1997-09-22 2001-09-11 Tokyo Electron Limited Processing apparatus and method
JPH11111603A (ja) 1997-10-07 1999-04-23 Dainippon Screen Mfg Co Ltd 基板現像方法及びその装置
US5962070A (en) 1997-09-25 1999-10-05 Dainippon Screen Mfg. Co., Ltd. Substrate treating method and apparatus
US6235634B1 (en) 1997-10-08 2001-05-22 Applied Komatsu Technology, Inc. Modular substrate processing system
JPH11156278A (ja) 1997-11-27 1999-06-15 Dainippon Screen Mfg Co Ltd 処理液吐出ノズル及びそれを備えた基板処理装置
US6270306B1 (en) 1998-01-14 2001-08-07 Applied Materials, Inc. Wafer aligner in center of front end frame of vacuum system
KR20010041031A (ko) * 1998-04-02 2001-05-15 오노 시게오 기판처리장치와 그 방법 및 노광장치와 그 방법
KR100265287B1 (ko) 1998-04-21 2000-10-02 윤종용 반도체소자 제조용 식각설비의 멀티챔버 시스템
JP3381776B2 (ja) 1998-05-19 2003-03-04 東京エレクトロン株式会社 処理装置および処理方法
US6266125B1 (en) 1998-05-25 2001-07-24 Tokyo Electron Limited Resist processing method and apparatus
JP3481499B2 (ja) 1998-05-25 2003-12-22 東京エレクトロン株式会社 レジスト処理方法及びレジスト処理装置
JP3884570B2 (ja) * 1998-05-29 2007-02-21 大日本スクリーン製造株式会社 基板処理装置
US6292708B1 (en) 1998-06-11 2001-09-18 Speedfam-Ipec Corporation Distributed control system for a semiconductor wafer processing machine
JP3445937B2 (ja) 1998-06-24 2003-09-16 東京エレクトロン株式会社 多段スピン型基板処理システム
TW428216B (en) 1998-07-29 2001-04-01 Tokyo Electron Ltd Substrate process method and substrate process apparatus
JP3745167B2 (ja) 1998-07-29 2006-02-15 キヤノン株式会社 ステージ装置、露光装置およびデバイス製造方法ならびにステージ駆動方法
US6287025B1 (en) * 1998-08-14 2001-09-11 Tokyo Electron Limited Substrate processing apparatus
JP3441681B2 (ja) 1998-08-14 2003-09-02 東京エレクトロン株式会社 処理装置
JP2000068188A (ja) 1998-08-24 2000-03-03 Dainippon Screen Mfg Co Ltd 現像装置および現像方法
JP3442669B2 (ja) 1998-10-20 2003-09-02 東京エレクトロン株式会社 基板処理装置
JP3662150B2 (ja) 1998-10-30 2005-06-22 東京エレクトロン株式会社 処理システム
JP2000195925A (ja) * 1998-12-28 2000-07-14 Anelva Corp 基板処理装置
JP3273031B2 (ja) * 1999-01-08 2002-04-08 東京エレクトロン株式会社 基板処理装置
US6654668B1 (en) * 1999-02-16 2003-11-25 Tokyo Electron Limited Processing apparatus, processing system, distinguishing method, and detecting method
JP2000269297A (ja) * 1999-03-16 2000-09-29 Tokyo Ohka Kogyo Co Ltd 処理ユニット構築体
JP3542919B2 (ja) 1999-03-18 2004-07-14 東京エレクトロン株式会社 基板処理装置
JP2000311846A (ja) 1999-04-27 2000-11-07 Sony Corp レジスト現像方法およびレジスト現像装置
JP2000332080A (ja) 1999-05-21 2000-11-30 Matsushita Electronics Industry Corp 被処理物の製造方法と製造装置
JP3462426B2 (ja) 1999-05-24 2003-11-05 東京エレクトロン株式会社 基板処理装置
JP3442686B2 (ja) 1999-06-01 2003-09-02 東京エレクトロン株式会社 基板処理装置
JP3605545B2 (ja) 1999-06-09 2004-12-22 東京エレクトロン株式会社 現像処理方法および現像処理装置
TW505822B (en) 1999-06-09 2002-10-11 Tokyo Electron Ltd Developing method and developing apparatus
US6464789B1 (en) * 1999-06-11 2002-10-15 Tokyo Electron Limited Substrate processing apparatus
US6338582B1 (en) * 1999-06-30 2002-01-15 Tokyo Electron Limited Substrate delivery apparatus and coating and developing processing system
US6426303B1 (en) * 1999-07-16 2002-07-30 Tokyo Electron Limited Processing system
JP4294837B2 (ja) * 1999-07-16 2009-07-15 東京エレクトロン株式会社 処理システム
JP3535997B2 (ja) 1999-10-01 2004-06-07 東京エレクトロン株式会社 現像処理装置及び現像処理方法
US6402401B1 (en) * 1999-10-19 2002-06-11 Tokyo Electron Limited Substrate processing apparatus and substrate processing method
TW518639B (en) 1999-11-18 2003-01-21 Tokyo Electron Ltd Heat treatment device, cooling treatment device and cooling treatment method
US6402508B2 (en) * 1999-12-09 2002-06-11 Tokyo Electron Limited Heat and cooling treatment apparatus and substrate processing system
US6485203B2 (en) * 1999-12-20 2002-11-26 Tokyo Electron Limited Substrate processing method and substrate processing apparatus
WO2001054187A1 (fr) 2000-01-17 2001-07-26 Ebara Corporation Appareil de commande de transfert de tranches et procede de transfert de tranches
TW511169B (en) * 2000-02-01 2002-11-21 Tokyo Electron Ltd Substrate processing apparatus and substrate processing method
US6432842B2 (en) 2000-03-30 2002-08-13 Tokyo Electron Limited Coating method and coating apparatus
US6919001B2 (en) 2000-05-01 2005-07-19 Intevac, Inc. Disk coating system
JP2002057100A (ja) * 2000-05-31 2002-02-22 Canon Inc 露光装置、コートデベロップ装置、デバイス製造システム、デバイス製造方法、半導体製造工場および露光装置の保守方法
JP4915033B2 (ja) * 2000-06-15 2012-04-11 株式会社ニコン 露光装置、基板処理装置及びリソグラフィシステム、並びにデバイス製造方法
KR100741186B1 (ko) 2000-08-23 2007-07-19 동경 엘렉트론 주식회사 피처리체의 처리시스템
JP3587776B2 (ja) * 2000-10-10 2004-11-10 東京エレクトロン株式会社 塗布装置及び塗布方法
JP2002134396A (ja) * 2000-10-25 2002-05-10 Sony Corp 半導体装置の製造方法および半導体パターン自動調節装置
US6491451B1 (en) * 2000-11-03 2002-12-10 Motorola, Inc. Wafer processing equipment and method for processing wafers
JP3616748B2 (ja) 2000-11-07 2005-02-02 東京エレクトロン株式会社 現像処理方法,現像処理装置及び処理装置
JP3943828B2 (ja) * 2000-12-08 2007-07-11 東京エレクトロン株式会社 塗布、現像装置及びパターン形成方法
JP4124400B2 (ja) 2001-01-19 2008-07-23 大日本スクリーン製造株式会社 基板処理装置
US6558053B2 (en) * 2001-04-19 2003-05-06 Dainippon Screen Mfg. Co., Ltd. Substrate processing apparatus
JP3898906B2 (ja) 2001-05-22 2007-03-28 東京エレクトロン株式会社 基板の塗布装置
KR100387418B1 (ko) * 2001-05-23 2003-06-18 한국디엔에스 주식회사 반도체 제조 공정에서 사용되는 스피너 시스템
JP2003007594A (ja) 2001-06-21 2003-01-10 Dainippon Screen Mfg Co Ltd 基板熱処理装置
JP2003022962A (ja) * 2001-07-10 2003-01-24 Canon Inc 露光システム、デバイス製造方法、半導体製造工場および露光装置の保守方法
US6750155B2 (en) * 2001-08-08 2004-06-15 Lam Research Corporation Methods to minimize moisture condensation over a substrate in a rapid cycle chamber
JP2003059810A (ja) 2001-08-20 2003-02-28 Nec Kansai Ltd 薬液処理装置
JP2003142547A (ja) * 2001-08-24 2003-05-16 Hirata Corp ワーク搬送装置
JP2003077785A (ja) 2001-09-04 2003-03-14 Canon Inc デバイス製造装置
KR20030026862A (ko) 2001-09-25 2003-04-03 다이닛뽕스크린 세이조오 가부시키가이샤 기판 처리장치 제어 시스템 및 기판 처리장치
JP2003188229A (ja) * 2001-12-18 2003-07-04 Hitachi Kasado Eng Co Ltd ウエハ製造システムおよびウエハ製造方法
US20030131458A1 (en) 2002-01-15 2003-07-17 Applied Materials, Inc. Apparatus and method for improving throughput in a cluster tool for semiconductor wafer processing
JP4153781B2 (ja) * 2002-01-31 2008-09-24 大日本スクリーン製造株式会社 熱処理装置および基板処理装置
JP3916473B2 (ja) 2002-01-31 2007-05-16 東京エレクトロン株式会社 基板処理装置および基板処理方法
JP4195227B2 (ja) * 2002-02-22 2008-12-10 東京エレクトロン株式会社 被処理体の導入ポート構造
JP4162420B2 (ja) 2002-04-16 2008-10-08 大日本スクリーン製造株式会社 基板処理装置
JP3862596B2 (ja) 2002-05-01 2006-12-27 東京エレクトロン株式会社 基板処理方法
JP4342147B2 (ja) * 2002-05-01 2009-10-14 大日本スクリーン製造株式会社 基板処理装置
FR2839331B1 (fr) * 2002-05-02 2004-07-16 Cit Alcatel Installation de fabrication de composants semi-conducteurs a faux-plancher ventile
JP3966211B2 (ja) * 2002-05-08 2007-08-29 株式会社ニコン 露光方法、露光装置及びデバイス製造方法
KR20030087417A (ko) 2002-05-09 2003-11-14 엘지전자 주식회사 개인 정보 단말기의 자동 전원 오프 장치 및 방법
KR20030087418A (ko) 2002-05-09 2003-11-14 엘지전자 주식회사 모뎀 라인을 이용한 펌웨어 갱신 방법
JP4073251B2 (ja) * 2002-05-21 2008-04-09 東京エレクトロン株式会社 基板処理装置
JP2003347186A (ja) * 2002-05-23 2003-12-05 Dainippon Screen Mfg Co Ltd 基板処理装置
US6645880B1 (en) 2002-06-10 2003-11-11 Dainippon Screen Mfg. Co., Ltd. Treating solution applying method
JP4084167B2 (ja) 2002-06-10 2008-04-30 株式会社Sokudo 処理液塗布方法
JP2004015023A (ja) * 2002-06-11 2004-01-15 Dainippon Screen Mfg Co Ltd 基板処理装置およびその方法
JP2004015021A (ja) 2002-06-11 2004-01-15 Dainippon Screen Mfg Co Ltd 基板処理装置
US6832863B2 (en) * 2002-06-11 2004-12-21 Dainippon Screen Mfg. Co., Ltd. Substrate treating apparatus and method
US6807455B2 (en) 2002-06-26 2004-10-19 Dainippon Screen Mfg. Co. Ltd. System for and method of processing substrate
JP2004046450A (ja) 2002-07-10 2004-02-12 Fujitsu Ten Ltd 救急搬送システム
US6843882B2 (en) * 2002-07-15 2005-01-18 Applied Materials, Inc. Gas flow control in a wafer processing system having multiple chambers for performing same process
JP2004087675A (ja) 2002-08-26 2004-03-18 Dainippon Screen Mfg Co Ltd 基板処理装置
JP4025613B2 (ja) * 2002-09-27 2007-12-26 株式会社アドバンテスト 電子ビーム露光装置、電子ビーム露光装置校正方法、及び半導体素子製造方法
JP4133208B2 (ja) 2002-10-22 2008-08-13 東京エレクトロン株式会社 基板処理装置
JP4018965B2 (ja) 2002-10-28 2007-12-05 東京エレクトロン株式会社 基板処理装置
JP4087328B2 (ja) 2002-11-28 2008-05-21 東京エレクトロン株式会社 塗布、現像装置及び塗布、現像装置の運転方法
JP3999649B2 (ja) 2002-12-19 2007-10-31 大日本スクリーン製造株式会社 基板処理装置とその動作方法、およびプログラム
JP2004207279A (ja) 2002-12-20 2004-07-22 Rorze Corp 薄板状物製造設備
JP4170864B2 (ja) * 2003-02-03 2008-10-22 大日本スクリーン製造株式会社 基板処理装置および基板処理装置における基板搬送方法および基板処理方法
JP2004241319A (ja) 2003-02-07 2004-08-26 Sony Corp 成膜装置
JP2004304003A (ja) 2003-03-31 2004-10-28 Tokyo Electron Ltd 処理システム
JP4233908B2 (ja) * 2003-04-02 2009-03-04 東京エレクトロン株式会社 基板処理システム
JP4357861B2 (ja) 2003-04-07 2009-11-04 大日本スクリーン製造株式会社 基板処理装置
JP2004336024A (ja) 2003-04-16 2004-11-25 Tokyo Electron Ltd 基板処理システム、基板処理方法及び該方法を実行するプログラム
JP4307132B2 (ja) 2003-04-16 2009-08-05 大日本スクリーン製造株式会社 基板処理装置
JP2004342654A (ja) 2003-05-13 2004-12-02 Dainippon Screen Mfg Co Ltd 基板処理装置
US6876439B2 (en) * 2003-05-29 2005-04-05 Asml Holding N.V. Method to increase throughput in a dual substrate stage double exposure lithography system
US20090144208A1 (en) * 2004-06-11 2009-06-04 Donald Blust Automated business system and method of vending and returning a consumer product
KR100524875B1 (ko) * 2003-06-28 2005-10-31 엘지.필립스 엘시디 주식회사 청정시스템
JP2005046694A (ja) 2003-07-31 2005-02-24 Toshiba Corp 塗布膜形成方法及び塗布装置
US6879866B2 (en) * 2003-08-04 2005-04-12 Asml Netherlands B.V. Method, computer program product and apparatus for scheduling maintenance actions in a substrate processing system
JP2005057294A (ja) 2003-08-07 2005-03-03 Asml Netherlands Bv インタフェースユニット、該インタフェースユニットを含むリソグラフィ投影装置、及びデバイス製造方法
JP4137750B2 (ja) * 2003-09-17 2008-08-20 株式会社Sokudo 熱処理装置、熱処理方法および基板処理装置
JP4105617B2 (ja) * 2003-09-19 2008-06-25 大日本スクリーン製造株式会社 基板処理装置
JP4108027B2 (ja) 2003-09-22 2008-06-25 大日本スクリーン製造株式会社 基板処理装置
JP2005101079A (ja) 2003-09-22 2005-04-14 Dainippon Screen Mfg Co Ltd 基板処理装置
JP4079861B2 (ja) 2003-09-22 2008-04-23 大日本スクリーン製造株式会社 基板処理装置
US7387485B2 (en) * 2003-09-29 2008-06-17 Quantum Corporation Cartridge transport assembly
KR100521401B1 (ko) 2003-11-24 2005-10-12 세메스 주식회사 기판세정시스템
JP4322086B2 (ja) 2003-10-14 2009-08-26 大日本スクリーン製造株式会社 基板処理装置およびその方法
KR100546503B1 (ko) 2003-11-27 2006-01-26 다이닛뽕스크린 세이조오 가부시키가이샤 기판처리장치 및 그 방법
JP2005167083A (ja) 2003-12-04 2005-06-23 Daifuku Co Ltd ガラス基板用の搬送設備
JP4342921B2 (ja) 2003-12-09 2009-10-14 東京エレクトロン株式会社 基板処理装置の制御方法及び基板処理装置
JP4381121B2 (ja) * 2003-12-11 2009-12-09 大日本スクリーン製造株式会社 基板処理装置
JP4369325B2 (ja) 2003-12-26 2009-11-18 東京エレクトロン株式会社 現像装置及び現像処理方法
JP4376072B2 (ja) 2004-01-16 2009-12-02 東京エレクトロン株式会社 基板処理装置及び基板処理方法
JP2005243690A (ja) * 2004-02-24 2005-09-08 Dainippon Screen Mfg Co Ltd 基板処理装置
JP4537109B2 (ja) 2004-04-16 2010-09-01 東京エレクトロン株式会社 現像処理装置および現像処理方法
US7326505B2 (en) 2004-05-26 2008-02-05 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
KR101037087B1 (ko) 2004-06-29 2011-05-26 엘지디스플레이 주식회사 엠엠지용 기판 생산장비
JP4381909B2 (ja) * 2004-07-06 2009-12-09 大日本スクリーン製造株式会社 基板処理装置および基板処理方法
US20060011296A1 (en) * 2004-07-16 2006-01-19 Tokyo Electron Limited Substrate processing apparatus, substrate processing method, and computer program
JP3870207B2 (ja) * 2004-08-05 2007-01-17 キヤノン株式会社 液浸露光装置及びデバイス製造方法
EP1796145A4 (en) * 2004-08-30 2010-10-06 Nikon Corp EXPOSURE DEVICE, OPERATION DECISION METHOD, SUBSTRATE PROCESSING SYSTEM, MAINTENANCE MANAGEMENT METHOD, AND DEVICE MANUFACTURING METHOD
US7623565B2 (en) 2004-09-20 2009-11-24 Cypress Semiconductor Corporation Method for providing packet framing in a communication system
KR101069821B1 (ko) 2004-10-15 2011-10-04 세메스 주식회사 반도체 기판 제조에 사용되는 포토 리소그래피 장치
JP5154008B2 (ja) * 2004-11-10 2013-02-27 株式会社Sokudo 基板処理装置および基板処理方法
JP2006310724A (ja) * 2004-11-10 2006-11-09 Dainippon Screen Mfg Co Ltd 基板処理装置および基板処理方法
JP4381285B2 (ja) * 2004-11-11 2009-12-09 株式会社Sokudo 基板処理装置および基板処理方法
JP4926433B2 (ja) * 2004-12-06 2012-05-09 株式会社Sokudo 基板処理装置および基板処理方法
JP5154007B2 (ja) * 2004-12-06 2013-02-27 株式会社Sokudo 基板処理装置
US7255747B2 (en) 2004-12-22 2007-08-14 Sokudo Co., Ltd. Coat/develop module with independent stations
US7699021B2 (en) 2004-12-22 2010-04-20 Sokudo Co., Ltd. Cluster tool substrate throughput optimization
US7819079B2 (en) 2004-12-22 2010-10-26 Applied Materials, Inc. Cartesian cluster tool configuration for lithography type processes
US7651306B2 (en) * 2004-12-22 2010-01-26 Applied Materials, Inc. Cartesian robot cluster tool architecture
KR100761576B1 (ko) * 2004-12-24 2007-09-27 다이닛뽕스크린 세이조오 가부시키가이샤 기판 처리장치
JP4955976B2 (ja) 2005-01-21 2012-06-20 東京エレクトロン株式会社 塗布、現像装置及びその方法
US7245348B2 (en) * 2005-01-21 2007-07-17 Tokyo Electron Limited Coating and developing system and coating and developing method with antireflection film and an auxiliary block for inspection and cleaning
JP4356936B2 (ja) * 2005-01-21 2009-11-04 東京エレクトロン株式会社 塗布、現像装置及びその方法
JP4955977B2 (ja) 2005-01-21 2012-06-20 東京エレクトロン株式会社 塗布、現像装置及びその方法
JP4459831B2 (ja) * 2005-02-01 2010-04-28 東京エレクトロン株式会社 塗布、現像装置
JP4414909B2 (ja) 2005-02-14 2010-02-17 東京エレクトロン株式会社 塗布、現像装置
JP4414910B2 (ja) 2005-02-17 2010-02-17 東京エレクトロン株式会社 半導体製造装置及び半導体製造方法
JP4541931B2 (ja) 2005-03-03 2010-09-08 株式会社日立国際電気 半導体装置の製造方法及び半導体製造装置
JP2006253207A (ja) 2005-03-08 2006-09-21 Sharp Corp 塗布膜形成方法,半導体装置の製造方法
JP4685584B2 (ja) 2005-03-11 2011-05-18 東京エレクトロン株式会社 塗布、現像装置
JP4566035B2 (ja) * 2005-03-11 2010-10-20 東京エレクトロン株式会社 塗布、現像装置及びその方法
US7403260B2 (en) 2005-03-11 2008-07-22 Tokyo Electron Limited Coating and developing system
JP4414921B2 (ja) * 2005-03-23 2010-02-17 東京エレクトロン株式会社 塗布、現像装置及び塗布、現像方法
US8353986B2 (en) * 2005-03-31 2013-01-15 Tokyo Electron Limited Substrate processing apparatus
DE602006002775D1 (de) * 2005-05-31 2008-10-30 Daifuku Kk Einrichtung zum Transport von Gegenständen und Verfahren zum Betrieb der Einrichtung
JP4273423B2 (ja) 2005-05-31 2009-06-03 株式会社ダイフク 搬送装置
JP4522329B2 (ja) 2005-06-24 2010-08-11 株式会社Sokudo 基板処理装置
KR100666355B1 (ko) 2005-07-01 2007-01-11 세메스 주식회사 복층 구조의 반도체 제조 설비 및 그의 처리 방법
JP4519037B2 (ja) 2005-08-31 2010-08-04 東京エレクトロン株式会社 加熱装置及び塗布、現像装置
JP4616731B2 (ja) 2005-09-01 2011-01-19 東京エレクトロン株式会社 塗布、現像装置
JP4937559B2 (ja) 2005-09-14 2012-05-23 株式会社Sokudo 基板処理装置および基板処理方法
JP4907937B2 (ja) 2005-09-26 2012-04-04 株式会社日立国際電気 断熱壁体、発熱体の保持構造体、加熱装置および基板処理装置
JP4450784B2 (ja) 2005-10-19 2010-04-14 東京エレクトロン株式会社 塗布、現像装置及びその方法
JP4542984B2 (ja) 2005-11-24 2010-09-15 東京エレクトロン株式会社 基板搬送処理装置及び基板搬送処理装置における障害対策方法並びに基板搬送処理装置における障害対策用プログラム
JP4654119B2 (ja) 2005-11-29 2011-03-16 東京エレクトロン株式会社 塗布・現像装置及び塗布・現像方法
JP4494332B2 (ja) 2005-11-29 2010-06-30 東京エレクトロン株式会社 リンス処理方法、現像処理装置、および制御プログラム
JP2007184537A (ja) 2005-12-07 2007-07-19 Canon Inc 露光方法、露光装置、複数の基板上にレジストを塗布する装置およびデバイス製造方法
JP4654120B2 (ja) 2005-12-08 2011-03-16 東京エレクトロン株式会社 塗布、現像装置及び塗布、現像方法並びにコンピュータプログラム
JP2007172496A (ja) 2005-12-26 2007-07-05 Nohmi Bosai Ltd 火災報知設備用機器のプリンターカバー
JP4704221B2 (ja) 2006-01-26 2011-06-15 株式会社Sokudo 基板処理装置および基板処理方法
JP4781832B2 (ja) 2006-02-01 2011-09-28 大日本スクリーン製造株式会社 基板処理システム、基板処理装置、プログラム及び記録媒体
JP5132108B2 (ja) 2006-02-02 2013-01-30 株式会社Sokudo 基板処理装置
JP2007208064A (ja) 2006-02-02 2007-08-16 Dainippon Screen Mfg Co Ltd 基板処理装置および基板処理方法
JP2007240519A (ja) * 2006-02-08 2007-09-20 Tokyo Electron Ltd 欠陥検査方法、欠陥検査装置及びコンピュータプログラム
JP2007234882A (ja) * 2006-03-01 2007-09-13 Dainippon Screen Mfg Co Ltd 基板処理装置および基板取り扱い方法
JP4816217B2 (ja) 2006-04-14 2011-11-16 東京エレクトロン株式会社 塗布、現像装置、塗布、現像方法及び記憶媒体
JP4614455B2 (ja) 2006-04-19 2011-01-19 東京エレクトロン株式会社 基板搬送処理装置
JP2007317987A (ja) * 2006-05-29 2007-12-06 Sokudo:Kk 基板処理装置および基板処理方法
KR100949505B1 (ko) * 2006-06-05 2010-03-24 엘지디스플레이 주식회사 포토 장치 및 방법
KR100784389B1 (ko) 2006-06-22 2007-12-11 삼성전자주식회사 포토 리소그래피 시스템 및 방법
US8220354B2 (en) 2006-06-28 2012-07-17 Genmark Automation, Inc. Belt-driven robot having extended Z-axis motion
JP2008034746A (ja) * 2006-07-31 2008-02-14 Tokyo Electron Ltd 塗布、現像装置、その方法及び記憶媒体
JP4772620B2 (ja) 2006-08-11 2011-09-14 東京エレクトロン株式会社 液浸露光用塗布膜の処理条件決定方法および処理条件決定装置
JP2008072016A (ja) * 2006-09-15 2008-03-27 Tokyo Electron Ltd 液処理装置、液処理方法及び記憶媒体
US8419341B2 (en) * 2006-09-19 2013-04-16 Brooks Automation, Inc. Linear vacuum robot with Z motion and articulated arm
JP4999415B2 (ja) 2006-09-29 2012-08-15 東京エレクトロン株式会社 基板処理装置及び基板処理方法並びに基板処理装置の用力供給装置及び基板処理装置の用力供給方法
US20080158531A1 (en) 2006-11-15 2008-07-03 Nikon Corporation Exposure apparatus, exposure method, and method for producing device
JP5023679B2 (ja) * 2006-12-05 2012-09-12 東京エレクトロン株式会社 塗布、現像装置及びその方法並びに記憶媒体
JP2008198879A (ja) 2007-02-15 2008-08-28 Sokudo:Kk 基板処理装置
JP5149513B2 (ja) * 2007-02-15 2013-02-20 株式会社Sokudo 基板処理装置
US7675048B2 (en) 2007-03-06 2010-03-09 Varian Semiconductor Equipment Associates, Inc. Wafer holding robot end effecter vertical position determination in ion implanter system
US20080224817A1 (en) 2007-03-15 2008-09-18 Sokudo Co., Ltd. Interlaced rtd sensor for zone/average temperature sensing
JP2008258208A (ja) * 2007-03-30 2008-10-23 Tokyo Electron Ltd 塗布、現像装置及びその方法並びに記憶媒体
JP4908304B2 (ja) 2007-04-27 2012-04-04 東京エレクトロン株式会社 基板の処理方法、基板の処理システム及びコンピュータ読み取り可能な記憶媒体
US8636458B2 (en) 2007-06-06 2014-01-28 Asml Netherlands B.V. Integrated post-exposure bake track
JP2007227984A (ja) 2007-06-14 2007-09-06 Dainippon Screen Mfg Co Ltd 基板処理装置
KR100904392B1 (ko) 2007-06-18 2009-06-26 세메스 주식회사 기판 처리 장치
KR100897850B1 (ko) 2007-06-18 2009-05-15 세메스 주식회사 기판 처리 장치
US20090001071A1 (en) * 2007-06-28 2009-01-01 Sokudo Co., Ltd Method and System for Cooling a Bake Plate in a Track Lithography Tool
JP5006122B2 (ja) * 2007-06-29 2012-08-22 株式会社Sokudo 基板処理装置
JP2009021275A (ja) 2007-07-10 2009-01-29 Sokudo:Kk 基板処理装置
US7801633B2 (en) 2007-07-10 2010-09-21 Dainippon Screen Mfg. Co., Ltd. Scheduling method and program for a substrate treating apparatus
US7641406B2 (en) 2007-07-26 2010-01-05 Sokudo Co., Ltd. Bevel inspection apparatus for substrate processing
JP5148944B2 (ja) 2007-08-14 2013-02-20 大日本スクリーン製造株式会社 基板処理システム
TW200919117A (en) * 2007-08-28 2009-05-01 Tokyo Electron Ltd Coating-developing apparatus, coating-developing method and storage medium
US7831135B2 (en) 2007-09-04 2010-11-09 Sokudo Co., Ltd. Method and system for controlling bake plate temperature in a semiconductor processing chamber
JP2009071235A (ja) * 2007-09-18 2009-04-02 Sokudo:Kk 基板処理装置
JP5065167B2 (ja) 2007-09-20 2012-10-31 東京エレクトロン株式会社 基板の処理方法及び基板の処理システム
JP5151383B2 (ja) * 2007-10-12 2013-02-27 東京エレクトロン株式会社 塗布、現像装置、その方法及び記憶媒体
JP2009135169A (ja) * 2007-11-29 2009-06-18 Tokyo Electron Ltd 基板処理システムおよび基板処理方法
JP5318403B2 (ja) * 2007-11-30 2013-10-16 株式会社Sokudo 基板処理装置
JP5160204B2 (ja) * 2007-11-30 2013-03-13 株式会社Sokudo 基板処理装置
JP5128918B2 (ja) * 2007-11-30 2013-01-23 株式会社Sokudo 基板処理装置
KR100892756B1 (ko) * 2007-12-27 2009-04-15 세메스 주식회사 기판 처리 장치 및 이를 이용한 기판 이송 방법
JP5179170B2 (ja) 2007-12-28 2013-04-10 株式会社Sokudo 基板処理装置
JP5344734B2 (ja) 2007-12-28 2013-11-20 株式会社Sokudo 基板処理装置
JP5001828B2 (ja) 2007-12-28 2012-08-15 株式会社Sokudo 基板処理装置
JP5056582B2 (ja) 2008-05-22 2012-10-24 東京エレクトロン株式会社 塗布、現像装置、塗布、現像方法及び記憶媒体
US9214372B2 (en) * 2008-08-28 2015-12-15 Tokyo Ohka Kogyo Co., Ltd. Substrate processing system, carrying device and coating device
JP5225815B2 (ja) 2008-11-19 2013-07-03 東京エレクトロン株式会社 インターフェイス装置、基板を搬送する方法及びコンピュータ可読記憶媒体
EP2389459B1 (en) 2009-01-21 2014-03-26 George Atanasoff Methods and systems for control of a surface modification process
JP4760919B2 (ja) 2009-01-23 2011-08-31 東京エレクトロン株式会社 塗布、現像装置
JP2010177673A (ja) 2009-01-30 2010-08-12 Semes Co Ltd 基板処理設備及び基板処理方法
CN101794710B (zh) 2009-01-30 2012-10-03 细美事有限公司 用于处理基板的系统及方法
JP5462506B2 (ja) 2009-03-18 2014-04-02 株式会社Sokudo 基板処理装置
JP5187274B2 (ja) 2009-05-28 2013-04-24 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
JP5443070B2 (ja) * 2009-06-19 2014-03-19 東京エレクトロン株式会社 インプリントシステム
JP2011009362A (ja) * 2009-06-24 2011-01-13 Tokyo Electron Ltd インプリントシステム、インプリント方法、プログラム及びコンピュータ記憶媒体
JP5060517B2 (ja) * 2009-06-24 2012-10-31 東京エレクトロン株式会社 インプリントシステム
JP5050018B2 (ja) * 2009-08-24 2012-10-17 東京エレクトロン株式会社 塗布現像装置及び塗布現像方法
JP5410212B2 (ja) * 2009-09-15 2014-02-05 株式会社Sokudo 基板処理装置、基板処理システムおよび検査周辺露光装置
JP5445006B2 (ja) 2009-10-05 2014-03-19 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
JP5736687B2 (ja) * 2009-10-06 2015-06-17 東京エレクトロン株式会社 基板処理装置
JP5246184B2 (ja) 2010-02-24 2013-07-24 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
JP5168300B2 (ja) 2010-02-24 2013-03-21 東京エレクトロン株式会社 基板処理装置及び基板処理方法
JP5575507B2 (ja) 2010-03-02 2014-08-20 株式会社日立国際電気 基板処理装置、基板搬送方法、半導体装置の製造方法および基板処理装置のメンテナンス方法
JP5408059B2 (ja) 2010-07-09 2014-02-05 東京エレクトロン株式会社 塗布、現像装置、塗布、現像方法及び記憶媒体
JP5479253B2 (ja) * 2010-07-16 2014-04-23 東京エレクトロン株式会社 基板処理装置、基板処理方法、プログラム及びコンピュータ記憶媒体
JP5348083B2 (ja) 2010-07-16 2013-11-20 東京エレクトロン株式会社 塗布、現像装置、塗布、現像方法及び記憶媒体
US9428336B2 (en) 2010-07-28 2016-08-30 Par Systems, Inc. Robotic storage and retrieval systems
JP5223897B2 (ja) 2010-09-02 2013-06-26 東京エレクトロン株式会社 塗布、現像装置、塗布、現像方法及び記憶媒体
JP5293719B2 (ja) 2010-10-01 2013-09-18 東京エレクトロン株式会社 基板処理装置のデータ取得方法及びセンサ用基板
JP5616205B2 (ja) 2010-11-29 2014-10-29 東京エレクトロン株式会社 基板処理システム、基板処理方法、プログラム及びコンピュータ記憶媒体
US8612807B2 (en) * 2011-01-12 2013-12-17 Ncr Corporation Entertainment kiosk error handling and troubleshooting method
JP5883232B2 (ja) * 2011-03-26 2016-03-09 東京エレクトロン株式会社 基板処理装置
JP5821689B2 (ja) 2011-04-20 2015-11-24 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
US9405194B2 (en) 2012-11-30 2016-08-02 Semes Co., Ltd. Facility and method for treating substrate

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6454472B1 (en) * 1999-12-06 2002-09-24 Dns Korea Co., Ltd. Semiconductor manufacturing apparatus for photolithographic process

Also Published As

Publication number Publication date
TW200913121A (en) 2009-03-16
KR20090004547A (ko) 2009-01-12
TW201250914A (en) 2012-12-16
US20230042033A1 (en) 2023-02-09
CN101335187B (zh) 2011-06-15
EP2009671B1 (en) 2016-05-04
US9174235B2 (en) 2015-11-03
TW201250913A (en) 2012-12-16
TWI602259B (zh) 2017-10-11
TW201521147A (zh) 2015-06-01
TWI502677B (zh) 2015-10-01
EP2009671A2 (en) 2008-12-31
US20120145073A1 (en) 2012-06-14
TWI372440B (en) 2012-09-11
TW201705350A (zh) 2017-02-01
US9165807B2 (en) 2015-10-20
TW201804559A (zh) 2018-02-01
US10290521B2 (en) 2019-05-14
US8851008B2 (en) 2014-10-07
CN101335187A (zh) 2008-12-31
EP2009671A3 (en) 2012-01-11
KR101001511B1 (ko) 2010-12-14
US20120145074A1 (en) 2012-06-14
KR20100130972A (ko) 2010-12-14
US20160079099A1 (en) 2016-03-17
KR101036420B1 (ko) 2011-05-23
US20120156380A1 (en) 2012-06-21
US20090000543A1 (en) 2009-01-01
TW201250915A (en) 2012-12-16
JP5006122B2 (ja) 2012-08-22
TWI489584B (zh) 2015-06-21
TWI489583B (zh) 2015-06-21
JP2009010291A (ja) 2009-01-15
US20190221457A1 (en) 2019-07-18
US9230834B2 (en) 2016-01-05
TWI587438B (zh) 2017-06-11

Similar Documents

Publication Publication Date Title
TWI683386B (zh) 基板處理裝置
JP5318403B2 (ja) 基板処理装置
JP5128918B2 (ja) 基板処理装置
TWI394223B (zh) 基板處理裝置
TWI498994B (zh) 基板處理裝置
JP5572666B2 (ja) 基板処理装置
JP6656305B2 (ja) 基板処理装置
JP5442890B2 (ja) 基板処理装置
JP5608148B2 (ja) 基板処理装置
JP5964654B2 (ja) 基板処理方法
JP6557647B2 (ja) 基板処理装置
JP6049929B2 (ja) 基板処理方法
JP6209554B2 (ja) 基板処理方法
JP5442889B2 (ja) 基板処理装置
JP5466728B2 (ja) 基板処理装置