JP2009010291A - 基板処理装置 - Google Patents

基板処理装置 Download PDF

Info

Publication number
JP2009010291A
JP2009010291A JP2007172496A JP2007172496A JP2009010291A JP 2009010291 A JP2009010291 A JP 2009010291A JP 2007172496 A JP2007172496 A JP 2007172496A JP 2007172496 A JP2007172496 A JP 2007172496A JP 2009010291 A JP2009010291 A JP 2009010291A
Authority
JP
Japan
Prior art keywords
substrate
transport mechanism
unit
processing
processing apparatus
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2007172496A
Other languages
English (en)
Other versions
JP5006122B2 (ja
Inventor
Yoshimitsu Fukutomi
義光 福冨
Takeshi Mihashi
毅 三橋
Hiroyuki Ogura
浩之 小椋
Takeya Morinishi
健也 森西
Yasuo Kawamatsu
康夫 川松
Hiroji Nagashima
広路 長嶋
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Screen Semiconductor Solutions Co Ltd
Original Assignee
Screen Semiconductor Solutions Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=39666209&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=JP2009010291(A) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by Screen Semiconductor Solutions Co Ltd filed Critical Screen Semiconductor Solutions Co Ltd
Priority to JP2007172496A priority Critical patent/JP5006122B2/ja
Priority to EP08011368.1A priority patent/EP2009671B1/en
Priority to KR1020080060084A priority patent/KR101001511B1/ko
Priority to TW105134414A priority patent/TWI602259B/zh
Priority to TW104105567A priority patent/TWI587438B/zh
Priority to CN2008101250367A priority patent/CN101335187B/zh
Priority to TW106130479A priority patent/TWI683386B/zh
Priority to TW101118483A priority patent/TWI489583B/zh
Priority to TW101118486A priority patent/TWI489584B/zh
Priority to TW097124376A priority patent/TWI372440B/zh
Priority to TW101118484A priority patent/TWI502677B/zh
Priority to US12/163,951 priority patent/US8851008B2/en
Publication of JP2009010291A publication Critical patent/JP2009010291A/ja
Priority to KR1020100105888A priority patent/KR101036420B1/ko
Priority to US13/401,625 priority patent/US9174235B2/en
Priority to US13/401,644 priority patent/US9165807B2/en
Priority to US13/401,617 priority patent/US9230834B2/en
Publication of JP5006122B2 publication Critical patent/JP5006122B2/ja
Application granted granted Critical
Priority to US14/952,657 priority patent/US10290521B2/en
Priority to US16/366,437 priority patent/US20190221457A1/en
Priority to US17/969,533 priority patent/US20230042033A1/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05BSPRAYING APPARATUS; ATOMISING APPARATUS; NOZZLES
    • B05B14/00Arrangements for collecting, re-using or eliminating excess spraying material
    • B05B14/30Arrangements for collecting, re-using or eliminating excess spraying material comprising enclosures close to, or in contact with, the object to be sprayed and surrounding or confining the discharged spray or jet but not the object to be sprayed
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05CAPPARATUS FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05C13/00Means for manipulating or holding work, e.g. for separate articles
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05CAPPARATUS FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05C13/00Means for manipulating or holding work, e.g. for separate articles
    • B05C13/02Means for manipulating or holding work, e.g. for separate articles for particular articles
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02623Liquid deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/6715Apparatus for applying a liquid, a resin, an ink or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67173Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers in-line arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67178Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers vertical arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67184Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • H01L21/682Mask-wafer alignment
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05BSPRAYING APPARATUS; ATOMISING APPARATUS; NOZZLES
    • B05B14/00Arrangements for collecting, re-using or eliminating excess spraying material
    • B05B14/40Arrangements for collecting, re-using or eliminating excess spraying material for use in spray booths
    • B05B14/43Arrangements for collecting, re-using or eliminating excess spraying material for use in spray booths by filtering the air charged with excess material
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05BSPRAYING APPARATUS; ATOMISING APPARATUS; NOZZLES
    • B05B14/00Arrangements for collecting, re-using or eliminating excess spraying material
    • B05B14/40Arrangements for collecting, re-using or eliminating excess spraying material for use in spray booths
    • B05B14/44Arrangements for collecting, re-using or eliminating excess spraying material for use in spray booths using walls specially adapted for promoting separation of the excess material from the air, e.g. baffle plates
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D3/00Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials
    • B05D3/04Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials by exposure to gases
    • B05D3/0486Operating the coating or treatment in a controlled atmosphere
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F24HEATING; RANGES; VENTILATING
    • F24FAIR-CONDITIONING; AIR-HUMIDIFICATION; VENTILATION; USE OF AIR CURRENTS FOR SCREENING
    • F24F3/00Air-conditioning systems in which conditioned primary air is supplied from one or more central stations to distributing units in the rooms or spaces where it may receive secondary treatment; Apparatus specially designed for such systems
    • F24F3/12Air-conditioning systems in which conditioned primary air is supplied from one or more central stations to distributing units in the rooms or spaces where it may receive secondary treatment; Apparatus specially designed for such systems characterised by the treatment of the air otherwise than by heating and cooling
    • F24F3/16Air-conditioning systems in which conditioned primary air is supplied from one or more central stations to distributing units in the rooms or spaces where it may receive secondary treatment; Apparatus specially designed for such systems characterised by the treatment of the air otherwise than by heating and cooling by purification, e.g. by filtering; by sterilisation; by ozonisation
    • F24F3/167Clean rooms, i.e. enclosed spaces in which a uniform flow of filtered air is distributed
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • H01L21/67225Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process comprising at least one lithography chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/34Arrangements for cooling, heating, ventilating or temperature compensation ; Temperature sensing arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S118/00Coating apparatus
    • Y10S118/07Hoods
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Robotics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

【課題】基板処理装置の設置面積を増大させることなく、スループットを向上させることができる基板処理装置を提供する。
【解決手段】横方向に並べられる2つの主搬送機構T、Tを含む基板処理列と、横方向に並べられる2つの主搬送機構T、Tを含む基板処理列とを上下に設けている。各主搬送機構T、T、T、Tには基板Wを処理する複数の処理ユニットが設けられている。そして、各階層の基板処理列において、主搬送機構Tが対応する処理ユニットに基板Wを搬送しつつ横方向に隣接する他の主搬送機構Tに基板Wを受け渡す。これにより、各基板処理列で並行して基板Wに一連の処理を行う。よって、基板処理装置の処理能力を向上させることができる。また、基板処理列を上下に設けているので、基板処理装置の設置面積が増大することを回避することができる。
【選択図】図4

Description

この発明は、半導体基板、液晶表示装置用ガラス基板、フォトマスク用ガラス基板、光ディスク用基板等(以下、単に「基板」と称する)に対して一連の処理を行う基板処理装置に関する。
従来、この種の装置として、基板にレジスト膜を形成し、レジスト膜が形成された基板を別体の露光機で露光し、露光された基板を現像する装置がある。具体的には、レジスト膜形成用塗布処理ユニットなど各種の薬液処理ユニットや熱処理ユニットがそれぞれ単一の主搬送機構と併設されて1つのブロックを構成し、このようなブロックを複数個並べて基板処理装置が構成されている。この装置では、各ブロックに基板を搬送しつつ、それぞれのブロックで処理を行う(例えば、特許文献1参照)。
特開2003−324139号公報
しかしながら、このような構成を有する従来例の場合には、次のような問題がある。
すなわち、従来の装置では、ブロック内で一枚の基板を処理するために主搬送機構は5〜10の搬送工程を要し、各搬送工程はそれぞれ数秒程度かかる。仮に搬送工程数を6工程とし、それぞれ5秒かかるとすると、ブロック内のスループットは基板1枚当り30秒(1時間で120枚)まで可能である。しかし、すでに単一の主搬送機構の搬送工程数を低減したり各搬送工程の所要時間を短縮する余地があまりないので、ブロック内のスループットをさらに高めることは困難になってきている。このため、装置全体のスループットを改善することが困難になっているという不都合がある。これに対して、主搬送機構を増やすことが考えられる。しかしながら、ブロック内の主搬送機構の台数を増やすと、それに応じて薬液処理ユニットや加熱部の増加も伴ってフットプリントが増大するという不都合がある。
この発明は、このような事情に鑑みてなされたものであって、基板処理装置の設置面積を増大させることなく、スループットを向上させることができる基板処理装置を提供することを目的とする。
この発明は、このような目的を達成するために、次のような構成をとる。
すなわち、請求項1に記載の発明は、基板に処理を行う基板処理装置において、横方向に並べられる複数の主搬送機構と、主搬送機構ごとに設けられ、基板を処理する複数の処理ユニットと、を含んで、各主搬送機構が対応する処理ユニットに基板を搬送しつつ横方向に隣接する他の主搬送機構に基板を受け渡して、基板に一連の処理を行う基板処理列を構成し、この基板処理列を上下方向に複数設けたことを特徴とするものである。
[作用・効果]請求項1に記載の発明によれば、複数の基板処理列を上下方向に設けていることで、各基板処理例で基板を並行して処理することができる。よって、基板処理装置の処理能力を増大させることができる。また、基板処理列を上下方向に設けているので、基板処理装置の設置面積が増大することを回避することができる。なお、主搬送機構の並びは1列に限らず、複数列であってもよく、また直線的な並びに限らず、曲線的やジグザクの並びであってもよい。また、各基板処理列において、処理ユニットは並べて配置されるものに限らず、積層配置されていてもよい。
本発明において、各基板処理列における主搬送機構および処理ユニットの配置は平面視で略同じであることが好ましい(請求項2)。この構成によれば、装置構成を簡略化することができる。
また、本発明において、前記主搬送機構が設置される搬送スペースに清浄な気体を供給する気体供給口と、前記搬送スペースから気体を排出する気体排出口と、を備えていることが好ましい(請求項3)。この構成によれば、搬送スペースの雰囲気を清浄に保つことができる。
また、本発明において、前記搬送スペースは基板処理列ごとに遮断されており、前記気体供給口および前記気体排出口は、基板処理列ごとに別個に設けられていることが好ましい(請求項4)。この構成によれば、搬送スペースの雰囲気をより清浄に保つことができる。
また、本発明において、前記気体供給口が形成されている吹出ユニットと、前記気体排出口が形成されている排出ユニットと、を備え、前記吹出しユニットまたは排出ユニットの少なくともいずれかが基板処理列ごとに雰囲気を遮断することが好ましい(請求項5)。この構成によれば、装置構成を簡略化できる。
また、本発明において、前記気体供給口は前記気体排出口より高い位置に配置されていることが好ましい(請求項6)。この構成によれば、搬送スペースの雰囲気をより清浄に保つことができる。
また、本発明において、前記気体供給口は前記搬送スペースの上部に配置され、前記気体排出口は前記搬送スペースの下部に配置されることが好ましい(請求項7)。この構成によれば、搬送スペース内に下向きの気流を形成できるので、搬送スペースをより清浄に保つことができる。
また、本発明において、複数枚の基板を収容するカセットに対して基板を搬送するインデクサ用搬送機構を備え、前記インデクサ用搬送機構は、各基板処理列の一端側の主搬送機構である一端搬送機構との間で基板の受け渡しを行い、かつ、各一端搬送機構のうち上側の一端搬送機構とはその下部付近の高さ位置で基板の受け渡しを行い、各一端搬送機構のうち下側の一端搬送機構とはその上部付近の高さ位置で基板の受け渡しを行うことが好ましい(請求項8)。この構成によれば、上下の基板の受け渡し位置が近接するので、インデクサ用搬送機構の昇降量を抑えることができる。よって、インデクサ用搬送機構の処理効率を向上することができる。
また、本発明において、前記インデクサ用搬送機構と各一端搬送機構との間にそれぞれ設けられて基板を載置する載置部を備え、前記インデクサ用搬送機構は各載置部を介して基板を受け渡すことが好ましい(請求項9)。この構成によれば、載置部を介して基板の受け渡しを行なうので、搬送機構同士が直接に基板を受け渡しする場合と比べて、搬送効率を向上することができる。
また、請求項10に記載の発明は、基板に処理を行う基板処理装置において、上下方向の階層ごとに設けられて基板に処理を行う処理ユニットと、各階層に設けられ、当該階層の処理ユニットに対して基板を搬送する主搬送機構と、を有する処理ブロックを横方向に複数個並べてあり、隣接する処理ブロックの同じ階層の主搬送機構同士で基板を受け渡して基板に一連の処理を行うことを特徴とするものである。
[作用・効果]請求項10に記載の発明によれば、横方向に複数個並べられた処理ブロックの各階層に属する処理ユニットと搬送機構とが基板に一連の処理を並行して行う。よって、基板処理装置の処理能力を増大させることができる。また、処理ユニットや搬送機構を上下方向に配設して階層構造にしているので、基板処理装置の設置面積が増大することを回避することができる。
また、本発明において、一の処理ブロックに含まれる複数の処理ユニットと複数の主搬送機構とをまとめて収容する筐体を処理ブロックごとに備えていることが好ましい(請求項11)。この構成によれば、処理ブロック単位で取り扱うことができるので、基板処理装置の製造、補修を簡易にすることができる。
また、本発明において、各処理ブロックは、各階層間に設けられる遮蔽板と、各階層の主搬送機構の搬送スペースに清浄な気体を供給する気体供給口と、各階層の主搬送機構の搬送スペースから気体を排出する気体排出口と、を備えていることが好ましい(請求項12)。この構成によれば、搬送機構による発塵が他の階層に及ぶことを防ぐことができる。また、各階層の搬送スペースを清浄に保つことができる。
また、本発明において、前記気体供給口が形成されている吹出ユニットと、前記気体排出口が形成されている排出ユニットと、を備え、前記吹出しユニットまたは排出ユニットの少なくともいずれかが前記遮蔽板を兼ねることが好ましい(請求項13)。装置構成を簡略化できる。
また、本発明において、各搬送スペースの気体供給口は、その搬送スペースの気体排出口より高い位置に配置されていることが好ましい(請求項14)。この構成によれば、搬送スペース内の気流がいわゆるダウンフローになるので、搬送スペースをより清浄に保つことができる。
また、本発明において、複数枚の基板を収容するカセットに対して基板を搬送するとともに、一側端の処理ブロックにおける各階層の主搬送機構との間で基板の受け渡しを行うインデクサ用搬送機構を備え、一側端の処理ブロックにおける各階層の主搬送機構との間で前記インデクサ用搬送機構が基板の受け渡しを行う各位置は近接していることが好ましい(請求項15)。この構成によれば、インデクサ用搬送機構の昇降量を抑えることができるので、インデクサ用搬送機構の処理効率を上げることができる。
また、本発明において、一側端の処理ブロックにおける各階層の主搬送機構と前記インデクサ用搬送機構との間にそれぞれ設けられて基板を載置する載置部を備え、前記インデクサ用搬送機構は各載置部を介して基板を受け渡すことが好ましい(請求項16)。この構成によれば、搬送機構同士が直接に基板を受け渡しするものに比べて、搬送効率を向上することができる。
また、請求項17に記載の発明は、基板に処理を行う基板処理装置において、複数枚の基板を収納するカセットに対して基板を搬送するインデクサ用搬送機構を備えたインデクサ部と、前記インデクサ部に隣接する塗布処理ブロックであって、上下方向の階層ごとに設けられ、基板にレジスト膜を形成するための塗布処理ユニットおよび熱処理ユニットと、各階層ごとに設けられ、当該階層の塗布処理ユニットおよび熱処理ユニットに対して基板を搬送する主搬送機構とを備える塗布処理ブロックと、前記塗布処理ブロックに隣接する現像処理ブロックであって、上下方向の階層ごとに設けられ、基板を現像するための現像処理ユニットおよび熱処理ユニットと、各階層ごとに設けられ、当該階層の現像処理ユニットおよび熱処理ユニットに対して基板を搬送する主搬送機構とを備える現像処理ブロックと、前記現像処理ブロックに隣接し、本装置とは別体の露光機に対して基板を搬送するインターフェイス用搬送機構を備えたインターフェイス部とを備え、前記インデクサ用搬送機構は、前記塗布処理ブロックにおける各階層の主搬送機構との間で基板の受け渡しを行い、前記塗布処理ブロックにおける各階層の主搬送機構は、前記現像処理ブロックにおける同じ階層の主搬送機構との間で基板の受け渡しを行い、前記インターフェイス用搬送機構は、前記現像処理ブロックにおける各階層の主搬送機構との間で基板の受け渡しを行うことを特徴とするものである。
[作用・効果]請求項17に記載の発明によれば、インデクサ用搬送機構がカセットから基板を順に取り出し、それらの基板を塗布処理ブロックの各階層の搬送機構に渡す。基板を受け取った各階層の搬送機構は、それぞれが担当する塗布処理ユニットや熱処理ユニットに対して基板を搬送する。搬送された基板は各階層の処理ユニットで所要の処理を並行して受ける。塗布処理ブロックの各階層の搬送機構は、レジスト膜が形成された基板を、隣接する現像処理ブロックの同じ階層の搬送機構に渡す。現像処理ブロックの各階層の搬送機構は、その基板を隣接するインターフェイス部のインターフェイス用搬送機構に渡す。インターフェイス用搬送機構は受け取った基板を外部装置である露光機に渡す。露光処理された基板は再びインターフェイス部に戻される。インターフェイス用搬送機構は、各基板を現像処理ブロックの各階層の搬送機構に渡す。基板を受け取った各階層の搬送機構は、それぞれが担当する現像処理ブロックや熱処理ユニットに対して基板を搬送する。搬送された基板は各階層の処理ユニットで所要の処理を並行して受ける。現像処理ブロックの各階層の搬送機構は、現像処理された基板を、隣接する塗布処理ブロックの同じ階層の搬送機構に渡す。塗布処理ブロックの各階層の搬送機構は、その基板をインデクサ部のインデクサ用搬送機構に渡す。インデクサ用搬送機構は、その基板を所定のカセットに収納する。以上のように、この構成によれば、上下の各階層でレジスト膜の生成処理と現像処理とが並行して行われるので、基板処理装置の処理効率を増大させることができる。また、塗布処理ブロックや現像処理ブロックは上下の階層構造になっているので、基板処理装置の設置面積が増大することを回避することができる。
また、本発明において、インデクサ用搬送機構がカセットから基板を取り出した順番と同じ順番で、インターフェイス用搬送機構が基板を露光機に送るように制御する制御手段を備えていることが好ましい(請求項18)。この構成によれば、複数の基板を好適に管理することができる。
また、本発明において、インターフェイス部に備えられ、基板を一時的に収納するバッファ部を備え、前記制御手段は、インデクサ用搬送機構がカセットから基板を取り出した順番と異なる順番で、現像処理ブロックから基板が払い出された場合には、インターフェイス用搬送機構がこの基板を受け取ってバッファ部に搬送するように制御することが好ましい(請求項19)。この構成によれば、インデクサ用搬送機構がカセットから基板を取り出した順番と異なる順番になる場合でも、現像処理ブロックからから払い出された基板をバッファ部に移すことで、引き続き現像処理ブロックからの基板の払い出しを許容することができる。よって、基板を所定の順番に好適に処理することができる。
また、本発明において、基板にレジスト膜を形成するための塗布処理ユニットは、レジスト膜材料を基板に塗布するレジスト膜用塗布処理ユニットと、反射防止膜用の処理液を基板に塗布する反射防止膜用塗布処理ユニットとを含むことが好ましい(請求項20)。この構成によれば、基板を好適に処理することができる。
なお、本明細書は、次のような基板処理装置に係る発明も開示している。
(1)請求項1から請求項9のいずれかに記載の基板処理装置において、各基板処理列において行われる一連の処理は同じであることを特徴とする基板処理装置。
前記(1)に記載の発明によれば、装置構成を簡略化できる。
(2)請求項1から請求項16のいずれかに記載の基板処理装置において、前記処理ユニットは基板に液処理を行う液処理ユニットと、基板に熱処理を行う熱処理ユニットとを含むことを特徴とする基板処理装置。
前記(2)に記載の発明によれば、基板に種々の処理を行うことができる。
(3)請求項2に記載の基板処理装置において、上下方向に位置する各主搬送機構に対応する複数の処理ユニットのそれぞれに対して清浄な気体を供給する単一の第2気体供給管を備えていることを特徴とする基板処理装置。
前記(3)に記載の発明によれば、設置面積を低減することができる。
(4)請求項10から請求項16のいずれかに記載の基板処理装置において、各処理ブロックにおいて各階層の搬送機構は平面視で同じ位置に配置されていることを特徴とする基板処理装置。
前記(4)に記載の発明によれば、装置構成を簡略化できる。
(5)請求項10から請求項16のいずれかに記載の基板処理装置において、各処理ブロックにおいて、上下方向に配設される各処理ユニットが行う処理は同じであることを特徴とする基板処理装置。
前記(5)に記載の発明によれば、装置構成を簡略化できる。
(6)請求項10から請求項16のいずれかに記載の基板処理装置において、上下方向に配置される複数の処理ユニットに対して清浄な気体を供給する単一の第2気体供給管を備えていることを特徴とする基板処理装置。
前記(6)に記載の発明によれば、設置面積を低減できる。
(7)請求項10から請求項16のいずれかに記載の基板処理装置において、各階層の処理ユニットは積層されていることを特徴とする基板処理装置。
前記(7)に記載の発明によれば、装置構成を簡略化できる。
この発明に係る基板処理装置によれば、基板に一連の処理を行う複数の処理ユニットと複数の主搬送機構を横方向に並べて構成される複数の基板処理列を上下方向に配置した階層構造にしたので、各階層の基板処理列で基板を並行して処理することができる。よって、基板処理装置の処理能力を増大させることができる。また、基板処理列を上下方向の階層に配置しているので、基板処理装置の設置面積が増大することを回避することができる。
以下、図面を参照してこの発明の実施例を説明する。
図1は、実施例に係る基板処理装置の概略構成を示す平面図であり、図2と図3は基板処理装置が有する処理ユニットの配置を示す概略側面図であり、図4ないし図7は、図1におけるa−a矢視、b−b矢視、c−c矢視およびd−d矢視の各垂直断面図である。
実施例は、基板(例えば、半導体ウエハ)Wにレジスト膜等を形成するとともに露光された基板Wを現像する基板処理装置である。本装置は、インデクサ部(以下、「ID部」と記載する)1と処理部3とインターフェイス部(以下、「IF部」と記載する)5とに分けられる。処理部3の両側にはID部1とIF部5が隣接して設けられている。IF部5にはさらに本装置とは別体の外部装置である露光機EXPが隣接して設けられる。
ID部1は複数枚の基板Wを収容するカセットCから基板Wを取り出すとともに、カセットCに基板Wを収納する。このID部1はカセットCを載置するカセット載置台9と各カセットCに対して基板Wを搬送するID用搬送機構TIDを備えている。ID用搬送機構TIDは、この発明におけるインデクサ用搬送機構に相当する。
処理部3は4基の主搬送機構T、T、T、Tを備えている。処理部3は各主搬送機構T、T、T、Tごとに第1ないし第4セル11、12、13、14に分けられる。第1、第3セル11、13では基板Wにレジスト膜等を形成する。第2、第4セル12、14では基板Wを現像する。これら各セル11〜14には、主搬送機構T、T、T、Tが搬送を負担する処理ユニット(後述)が複数設けられている。
横方向に並ぶ第1、第2セル11、12は連結されて、ID部1とIF部5との間を結ぶ一の基板処理列を構成する。また、横方向に並ぶ第3、第4セル13、14も連結されて、ID部1とIF部5との間を結ぶ一の基板処理列を構成する。これら2つの基板処理列は上下方向に略平行に設けられている。言い換えれば、処理部3は階層構造の基板処理列で構成されている。
また、各階層の基板処理列は上下方向に積層されている。すなわち、第1セル11は第3セル13の上に積層されており、第2セル12は第4セル14の上に積層されている。よって、第1、第3セル11、13で構成される処理ブロックと、第2、第4セル12、14で構成される処理ブロックが横方向に並べられて処理部3が構成されていると言うことができる。
IF部5は露光機EXPとの間で基板Wを受け渡す。IF部5は基板Wを搬送するIF用搬送機構TIFを備えている。IF用搬送機構TIFは、第1搬送機構TIFAと第2搬送機構TIFBを有する。第1搬送機構TIFAと第2搬送機構TIFBは、この発明におけるインターフェイス用搬送機構に相当する。
そして、ID用搬送機構TIDはID部1と隣接する第1、第3セル11、13の主搬送機構T、Tとの間で基板Wの受け渡しをする。また、各セル11〜14の主搬送機構T〜Tは連結される同じ階層の他のセルとの間で基板Wの受け渡しをする。さらに、IF用搬送機構TIFはIF部5と隣接する第2、第4セル12、14の主搬送機構T、Tとの間で基板Wの受け渡しをする。この結果、2つの基板処理列を通じてID部1とIF部5の間で基板Wを並行して搬送するとともに、各基板処理列において一連の処理を基板Wに行う。主搬送機構T、Tは、この発明における一端搬送機構に相当する。
本装置はさらに、ID用搬送機構TIDと主搬送機構T、Tの間で基板Wを受け渡しするための載置部PASS、PASSを備えている。同様に、主搬送機構T、T同士の基板受け渡しのための載置部PASSと、主搬送機構T、T同士の基板受け渡しのための載置部PASSとを備えている。また、主搬送機構T、TとIF用搬送機構TIFの間で基板Wを受け渡しするための載置部PASS、PASSを備えている。各載置部PASS〜PASSは突出形成された複数の支持ピンをそれぞれ有し、これら支持ピンによって基板Wを略水平姿勢で載置可能に構成されている。
[ID部1]
以下、ID部1から順について説明する。カセット載置台9は4個のカセットCを1列に並べて載置可能に構成される。ID用搬送機構TIDはカセット載置台9の側方をカセットCの並び方向に水平移動する可動台21と、可動台21に対して鉛直方向に伸縮する昇降軸23と、この昇降軸23に対して旋回するとともに旋回半径方向に進退して基板Wを保持する保持アーム25とを備えて、各カセットC、載置部PASS及び載置部PASSとの間で基板Wを搬送可能に構成されている。
[第1セル11]
基板Wを搬送するための搬送スペースAは、この第1セル11の中央を通り、セル11、12の並び方向に平行な帯状に形成されている。第1セル11の処理ユニットは、基板Wに処理液を塗布する塗布処理ユニット31と、基板Wに熱処理を行う熱処理ユニット41である。塗布処理ユニット31は搬送スペースAの一方側に配置されており、他方側には熱処理ユニット41が配置されている。
塗布処理ユニット31は、それぞれ搬送スペースAに面するように縦横に複数個並べて設けられている。本実施例では、2列2段で合計4つの塗布処理ユニット31が配置されている。塗布処理ユニット31は、基板Wに反射防止膜を形成する処理を行う反射防止膜用塗布処理ユニットBARCと、基板Wにレジスト膜を形成する処理を行うレジスト膜用塗布処理ユニットRESISTとを含む。塗布処理ユニット31はこの発明における液処理ユニットに相当する。
図8を参照する。図8(a)は塗布処理ユニットの平面図であり、(b)は塗布処理ユニットの断面図である。各塗布処理ユニット31は基板Wを回転可能に保持する回転保持部32と、基板Wの周囲に設けられるカップ33と、基板Wに処理液を供給する供給部34などを備えている。各段に設けられる2組の回転保持部32及びカップ33は、仕切り壁等で間仕切りされることなく併設されている。供給部34は複数個のノズル35と、一のノズル35を把持する把持部36と、把持部36を移動させて一のノズル35を基板Wの上方の処理位置と基板Wの上方からはずれた待機位置との間で移動させるノズル移動機構37とを備えている。各ノズル35にはそれぞれ処理液配管38の一端が連通接続されている。処理液配管38は、待機位置と処理位置との間におけるノズル35の移動を許容するように可動に設けられている。各処理液配管38の他端側は処理液供給源(図示省略)に接続されている。具体的には、反射防止膜用塗布処理ユニットBARCの場合には処理液供給源は種類の異なる反射防止膜用の処理液を各ノズル35に対して供給する。レジスト膜用塗布処理ユニットRESISTの場合には処理液供給源は種類の異なるレジスト膜材料を各ノズル35に対して供給する。
ノズル移動機構37は、第1ガイドレール37aと第2ガイドレール37bと有する。第1ガイドレール37aは横に並ぶ2つのカップ33を挟んで互いに平行に配備されている。第2ガイドレール37bは2つの第1ガイドレール37aに摺動可能に支持されて、2つのカップ33の上に架設されている。把持部36は第2ガイドレール37bに摺動可能に支持される。ここで、第1ガイドレール37aおよび第2ガイドレール37bが案内する各方向はともに略水平方向で、互いに略直交する。ノズル移動機構37は、さらに第2ガイドレール37bを摺動移動させ、把持部36を摺動移動させる図示省略の駆動部を備えている。そして、駆動部が駆動することにより、把持部36によって把持されたノズル35を処理位置に相当する2つの回転保持部32の上方位置に移動させる。
熱処理ユニット41は複数であり、それぞれ搬送スペースAに面するように縦横に複数個並べられている。本実施例では横方向に3つの熱処理ユニット41を配置可能に、縦方向に5つの熱処理ユニット41を積層可能である。熱処理ユニット41はそれぞれ基板Wを載置するプレート43などを備えている。熱処理ユニット41は基板Wを冷却する冷却ユニットCP、加熱処理と冷却処理を続けて行う加熱冷却ユニットPHPおよび基板Wと被膜の密着性を向上させるためにヘキサメチルシラザン(HMDS)の蒸気雰囲気で熱処理するアドヒージョン処理ユニットAHLを含む。なお、加熱冷却ユニットPHPはプレート43を2つ有するとともに、2つのプレート43間で基板Wを移動させる図示省略のローカル搬送機構を備えている。各種の熱処理ユニットCP、PHP、AHLはそれぞれ複数個であり、適宜の位置に配置されている。
図9を参照する。図9は、主搬送機構の斜視図である。主搬送機構Tは、上下方向に案内する2本の第3ガイドレール51と横方向に案内する第4ガイドレール52を有している。第3ガイドレール51は搬送スペースAの一側方に対向して固定されている。本実施例では、塗布処理ユニット31の側に配置している。第4ガイドレール52は第3ガイドレール51に摺動可能に取り付けられている。第4ガイドレール52には、ベース部53が摺動可能に設けられている。ベース部53は搬送スペースAの略中央まで横方向に張り出している。さらに、第4ガイドレール52を上下方向に移動させ、ベース部53を横方向に移動させる図示省略の駆動部を備えている。この駆動部が駆動することにより、縦横に並ぶ塗布処理ユニット31および熱処理ユニット41の各位置にベース部53を移動させる。
ベース部53には縦軸心Q周りに回転可能に回転台55が設けられている。回転台55には基板Wを保持する2つの保持アーム57a、57bがそれぞれ水平方向に移動可能に設けられている。2つの保持アーム57a、57bは互いに上下に近接した位置に配置されている。さらに、回転台55を回転させ、各保持アーム57a、57bを移動させる図示省略の駆動部を備えている。この駆動部が駆動することにより、各塗布処理ユニット31および各熱処理ユニット41及び載置部PASS、PASSに対向する位置に回転台55を対向させ、これら塗布処理ユニット31等に対して保持アーム57a、57bを進退させる。
[第3セル13]
第3セル13について説明する。なお、第1セル11と同じ構成については同符号を付すことで詳細な説明を省略する。第3セル13内の主搬送機構Tおよび処理ユニットの平面視でのレイアウトは第1セル11のそれと略同じである。このため、塗布処理ユニット31は、第1セル11と第3セル13の各階層にわたって上下方向に積層されていると言うことができる。同様に、熱処理ユニット41も各階層にわたって積層されていると言うことができる。また、主搬送機構Tから見た第3セル13の各種処理ユニットの配置も主搬送機構Tから見た第1セル11の各種処理ユニットの配置と略同じである。
以下において、第1、第3セル11、13に設けられているレジスト膜用塗布処理ユニットRESIST等を区別するときは、それぞれ下付きの符号「1」又は「3」を付す(たとえば、第1セル11に設けられるレジスト膜用塗布処理ユニットRESISTを「レジスト膜用塗布処理ユニットRESIST」と記載する)。
[第1セル11と第3セル13]
第1セル11及び第3セル13に関連する構成についてまとめて説明する。載置部PASSはID部1と第1セル11との間に配置されている。載置部PASSはID部1と第3セル13との間に配置されている。平面視では載置部PASS、PASSはそれぞれ搬送スペースA、AのID部1側に配置されている。断面視では載置部PASSは主搬送機構Tの下部付近の高さに配置され、載置部PASSは主搬送機構Tの上部付近の高さに配置されている。このため、載置部PASSと載置部PASSとの位置が近接しており、ID用搬送機構TIDは少ない昇降量で載置部PASSと載置部PASSとに移動することができる。
載置部PASSと載置部PASSはともに複数(2つ)であり、それぞれ上下2段に配置されている。2つの載置部PASSのうち、一方の載置部PASS1AはID用搬送機構TIDから主搬送機構Tへ基板Wを渡すためのものであり、載置部PASS1Aには専らID用搬送機構TIDによって基板Wが置かれる。他方の載置部PASS1Bは主搬送機構TからID用搬送機構TIDへ基板Wを渡すためのものであり、載置部PASS1Bには専ら主搬送機構Tによって基板Wが置かれる。なお、後述する載置部PASS、PASS、PASS、PASSも、同様に2つずつ設けられており、受け渡しの方向ごとに使い分けられている。
載置部PASSは、第1セル11と第2セル12の間に設けられている。載置部PASSは、第3セル13と第4セル14の間に設けられている。載置部PASS、PASSは、平面視で同じ位置に配置されている。載置部PASS、PASSの上方および下方には、基板Wを仮置きするバッファや基板Wに熱処理を行う熱処理ユニットなど(いずれも図示省略)が適宜に配備されている。
搬送スペースA、Aには、清浄な気体を吹き出す第1吹出ユニット61と気体を吸引する排出ユニット62とがそれぞれ設けられている。第1吹出ユニット61と排出ユニット62は、それぞれ平面視における搬送スペースAと略同じ広さを有する扁平な箱状物である。第1吹出ユニット61と排出ユニット62の一方面にはそれぞれ第1吹出口61aと排出口62aが形成されている。本実施例では多数の小孔fで第1吹出口61aおよび排出口62aが構成されている。第1吹出ユニット61は第1吹出口61aを下に向けた姿勢で搬送スペースA、Aの上部に配置されている。また、排出ユニット62は排出口62aを上に向けた姿勢で搬送スペースA、Aの下部に配置されている。搬送スペースAと搬送スペースAの雰囲気は、搬送スペースAの排出ユニット62と搬送スペースAの第1吹出ユニット61とによって遮断されている。第1吹出口61aは、この発明における気体供給口に相当する。排出口62aは、この発明における気体排出口に相当する。第1吹出ユニット61はこの発明の吹出ユニットに相当する。
搬送スペースA、Aの各第1吹出ユニット61は同じ第1気体供給管63に連通接続されている。第1気体供給管63は載置部PASS、PASSの側方位置に、搬送スペースAの上部から搬送スペースAの下部にかけて設けられているとともに、搬送スペースAの下方で水平方向に曲げられている。第1気体供給管63の他端側は図示省略の気体供給源に連通接続されている。同様に、搬送スペースA、Aの排出ユニット62は同じ第1気体排出管64に連通接続されている。第1気体排出管64は搬送スペースAの下部から搬送スペースAの下部にかけて、載置部PASS、PASSの側方位置に設けられているとともに、搬送スペースAの下方で水平方向に曲げられている。そして、搬送スペースA、Aの各第1吹出口61aから気体を吹き出させるとともに各排出口62aから気体を吸引/排出させることで、搬送スペースA、Aには上部から下部に流れる気流が形成されて、各搬送スペースA、Aは個別に清浄な状態に保たれる。
第1、第3セル11、13の各塗布処理ユニット31には、縦方向に貫く竪穴部PSが形成されている。この竪穴部PSには清浄な気体を供給するための第2気体供給管65と、気体を排気するための第2気体排出管66が上下方向に設けられている。第2気体供給管65と第2気体排出管66はそれぞれ各塗布処理ユニット31の所定の高さ位置で分岐して竪穴部PSから略水平方向に引き出されている。分岐した複数の第2気体供給管65は、気体を下方に吹き出す第2吹出ユニット67に連通接続している。また、分岐した複数の第2気体排出管66は各カップ33の底部にそれぞれ連通接続している。第2気体供給管65の他端は、第3セル13の下方において第1気体供給管63に連通接続されている。第2気体排出管66の他端は、第3セル13の下方において第1気体排出管64に連通接続されている。そして、第2吹出ユニット67から気体を吹き出させるとともに、第2気体排出管66を通じて気体を排出させることで、各カップ33内の雰囲気は常に清浄に保たれ、回転保持部32に保持された基板Wを好適に処理できる。
また、竪穴部PSにはさらに処理液を通じる配管や電気配線等(いずれも図示省略)が設置されている。このように、竪穴部PSに第1、第3セル11、13の塗布処理ユニット31に付設される配管や配線等を収容することができるので、配管や配線等の長さを短くすることができる。
また、第1セル11及び第3セル13が有する主搬送機構T、Tと各処理ユニットは、それぞれ一の筐体75に収容されている。後述する第2セル12と第4セル14の各構成も別個の筐体75に収容されている。このように、処理ブロックごとに主搬送機構Tおよび処理ユニットをまとめて収容する筐体を備えることで、処理部3を簡易に製造することができる。
[第2セル12]
第2セル12について説明する。第1セル11と同じ構成については同符号を付すことで詳細な説明を省略する。第2セル12の搬送スペースAは搬送スペースAの延長上となるように形成されている。
第2セル12の処理ユニットは基板Wを現像する現像処理ユニットDEVと、基板Wに熱処理を行う熱処理ユニット42と、基板Wの周縁部を露光するエッジ露光ユニットEEWである。現像処理ユニットDEVは搬送スペースAの一方側に配置され、熱処理ユニット42およびエッジ露光ユニットEEWは搬送スペースAの他方側に配置されている。ここで、現像処理ユニットDEVは塗布処理ユニット31と同じ側に配置されることが好ましい。また、熱処理ユニット42及びエッジ露光ユニットEEWは熱処理ユニット41と同じ並びとなることが好ましい。
現像処理ユニットDEVは4つであり、搬送スペースAに沿う横方向に2つ並べられたものが上下2段に積層されている。各現像処理ユニットDEVは基板Wを回転可能に保持する回転保持部77と、基板Wの周囲に設けられるカップ79とを備えている。1段に並設される2つの現像処理ユニットDEVは仕切り壁等で間仕切りされることなく設けられている。さらに、2つの現像処理ユニットDEVに対して、現像液を供給する供給部81が設けられている。供給部81は、現像液を吐出するためのスリットまたは小孔列を有する2つのスリットノズル81aを有する。スリットまたは小孔列の長手方向の長さは基板Wの直径相当が好ましい。また、2つのスリットノズル81aは互いに異なる種類または濃度の現像液を吐出するように構成することが好ましい。供給部81はさらに、各スリットノズル81aを移動させる移動機構81bとを備えている。これにより、各スリットノズル81aはそれぞれ、横方向に並ぶ2つの回転保持部77の上方に移動可能である。
熱処理ユニット42は複数であり、搬送スペースAに沿う横方向に複数並べられるとともに、縦方向に複数積層されている。熱処理ユニット42は基板Wを加熱する加熱ユニットHPと基板Wを冷却する冷却ユニットCPを含む。
エッジ露光ユニットEEWは単一であり、所定の位置に設けられている。エッジ露光ユニットEEWは、基板Wを回転可能に保持する回転保持部(不図示)と、この回転保持部に保持された基板Wの周縁を露光する光照射部(不図示)とを備えている。
搬送スペースAに面するとともにIF部5と隣接する一画には、載置部PASSと加熱冷却ユニットPHPが積層して設けられている。これら載置部PASSと加熱冷却ユニットPHPの一側方は熱処理ユニット42と隣接しており、熱処理ユニット42に並ぶように設けられている。加熱冷却ユニットPHPについてはIF用搬送機構TIFが搬送を負担するものである点で第2セル12の熱処理ユニット42と区別されるが、レイアウト上は第2、第4セル12、14と同じ筐体75に収容されている。そして、これら加熱冷却ユニットPHPと載置部PASSは搬送スペースAに面する前面側とIF部5に面する側面側とから基板Wを搬入、搬出可能に構成されている。
主搬送機構Tは平面視で搬送スペースAの略中央に設けられている。主搬送機構Tは主搬送機構Tと同様に構成されている。そして、載置部PASSと各種の熱処理ユニット42とエッジ露光ユニットEEWと載置部PASSとの間で主搬送機構Tが基板Wを搬送する。
[第4理ブロック14]
第1、第2セル11、12と同じ構成については同符号を付すことで詳細な説明を省略する。第4セル14内の主搬送機構Tおよび処理ユニットの平面視でのレイアウトは第2セル12のそれと略同じである。また、主搬送機構Tから見た第4セル14の各種処理ユニットの配置も主搬送機構Tから見た第2セル12の各種処理ユニットの配置と略同じである。このため、第2セル12と第4セル14の各現像処理ユニットDEVは上下に積層されている。同様に、第2セル12と第4セル14の各熱処理ユニット42等は上下に積層されている。
[第2セル12と第4セル14]
第2セル12及び第4セル14に関連する構成も第1、第3セル11、13に関連する構成と略同様であり簡略に説明する。第2、第4セル12、14の搬送スペースA、Aにも、第1吹出ユニット61や排出ユニット62等に相当する構成がそれぞれ設けられている。また、第2、第4セル12、14の現像処理ユニットDEVには、第2吹出ユニット67や第2気体排出管66等に相当する構成がそれぞれ設けられている。
以下において、第2、第4セル12、14に設けられている現像処理ユニットDEVやエッジ露光ユニットEEW等を区別するときは、それぞれ下付きの符号「2」又は「4」を付す(たとえば、第2セル12に設けられる加熱ユニットHPを「加熱ユニットHP」と記載する)。
[IF部5など]
第1搬送機構TIFAと第2搬送機構TIFBとは、セル11、12(13、14)の並び方向と直交する方向に並んで設けられている。第1搬送機構TIFAは第2、4セル12、14の熱処理ユニット42等が位置する側に配置されている。第2搬送機構TIFBは第2、4セル12、14の現像処理ユニットDEVが位置する側に配置されている。これら第1、第2搬送機構TIFA、TIFBの間には基板Wを載置して冷却する載置部PASS−CPと、基板Wを載置する載置部PASSと、基板Wを一時的に収容するバッファBFが多段に積層されている。
第1搬送機構TIFAは、固定的に設けられる基台83と、基台83に対して鉛直上方に伸縮する昇降軸85と、この昇降軸85に対して旋回可能であるとともに旋回半径方向に進退して基板Wを保持する保持アーム87とを備えている。そして、加熱冷却ユニット(PHP、PHP)、載置部(PASS、PASS、PASS−CP)およびバッファBFとの間で基板Wを搬送する。第2搬送機構TIFBも基台83と昇降軸85と保持アーム87とを備えている。そして、載置部(PASS−CP、PASS)と露光機EXPの間で基板Wを搬送する。
次に本装置の制御系について説明する。図10は、実施例に係る基板処理装置の制御ブロック図である。図示するように、本装置はメインコントローラ91と第1ないし第6コントローラ93、94、95、96、97、98を備えている。
第1コントローラ93はID用搬送機構TIDによる基板搬送を制御する。第2コントローラ94は主搬送機構Tによる基板搬送と、レジスト膜用塗布処理ユニットRESISTと反射防止膜用塗布処理ユニットBARCと冷却ユニットCPと加熱冷却ユニットPHPとアドヒージョン処理ユニットAHLにおける基板処理を制御する。第3コントローラ95は主搬送機構Tによる基板搬送と、エッジ露光ユニットEEWと現像処理ユニットDEVと加熱ユニットHPと冷却ユニットCPにおける基板処理を制御する。第4、第5コントローラ96、97の制御はそれぞれ第2、第3コントローラ94、95の制御と対応する。第6コントローラ98は、第1、第2搬送機構TIFA、TIFBによる基板搬送と、加熱冷却ユニットPHP、PHPにおける基板処理を制御する。上述した第1〜第6コントローラ93〜98による制御はそれぞれ互いに独立して行われる。
メインコントローラ91は、第1から第6コントローラ93〜98を統括的に制御する。具体的にはメインコントローラ91は各搬送機構の連携を制御する。たとえば、載置部PASS〜PASSに各搬送機構がアクセスするタイミングを調整する。また、メインコントローラ91はカセットCから搬出した順序と同じ順番で露光機EXPに基板Wを搬送するように制御する。
メインコントローラ91および第1〜第6コントローラ93〜98はそれぞれ、各種処理を実行する中央演算処理装置(CPU)や、演算処理の作業領域となるRAM(Random-Access Memory)や、予め設定されている処理レシピ(処理プログラム)など各種情報を記憶する固定ディスク等の記憶媒体等によって実現されている。メインコントローラ91および第1〜第6コントローラ93〜98は、この発明における制御手段に相当する。
次に、実施例に係る基板処理装置の動作について説明する。図11は基板Wに一連の処理を行う際のフローチャートであり、基板Wが順次搬送される処理ユニットまたは載置部などを示すものである。また、図12は、各搬送機構がそれぞれ繰り返し行う動作を模式的に示す図であり、搬送機構がアクセスする処理ユニット、載置部またはカセット等の順序を明示するものである。以下では、搬送機構ごとに分けて説明する。
[ID用搬送機構TID
ID用搬送機構TIDは一のカセットCに対向する位置に移動し、カセットCに収容される一枚の未処理の基板Wを保持アーム25に保持してカセットCから搬出する。ID用搬送機構TIDは保持アーム25を旋回し昇降軸23を昇降して載置部PASSに対向する位置に移動し、保持している基板Wを載置部PASS1Aに載置する(図8におけるステップS1aに対応する。以下、ステップの番号のみ付記する。)。このとき、載置部PASS1Bには通常、基板Wが載置されており、この基板Wを受け取ってカセットCに収納する(ステップS23)。載置部PASS1Bに基板Wがない場合はそのままカセットCにアクセスする。そして、カセットCに収容される基板Wを載置部PASS3Aへ搬送する(ステップS1b)。ここでも、載置部PASS3Bに基板Wが載置されていれば、この基板WをカセットCに収納する(ステップS23)。
ID用搬送機構TIDは上述した動作を繰り返し行う。なお、この動作は第1コントローラ93によって制御されている。これにより、カセットCから一枚ずつ搬出された基板Wは第1セル11と第3セル13に交互に搬送される。
[主搬送機構T、T
主搬送機構Tの動作は主搬送機構Tの動作と略同じであるので、主搬送機構Tについてのみ説明する。主搬送機構Tは載置部PASSに対向する位置に移動する。このとき、主搬送機構Tは直前に載置部PASS2Bから受け取った基板Wを一方の保持アーム57(例えば57b)に保持している。主搬送機構Tは保持している基板Wを載置部PASS1Bに載置するとともに(ステップS22)、他方の保持アーム57(例えば57a)で載置部PASS1Aに載置されている基板Wを保持する。
主搬送機構Tは所定の冷却ユニットCPにアクセスする。冷却ユニットCPには既に所定の熱処理(冷却)が終了した他の基板Wがある。主搬送機構Tは空の(基板Wを保持していない)保持アーム57で他の基板Wを保持して冷却ユニットCPから搬出するとともに、載置部PASS1Aから受け取った基板Wを冷却ユニットCPに搬入する。そして、主搬送機構Tは冷却された基板Wを保持して反射防止膜用塗布処理ユニットBARCに移動する。冷却ユニットCPは搬入された基板Wに対して熱処理(冷却)を開始する(ステップS2)。なお、この後、主搬送機構Tが各種の熱処理ユニット41や塗布処理ユニット31にアクセスする際、これら処理ユニット(31、41)には既に所定の処理を終えた基板Wがあるものとする。
反射防止膜用塗布処理ユニットBARCにアクセスすると、主搬送機構Tは反射防止膜用塗布処理ユニットBARCから反射防止膜が形成された基板Wを搬出するとともに、冷却された基板Wを反射防止膜用塗布処理ユニットBARCの回転保持部32に置く。その後、主搬送機構Tは反射防止膜が形成された基板Wを保持して加熱冷却ユニットPHPに移動する。反射防止膜用塗布処理ユニットBARCは回転保持部32に載置された基板Wに対して処理を開始する(ステップS3)。
具体的には、回転保持部32が基板Wを水平姿勢で回転させるとともに、把持部36で一のノズル35を把持し、ノズル移動機構37の駆動により把持したノズル35を基板Wの上方に移動させ、ノズル35から反射防止膜用の処理液を基板Wに供給する。供給された処理液は基板Wの全面に広がり、基板Wから捨てられる。カップ33は捨てられた処理液を回収する。このようにして、基板Wに反射防止膜を塗布形成する処理が行われる。
主搬送機構Tは加熱冷却ユニットPHPにアクセスすると、加熱冷却ユニットPHPから熱処理が済んだ基板Wを搬出するとともに、反射防止膜が形成された基板Wを加熱冷却ユニットPHPに投入する。その後、主搬送機構Tは加熱冷却ユニットPHPから搬出した基板Wを保持して冷却ユニットCPに移動する。加熱冷却ユニットPHPでは2つのプレート43上に順次、基板Wを載置して、一のプレート43上で基板Wを加熱した後に他のプレート43上で基板Wを冷却する(ステップS4)。
主搬送機構Tは冷却ユニットCPに移動すると、冷却ユニットCP内の基板Wを搬出するとともに、保持している基板Wを冷却ユニットCPに搬入する。冷却ユニットCPは搬入された基板Wを冷却する(ステップS5)。
続いて、主搬送機構Tはレジスト膜用塗布処理ユニットRESISTに移動する。そして、レジスト膜用塗布処理ユニットRESISTからレジスト膜が形成された基板Wを搬出するとともに、保持している基板Wをレジスト膜用塗布処理ユニットRESISTに基板Wを搬入する。レジスト膜用塗布処理ユニットRESISTは搬入された基板Wを回転させつつレジスト膜材料を供給して、基板Wにレジスト膜を形成する(ステップS6)。
主搬送機構Tはさらに加熱冷却ユニットPHPと冷却ユニットCPに移動する。そして、レジスト膜が形成された基板Wを加熱冷却ユニットPHPに搬入し、加熱冷却ユニット部PHPで処理が済んだ基板Wを冷却ユニットCPに移すとともに、この冷却ユニットCPにおいて処理が済んだ基板Wを受け取る。加熱冷却ユニットPHPと冷却ユニットCPはそれぞれ未処理の基板Wに所定の処理を行う。(ステップS7、S8)。
主搬送機構Tは載置部PASSに移動して、保持している基板Wを載置部PASS2Aに載置し(ステップS9)、載置部PASS2Bに載置されている基板Wを受け取る(ステップS21)。
その後、主搬送機構Tは再び載置部PASSにアクセスして上述した動作を繰り返し行う。なお、この動作は第2コントローラ94によって制御されている。これにより、載置部PASSに載置された基板Wを受け取ると、主搬送機構Tはこの基板Wを所定の処理ユニット(本実施例では冷却ユニットCP)に搬送するとともにこの処理ユニットから処理済の基板Wを取り出す。引き続いて複数の処理ユニットに順番に移動して、各処理ユニットの処理済みの基板Wを他の処理ユニットに移し替えていく。各処理ユニット(31、41)では処理済みの基板Wが未処理の基板Wの置き換えられるたびに、所定の処理を開始する。よって、複数枚の基板Wに対してそれぞれ異なる処理ユニットで並行して処理が行われる。ただし、基板Wを複数の処理ユニット(31、41)に移載して処理するスケジュールは一律となるように第2コントローラ94が制御する。このため、一連の処理は先に載置部PASSに載置された基板Wから順に終了していくので、載置部PASSに載置された順番どおりに基板Wが載置部PASSに払い出される。同様に、主搬送機構Tは載置部PASSから受け取った順番どおりに基板Wを載置部PASSに載置する。
[主搬送機構T、T
主搬送機構Tの動作は主搬送機構Tの動作と略同じであるので、主搬送機構Tについてのみ説明する。主搬送機構Tは載置部PASSに対向する位置に移動する。このとき、主搬送機構Tは直前にアクセスした冷却ユニットCPから受け取った基板Wを保持している。主搬送機構Tは保持している基板Wを載置部PASS2Bに載置するとともに(ステップS21)、載置部PASS2Aに載置されている基板Wを保持する(ステップS9)。
主搬送機構Tはエッジ露光ユニットEEWにアクセスする。そして、エッジ露光ユニットEEWで所定の処理が行われた基板Wを受け取るととともに、冷却された基板Wをエッジ露光ユニットEEWに搬入する。エッジ露光ユニットEEWは搬入された基板Wを回転させつつ、図示省略の光照射部から基板Wの周縁部に光を照射する。これにより基板Wの周辺を露光する(ステップS10)。
主搬送機構Tはエッジ露光ユニットEEWから受け取った基板Wを保持して載置部PASSにアクセスする。そして、保持している基板Wを載置部PASS5Aに載置し(ステップS11)、載置部PASS5Bに載置されている基板Wを保持する(ステップS16)。
主搬送機構Tは冷却ユニットCPに移動して、保持している基板Wを冷却ユニットCP内の基板Wと入れ換える。主搬送機構Tは冷却処理が済んだ基板Wを保持して現像処理ユニットDEVにアクセスする。冷却ユニットCPは新たに搬入された基板Wに対して処理を開始する(ステップS17)。
主搬送機構Tは現像処理ユニットDEVから現像された基板Wを搬出するとともに、冷却された基板Wを現像処理ユニットDEVの回転保持部77に置く。現像処理ユニットDEVは回転保持部77に置かれた基板Wを現像する(ステップS18)。具体的には、回転保持部77が基板Wを水平姿勢で回転させつつ、いずれかのスリットノズル81aから基板Wに現像液を供給して基板Wを現像する。
主搬送機構Tは現像された基板Wを保持して加熱ユニットHPにアクセスする。そして、加熱ユニットHPから基板Wを搬出するとともに、保持する基板Wを加熱ユニットHPに投入する。続いて、主搬送機構Tは加熱ユニットHPから搬出した基板Wを冷却ユニットCPに搬送するとともに、この冷却ユニットCPにおいて既に処理が済んだ基板Wを取り出す。加熱ユニットHPと冷却ユニットCPはそれぞれ未処理の基板Wに所定の処理を行う(ステップS19、S20)。
その後、主搬送機構Tは再び載置部PASSにアクセスして上述した動作を繰り返し行う。なお、この動作は第3コントローラ95によって制御されている。これにより、載置部PASS2Aに載置された順番どおりに基板Wが載置部PASS5Aに払い出される。同様に、また、基板Wを載置部PASS5Bに載置された順番どおりに基板Wが載置部PASS2Bに払い出される。
[IF用搬送機構TIF〜第1搬送機構TIFA
第1搬送機構TIFAは載置部PASSにアクセスし、載置部PASS5Aに載置される基板Wを受け取る(ステップS11a)。第1搬送機構TIFAは受け取った基板Wを保持して載置部PASS−CPに移動し、載置部PASS−CP内に搬入する(ステップS12)。
次に、第1搬送機構TIFAは載置部PASSから基板Wを受け取り(ステップS14)、加熱冷却ユニットPHPに対向する位置に移動する。そして、第1搬送機構TIFAは加熱冷却ユニットPHPからすでに熱処理(PEB:Post Exposure Bake)が済んだ基板Wを取り出し、載置部PASSから受け取った基板Wを加熱冷却ユニットPHPに搬入する。加熱冷却ユニットPHPは未処理の基板Wを熱処理する(ステップS15)。
第1搬送機構TIFAは加熱冷却ユニットPHPから取り出した基板Wを載置部PASS5Bに搬送する。続いて、第1搬送機構TIFAは載置部PASS6Aに載置される基板Wを載置部PASS−CPに搬送する(ステップS11b、12)。次に、第1搬送機構TIFAは載置部PASSから加熱冷却ユニットPHPに搬送する。このとき、既に加熱冷却ユニットPHPにおける処理が済んだ基板Wを取り出して載置部PASS4Bに載置する。
その後、第1搬送機構TIFAは再び載置部PASSにアクセスして上述した動作を繰り返し行う。なお、この動作は第6コントローラ98によって制御されている。このように、載置部PASS、PASSから交互に載置部PASS−CPに搬送することで、カセットCからID用搬送機構TIDが取り出した順序どおりに基板Wを載置部PASS−CPに載置する。
ただし、主搬送機構Tによる処理ユニットに対する搬送および各処理ユニットの処理の制御はセル11〜14ごとに独立している。すなわち、載置部PASSと載置部PASSに払い出される各タイミングが調整されることはない。このため、基板処理または搬送の遅延等の障害に起因して、載置部PASS及び載置部PASSの双方に払い出される前後関係がカセットCから取り出した順序と一致しない場合がある。このような場合においては、第6コントローラ98による制御に基づき、第1搬送機構TIFAを次のように動作させる。
載置部PASS5Aおよび載置部PASS6Aのいずれか一方に基板Wが払い出されなくなった場合において他方の載置部に基板Wが載置されたときは、その載置部に載置された基板Wを載置部PASS−CPではなく、バッファBFに搬送先を変更する。そして、払い出しが停止していた一方の載置部に再び基板Wが載置され始めると、載置部PASS−CPに対して、復旧した一方の載置部とバッファBFとから交互に基板Wを搬送する。これにより、載置部PASS及び載置部PASSに払い出されるタイミングの前後関係がカセットCから取り出した順序と異なる場合であっても、載置部PASS−CPに搬入される基板Wの順序についてはカセットCから取り出した順序と一致する。
[IF用搬送機構TIF〜第2搬送機構TIFB
第2搬送機構TIFBは載置部PASS−CPから基板Wを取り出して、露光機EXPに搬送する。そして、露光機EXPから払い出される露光済みの基板Wを受け取ると、載置部PASSに搬送する。
その後、第2搬送機構TIFBは再び載置部PASS−CPにアクセスして上述した動作を繰り返し行う。なお、この動作も第6コントローラ98によって制御されている。このように第1、第2搬送機構TIFA、TIFBが連携して動作するため、カセットCから取り出した順序どおりに基板Wを露光機EXPに送る。
このように、実施例に係る基板処理装置によれば、上下に配設された基板処理列を2つ備えていることで、反射防止膜およびレジスト膜を形成する処理と現像処理の各処理能力を略倍増させることができる。よって、基板処理装置のスループットを大幅に改善することができる。
また、主搬送機構T、T、T、Tを上の階層と下の階層にそれぞれ1列ずつであるので、基板処理装置の設置面積の増大を抑制することができる。
上下2つの基板処理列における主搬送機構T、T(T、T)および処理ユニットの配置は平面視で略同じであるので、装置の構成を簡略化できる。
また、上下2つの基板処理列を構成する処理ユニットを同種として、上下2つの基板処理列で行われる一連の処理を同じとすることで、装置の構成を簡略化できる。
また、上下のセル11、13(12、14)の各処理ユニットは互いに積層関係にあるので、上下2つのセルで構成される処理ブロックの構造を簡略化できる。
また、処理ブロック内の上下2基の主搬送機構Tと複数の処理ユニットをまとめて支持する筐体75を処理ブロックごとに備えているので、基板処理装置を効率よく製造できるととともに補修を容易に行うことができる。
また、各搬送スペースA〜Aには、それぞれ第1吹出口61aと排出口62aが設けられているので、各搬送スペースAを清浄に保つことができる。
また、第1吹出口61aが搬送スペースAの上部に、排出口62aが搬送スペースAの下部に配置されているので、搬送スペースAには略鉛直下向きの気流が形成される。これにより、各熱処理ユニット41からの熱によって搬送スペースAや塗布処理ユニット31又は現像処理ユニットDEVの温度環境が影響を受けることを防止することができる。
また、搬送スペースA(A)に設けられる排出ユニット62と搬送スペースA(A)に設けられる第1吹出ユニット61が各搬送スペースA、A(A、A)の雰囲気を遮断するので、各搬送スペースAをそれぞれ清浄に保つことができる。また、専ら雰囲気を遮断する部材を設けることを要しないので、装置構成を簡略化できる。
上下の搬送スペースA、Aにそれぞれ設けられる第1吹出ユニット61に対して共通の第1気体供給管61を備えることで、配管設置スペースを低減し、装置構成を簡略化することができる。
また、ID用搬送機構TIDと主搬送機構T、Tの間で基板Wを受け渡しするための載置部PASS、PASSを備えているので、ID用搬送機構TIDおよび主搬送機構T、Tの搬送効率が低下することを防止できる。同様に、各搬送機構間の基板Wの受け渡しも載置部PASSを介して行うことで、各搬送機構の搬送効率が悪化することを防止できる。
また、載置部PASSと載置部PASSとの位置が近接しているので、ID用搬送機構TIDはより少ない昇降量で載置部PASSと載置部PASSにアクセスすることができる。
また、メインコントローラ91と第1ないし第6コントローラ93〜98を備えていることで、各基板Wについて、カセットCから取り出される順番と露光機EXPに投入される順番を一致させるように制御する。これにより、基板Wを識別するための構成を備えることなく、各基板Wを管理することや追跡調査することができる。
上下のセル11、13(12、14)にそれぞれ設けられる各塗布処理ユニット31(各現像処理ユニットDEV)に対して共通の第2気体供給管65を備えることで、配管設置スペースを低減し、装置構成を簡略化することができる。
この発明は、上記実施形態に限られることはなく、下記のように変形実施することができる。
(1)上述した実施例では、基板処理列を2つ構成したが、これに限られない。3以上の基板処理列を構成して上下に多段に設けるように変更してもよい。
(2)上述した実施例では、各基板処理列は2つのセル11、12(13、14)を連結して構成したが、これに限られない。3つ以上のセルを連結して基板処理列を構成してもよい。
(3)上述した実施例では、基板処理列は基板Wにレジスト膜、反射防止膜を形成する処理と露光済みの基板Wに現像する処理とを行うものであったが、これに限られない。基板処理列において洗浄処理などその他の処理を基板Wに行うように変更してもよい。これにより、各処理ユニットの種類、個数等は適宜に選択、設計される。また、IF部5を省略して基板処理装置を構成してもよい。
(4)上述した実施例では、2つの基板処理列で行われる一連の処理は同じであったが、これに限られない。各基板処理列で異なる処理を行うように変更してもよい。
(5)上述した実施例では、2つの基板処理列の平面レイアウトが略同じであったがこれに限られない。基板処理列ごとに(すなわち、上下のセル間で)主搬送機構Tや処理ユニットの配置が異なるように変更してもよい。
(6)上述した実施例では、上下のセル11、13(12、14)間で主搬送機構Tから見た処理ユニットの配置が同じであったが、これに限られることなく、上下のセル間で異なるように変更してもよい。
(7)上述した実施例では、各セル11〜14は搬送スペースAの両側に処理ユニットを配置していたが、片側のみに処理ユニットを配置してもよい。
(8)上述した実施例では、載置部PASSを介して搬送機構間の受け渡しを行うように構成していたが、これに限られない。たとえば、直接受け渡すように変更してもよい。
(9)上述した実施例において、各載置部PASS、PASS、PASS、PASSの上側や下側に、バッファBFや冷却ユニットCP等を配置するように構成してもよい。これにより、基板Wを適宜仮置きしたり、冷却することができる。
(10)上述した実施例では、IF用搬送機構TIFを2基の搬送機構TIFA、TIFBで構成したが、これに限られることなく、1基または3基以上の搬送機構で構成するように変更してもよい。
(11)上述した実施例では、反射防止膜用塗布処理ユニットBARCとレジスト膜用塗布処理ユニットRESISTの間に隔壁等を備えておらず、各ユニット間で雰囲気が連通していたが、これに限られない。適宜に両ユニットの雰囲気を遮断するように構成してもよい。
(12)上述した実施例では、一の第1吹出ユニット61と一の排出ユニット62が各搬送スペースA、A(A、A)の雰囲気を遮断するように構成したがこれに限られない。たとえば、第1吹出ユニット61と排出ユニット62の一方のみが雰囲気を遮断するように構成してもよい。あるいは、排出ユニット62及び第1吹出ユニット61とは別個に上下関係にある各搬送スペースAの雰囲気を遮断する遮蔽板を備えるように構成してもよい。
(13)上述した実施例では、各搬送スペースAの上部に第1吹出ユニット61を配置し、下部に排出ユニット62を配置したが、これに限られない。搬送スペースAの側部に第1吹出ユニット61または排出ユニット62を配置するように構成してもよい。また、同じ基板処理列の搬送スペースA、A(A、A)で、第1吹出ユニット61や排出ユニット62を共通化してもよい。
実施例に係る基板処理装置の概略構成を示す平面図である。 基板処理装置が有する処理ユニットの配置を示す概略側面図である。 基板処理装置が有する処理ユニットの配置を示す概略側面図である。 図1におけるa−a矢視の各垂直断面図である。 図1におけるb−b矢視の各垂直断面図である。 図1におけるc−c矢視の各垂直断面図である。 図1におけるd−d矢視の各垂直断面図である。 (a)は塗布処理ユニットの平面図であり、(b)は塗布処理ユニットの断面図である。 主搬送機構の斜視図である。 実施例に係る基板処理装置の制御ブロック図である。 基板Wに行う一連の処理をフローチャートである。 各搬送機構がそれぞれ繰り返し行う動作を模式的に示す図である。
符号の説明
1 …インデクサ部(ID部)
3 …処理部
5 …インターフェイス部(IF部)
11 …第1セル
12 …第2セル
13 …第3セル
14 …第4セル
31 …塗布処理ユニット
41 …熱処理ユニット
61 …第1吹出ユニット
61a …第1吹出口
62 …第2排出ユニット
62a …排出口
65 …第2気体供給管
66 …第2気体排出管
91 …メインコントローラ
93〜98 …第1ないし第6コントローラ
BARC …反射防止膜用塗布処理ユニット
RESIST …レジスト膜用塗布処理ユニット
DEV …現像処理ユニット
EEW …エッジ露光ユニット
ID…ID用搬送機構
、T、T、T …主搬送機構
IF …IF用搬送機構
PASS、PASS−CP …載置部
BF …バッファ
、A、A、A …搬送スペース
EXP …露光機
C …カセット
W …基板

Claims (20)

  1. 基板に処理を行う基板処理装置において、
    横方向に並べられる複数の主搬送機構と、
    主搬送機構ごとに設けられ、基板を処理する複数の処理ユニットと、
    を含んで、各主搬送機構が対応する処理ユニットに基板を搬送しつつ横方向に隣接する他の主搬送機構に基板を受け渡して、基板に一連の処理を行う基板処理列を構成し、
    この基板処理列を上下方向に複数設けたことを特徴とする基板処理装置。
  2. 請求項1に記載の基板処理装置において、
    各基板処理列における主搬送機構および処理ユニットの配置は平面視で略同じであることを特徴とする基板処理装置。
  3. 請求項1または請求項2に記載の基板処理装置において、
    前記主搬送機構が設置される搬送スペースに清浄な気体を供給する気体供給口と、
    前記搬送スペースから気体を排出する気体排出口と、
    を備えていることを特徴とする基板処理装置。
  4. 請求項3に記載の基板処理装置において、
    前記搬送スペースの雰囲気は基板処理列ごとに遮断されており、
    前記気体供給口および前記気体排出口は、基板処理列ごとに別個に設けられていることを特徴とする基板処理装置。
  5. 請求項4に記載の基板処理装置において、
    前記気体供給口が形成されている吹出ユニットと、
    前記気体排出口が形成されている排出ユニットと、
    を備え、
    前記吹出しユニットまたは排出ユニットの少なくともいずれかが基板処理列ごとに雰囲気を遮断することを特徴とする基板処理装置。
  6. 請求項3から請求項5のいずれかに記載の基板処理装置において、
    前記気体供給口は前記気体排出口より高い位置に配置されていることを特徴とする基板処理装置。
  7. 請求項6に記載の基板処理装置において、
    前記気体供給口は前記搬送スペースの上部に配置され、前記気体排出口は前記搬送スペースの下部に配置されることを特徴とする基板処理装置。
  8. 請求項1から請求項7のいずれかに記載の基板処理装置において、
    複数枚の基板を収容するカセットに対して基板を搬送するインデクサ用搬送機構を備え、
    前記インデクサ用搬送機構は、各基板処理列の一端側の主搬送機構である一端搬送機構との間で基板の受け渡しを行い、
    かつ、各一端搬送機構のうち上側の一端搬送機構とはその下部付近の高さ位置で基板の受け渡しを行い、各一端搬送機構のうち下側の一端搬送機構とはその上部付近の高さ位置で基板の受け渡しを行うことを特徴とする基板処理装置。
  9. 請求項8に記載の基板処理装置において、
    前記インデクサ用搬送機構と各一端搬送機構との間にそれぞれ設けられて基板を載置する載置部を備え、
    前記インデクサ用搬送機構は各載置部を介して基板を受け渡すことを特徴とする基板処理装置。
  10. 基板に処理を行う基板処理装置において、
    上下方向の階層ごとに設けられて基板に処理を行う処理ユニットと、
    各階層に設けられ、当該階層の処理ユニットに対して基板を搬送する主搬送機構と、
    を有する処理ブロックを横方向に複数個並べてあり、
    隣接する処理ブロックの同じ階層の主搬送機構同士で基板を受け渡して基板に一連の処理を行うことを特徴とする基板処理装置。
  11. 請求項10に記載の基板処理装置において、
    一の処理ブロックに含まれる複数の処理ユニットと複数の主搬送機構とをまとめて収容する筐体を処理ブロックごとに備えていることを特徴とする基板処理装置。
  12. 請求項11に記載の基板処理装置において、
    各処理ブロックは、
    各階層間に設けられる遮蔽板と、
    各階層の主搬送機構の搬送スペースに清浄な気体を供給する気体供給口と、
    各階層の主搬送機構の搬送スペースから気体を排出する気体排出口と、
    を備えていることを特徴とする基板処理装置。
  13. 請求項12に記載の基板処理装置において、
    前記気体供給口が形成されている吹出ユニットと、
    前記気体排出口が形成されている排出ユニットと、
    を備え、
    前記吹出しユニットまたは排出ユニットの少なくともいずれかが前記遮蔽板を兼ねることを特徴とする基板処理装置。
  14. 請求項12または請求項13に記載の基板処理装置において、
    各搬送スペースの気体供給口は、その搬送スペースの気体排出口より高い位置に配置されていることを特徴とする基板処理装置。
  15. 請求項10から請求項14のいずれかに記載の基板処理装置において、
    複数枚の基板を収容するカセットに対して基板を搬送するとともに、一側端の処理ブロックにおける各階層の主搬送機構との間で基板の受け渡しを行うインデクサ用搬送機構を備え、
    一側端の処理ブロックにおける各階層の主搬送機構との間で前記インデクサ用搬送機構が基板の受け渡しを行う各位置は近接していることを特徴とする基板処理装置。
  16. 請求項15に記載の基板処理装置において、
    一側端の処理ブロックにおける各階層の主搬送機構と前記インデクサ用搬送機構との間にそれぞれ設けられて基板を載置する載置部を備え、
    前記インデクサ用搬送機構は各載置部を介して基板を受け渡すことを特徴とする基板処理装置。
  17. 基板に処理を行う基板処理装置において、
    複数枚の基板を収納するカセットに対して基板を搬送するインデクサ用搬送機構を備えたインデクサ部と、
    前記インデクサ部に隣接する塗布処理ブロックであって、上下方向の階層ごとに設けられ、基板にレジスト膜を形成するための塗布処理ユニットおよび熱処理ユニットと、各階層ごとに設けられ、当該階層の塗布処理ユニットおよび熱処理ユニットに対して基板を搬送する主搬送機構とを備える塗布処理ブロックと、
    前記塗布処理ブロックに隣接する現像処理ブロックであって、上下方向の階層ごとに設けられ、基板を現像するための現像処理ユニットおよび熱処理ユニットと、各階層ごとに設けられ、当該階層の現像処理ユニットおよび熱処理ユニットに対して基板を搬送する主搬送機構とを備える現像処理ブロックと、
    前記現像処理ブロックに隣接し、本装置とは別体の露光機に対して基板を搬送するインターフェイス用搬送機構を備えたインターフェイス部とを備え、
    前記インデクサ用搬送機構は、前記塗布処理ブロックにおける各階層の主搬送機構との間で基板の受け渡しを行い、
    前記塗布処理ブロックにおける各階層の主搬送機構は、前記現像処理ブロックにおける同じ階層の主搬送機構との間で基板の受け渡しを行い、
    前記インターフェイス用搬送機構は、前記現像処理ブロックにおける各階層の主搬送機構との間で基板の受け渡しを行う
    ことを特徴とする基板処理装置。
  18. 請求項17に記載の基板処理装置において、
    インデクサ用搬送機構がカセットから基板を取り出した順番と同じ順番で、インターフェイス用搬送機構が基板を露光機に送るように制御する制御手段を備えていることを特徴とする基板処理装置。
  19. 請求項18に記載の基板処理装置において、
    インターフェイス部に備えられ、基板を一時的に収納するバッファ部を備え、
    前記制御手段は、インデクサ用搬送機構がカセットから基板を取り出した順番と異なる順番で、現像処理ブロックから基板が払い出された場合には、インターフェイス用搬送機構がこの基板を受け取ってバッファ部に搬送するように制御することを特徴とする基板処理装置。
  20. 請求項17から請求項19のいずれかに記載の基板処理装置において、
    基板にレジスト膜を形成するための塗布処理ユニットは、レジスト膜材料を基板に塗布するレジスト膜用塗布処理ユニットと、反射防止膜用の処理液を基板に塗布する反射防止膜用塗布処理ユニットとを含むことを特徴とする基板処理装置。
JP2007172496A 2007-06-29 2007-06-29 基板処理装置 Active JP5006122B2 (ja)

Priority Applications (19)

Application Number Priority Date Filing Date Title
JP2007172496A JP5006122B2 (ja) 2007-06-29 2007-06-29 基板処理装置
EP08011368.1A EP2009671B1 (en) 2007-06-29 2008-06-23 Substrate treating apparatus
KR1020080060084A KR101001511B1 (ko) 2007-06-29 2008-06-25 기판처리장치
TW101118484A TWI502677B (zh) 2007-06-29 2008-06-27 基板處理裝置
TW104105567A TWI587438B (zh) 2007-06-29 2008-06-27 基板處理裝置
CN2008101250367A CN101335187B (zh) 2007-06-29 2008-06-27 基板处理装置
TW106130479A TWI683386B (zh) 2007-06-29 2008-06-27 基板處理裝置
TW101118483A TWI489583B (zh) 2007-06-29 2008-06-27 基板處理方法
TW101118486A TWI489584B (zh) 2007-06-29 2008-06-27 基板處理裝置
TW097124376A TWI372440B (en) 2007-06-29 2008-06-27 Substrate treating apparatus
TW105134414A TWI602259B (zh) 2007-06-29 2008-06-27 基板處理裝置
US12/163,951 US8851008B2 (en) 2007-06-29 2008-06-27 Parallel substrate treatment for a plurality of substrate treatment lines
KR1020100105888A KR101036420B1 (ko) 2007-06-29 2010-10-28 기판처리장치
US13/401,625 US9174235B2 (en) 2007-06-29 2012-02-21 Substrate treating apparatus using horizontal treatment cell arrangements with parallel treatment lines
US13/401,644 US9165807B2 (en) 2007-06-29 2012-02-21 Substrate treating apparatus with vertical treatment arrangement including vertical blowout and exhaust units
US13/401,617 US9230834B2 (en) 2007-06-29 2012-02-21 Substrate treating apparatus
US14/952,657 US10290521B2 (en) 2007-06-29 2015-11-25 Substrate treating apparatus with parallel gas supply pipes and a gas exhaust pipe
US16/366,437 US20190221457A1 (en) 2007-06-29 2019-03-27 Substrate treating apparatus
US17/969,533 US20230042033A1 (en) 2007-06-29 2022-10-19 Substrate treating apparatus

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2007172496A JP5006122B2 (ja) 2007-06-29 2007-06-29 基板処理装置

Related Child Applications (4)

Application Number Title Priority Date Filing Date
JP2011257538A Division JP5608148B2 (ja) 2011-11-25 2011-11-25 基板処理装置
JP2012118583A Division JP5964654B2 (ja) 2012-05-24 2012-05-24 基板処理方法
JP2012118585A Division JP5466728B2 (ja) 2012-05-24 2012-05-24 基板処理装置
JP2012118584A Division JP5572666B2 (ja) 2012-05-24 2012-05-24 基板処理装置

Publications (2)

Publication Number Publication Date
JP2009010291A true JP2009010291A (ja) 2009-01-15
JP5006122B2 JP5006122B2 (ja) 2012-08-22

Family

ID=39666209

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2007172496A Active JP5006122B2 (ja) 2007-06-29 2007-06-29 基板処理装置

Country Status (6)

Country Link
US (7) US8851008B2 (ja)
EP (1) EP2009671B1 (ja)
JP (1) JP5006122B2 (ja)
KR (2) KR101001511B1 (ja)
CN (1) CN101335187B (ja)
TW (7) TWI602259B (ja)

Cited By (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2013054849A1 (ja) * 2011-10-14 2013-04-18 東京エレクトロン株式会社 基板処理装置
JP2013162080A (ja) * 2012-02-08 2013-08-19 Tokyo Electron Ltd 基板処理装置、基板処理方法及び記憶媒体
JP2014103412A (ja) * 2014-01-17 2014-06-05 Sokudo Co Ltd 基板処理方法および基板処理装置
US9032977B2 (en) 2009-03-18 2015-05-19 Screen Semiconductor Solutions Co., Ltd. Substrate processing method
JP2015111685A (ja) * 2014-12-24 2015-06-18 株式会社Screenセミコンダクターソリューションズ 基板処理方法および基板処理装置
JP2016103024A (ja) * 2015-12-03 2016-06-02 株式会社Screenセミコンダクターソリューションズ 基板処理方法および基板処理装置
US9956565B2 (en) 2015-08-21 2018-05-01 SCREEN Holdings Co., Ltd. Substrate treating apparatus
KR101946117B1 (ko) 2015-11-12 2019-02-08 가부시키가이샤 스크린 홀딩스 기판 처리 장치
JP2019114810A (ja) * 2019-03-25 2019-07-11 株式会社Screenホールディングス 基板処理装置
JP2020074466A (ja) * 2019-03-25 2020-05-14 株式会社Screenホールディングス 基板処理装置
JP2020088352A (ja) * 2018-11-30 2020-06-04 株式会社Screenホールディングス 基板処理装置
US10879091B2 (en) 2015-11-13 2020-12-29 SCREEN Holdings Co., Ltd. Substrate treating apparatus
US11049749B2 (en) 2018-09-21 2021-06-29 SCREEN Holdings Co., Ltd. Substrate treating apparatus
US11101156B2 (en) 2018-09-21 2021-08-24 SCREEN Holdings Co., Ltd. Substrate treating apparatus
US11295974B2 (en) 2018-09-21 2022-04-05 SCREEN Holdings Co., Ltd. Substrate treating apparatus
JP2022071846A (ja) * 2020-10-28 2022-05-16 セメス カンパニー,リミテッド 基板処理装置及び基板処理方法
US11640916B2 (en) 2020-08-28 2023-05-02 SCREEN Holdings Co., Ltd. Substrate processing apparatus and substrate processing method
JP7437599B2 (ja) 2020-05-12 2024-02-26 東京エレクトロン株式会社 基板処理装置及び基板処理方法

Families Citing this family (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5006122B2 (ja) * 2007-06-29 2012-08-22 株式会社Sokudo 基板処理装置
JP5318403B2 (ja) 2007-11-30 2013-10-16 株式会社Sokudo 基板処理装置
JP5128918B2 (ja) 2007-11-30 2013-01-23 株式会社Sokudo 基板処理装置
JP5160204B2 (ja) * 2007-11-30 2013-03-13 株式会社Sokudo 基板処理装置
KR100892756B1 (ko) * 2007-12-27 2009-04-15 세메스 주식회사 기판 처리 장치 및 이를 이용한 기판 이송 방법
JP5001828B2 (ja) * 2007-12-28 2012-08-15 株式会社Sokudo 基板処理装置
JP5179170B2 (ja) * 2007-12-28 2013-04-10 株式会社Sokudo 基板処理装置
JP5359285B2 (ja) * 2009-01-07 2013-12-04 東京エレクトロン株式会社 処理装置及び処理装置の運転方法
KR101690970B1 (ko) * 2010-02-19 2016-12-29 주성엔지니어링(주) 기판 처리 시스템 및 기판 반송 방법
JP5551625B2 (ja) * 2011-01-13 2014-07-16 東京エレクトロン株式会社 基板処理装置及び基板処理方法
JP5883232B2 (ja) * 2011-03-26 2016-03-09 東京エレクトロン株式会社 基板処理装置
JP5666361B2 (ja) 2011-03-29 2015-02-12 株式会社Screenセミコンダクターソリューションズ 基板処理装置
TWI523134B (zh) * 2011-09-22 2016-02-21 東京威力科創股份有限公司 基板處理系統、基板搬運方法、及電腦記憶媒體
JP5450562B2 (ja) * 2011-10-20 2014-03-26 株式会社日本製鋼所 薄膜を有する成形品の製造方法および製造装置
KR101898134B1 (ko) * 2012-03-30 2018-10-05 삼성전자주식회사 리드 프레임 이송용 매거진
JP2013247197A (ja) * 2012-05-24 2013-12-09 Sokudo Co Ltd 基板処理装置
JP2014038929A (ja) * 2012-08-15 2014-02-27 Disco Abrasive Syst Ltd インラインシステム
US9405194B2 (en) * 2012-11-30 2016-08-02 Semes Co., Ltd. Facility and method for treating substrate
JP5541398B1 (ja) * 2013-07-02 2014-07-09 日本精工株式会社 テーブル装置、及び搬送装置
JP6121846B2 (ja) 2013-08-15 2017-04-26 株式会社Screenホールディングス 基板処理装置、基板処理方法、および基板処理システム
JP5977728B2 (ja) * 2013-11-14 2016-08-24 東京エレクトロン株式会社 基板処理システム
US10236196B2 (en) * 2013-11-14 2019-03-19 Tokyo Electron Limited Substrate processing system
CN103928378A (zh) * 2014-04-15 2014-07-16 沈阳拓荆科技有限公司 双层传片腔体
JP6511858B2 (ja) * 2015-02-27 2019-05-15 シンフォニアテクノロジー株式会社 搬送室
JP6292155B2 (ja) * 2015-03-19 2018-03-14 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
JP6564642B2 (ja) * 2015-07-23 2019-08-21 東京エレクトロン株式会社 基板搬送室、基板処理システム、及び基板搬送室内のガス置換方法
DK3147621T3 (da) * 2015-09-24 2019-10-21 Abb Schweiz Ag Køleanordning og fremgangsmåde til afkøling af mindst to elektroniske strømanordninger
JP6723110B2 (ja) * 2016-08-18 2020-07-15 株式会社Screenホールディングス 基板処理装置および基板処理方法
CN110447095B (zh) 2017-03-15 2024-04-26 朗姆研究公司 采用线性真空传送模块减少占用面积平台架构
JP7181068B2 (ja) 2018-11-30 2022-11-30 株式会社Screenホールディングス 基板処理装置
JP7458718B2 (ja) * 2019-07-19 2024-04-01 株式会社Screenホールディングス 基板処理装置および基板搬送方法
US11898248B2 (en) 2019-12-18 2024-02-13 Jiangsu Favored Nanotechnology Co., Ltd. Coating apparatus and coating method
TWI775073B (zh) * 2020-05-07 2022-08-21 台灣積體電路製造股份有限公司 光固化的方法及其設備
KR20230029443A (ko) * 2021-08-24 2023-03-03 주식회사 케이씨텍 기판 세정 라인 및 이를 포함하는 기판 세정 시스템

Citations (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH01241840A (ja) * 1988-03-24 1989-09-26 Canon Inc 基板処理装置
JPH0945613A (ja) * 1995-07-28 1997-02-14 Tokyo Electron Ltd 処理装置
JPH10146744A (ja) * 1996-09-19 1998-06-02 Dainippon Screen Mfg Co Ltd 基板処理装置及び方法
JPH11251405A (ja) * 1999-01-08 1999-09-17 Tokyo Electron Ltd 基板処理装置
JP2000269297A (ja) * 1999-03-16 2000-09-29 Tokyo Ohka Kogyo Co Ltd 処理ユニット構築体
JP2001093827A (ja) * 1999-07-16 2001-04-06 Tokyo Electron Ltd 処理システム
JP2003338496A (ja) * 2002-05-21 2003-11-28 Tokyo Electron Ltd 基板処理装置
JP2004015023A (ja) * 2002-06-11 2004-01-15 Dainippon Screen Mfg Co Ltd 基板処理装置およびその方法
JP2005093920A (ja) * 2003-09-19 2005-04-07 Dainippon Screen Mfg Co Ltd 基板処理装置
JP2005243690A (ja) * 2004-02-24 2005-09-08 Dainippon Screen Mfg Co Ltd 基板処理装置
JP2006269672A (ja) * 2005-03-23 2006-10-05 Tokyo Electron Ltd 塗布、現像装置及び塗布、現像方法
JP2007005659A (ja) * 2005-06-24 2007-01-11 Dainippon Screen Mfg Co Ltd 基板処理装置

Family Cites Families (320)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3249765B2 (ja) * 1997-05-07 2002-01-21 東京エレクトロン株式会社 基板処理装置
US4409889A (en) * 1981-11-02 1983-10-18 Burleson Maurice L Modular clean room
DE3347438A1 (de) 1983-12-29 1985-07-18 Ulrich 2814 Bruchhausen-Vilsen Grigat Multivalenter heizkoerper zur raumluftbeheizung
JPH065689Y2 (ja) 1986-12-26 1994-02-16 小橋工業株式会社 正逆回転ロ−タリ作業機のフロントカバ−
JPH065689B2 (ja) 1987-12-08 1994-01-19 富士電機株式会社 超音波ボンデングツール
KR970003907B1 (ko) 1988-02-12 1997-03-22 도오교오 에레구토론 가부시끼 가이샤 기판처리 장치 및 기판처리 방법
US5202716A (en) * 1988-02-12 1993-04-13 Tokyo Electron Limited Resist process system
US5177514A (en) 1988-02-12 1993-01-05 Tokyo Electron Limited Apparatus for coating a photo-resist film and/or developing it after being exposed
US5536128A (en) 1988-10-21 1996-07-16 Hitachi, Ltd. Method and apparatus for carrying a variety of products
JPH085812B2 (ja) 1988-10-27 1996-01-24 三新化学工業株式会社 酸アミド化合物の製造方法
JPH02197599A (ja) 1989-01-25 1990-08-06 Yamaha Motor Co Ltd 金属表面の化学処理装置
JP2683675B2 (ja) 1989-01-26 1997-12-03 東京エレクトロン株式会社 搬送装置
JPH085812Y2 (ja) 1989-12-05 1996-02-21 沖電気工業株式会社 印字ヘッド駆動回路
JPH081921B2 (ja) 1990-01-13 1996-01-10 東京エレクトロン株式会社 半導体製造装置
ES2020758A6 (es) 1990-02-08 1991-09-16 Balzola Elorza Martin Msnipulador automatico para lamacenes.
JP2704309B2 (ja) * 1990-06-12 1998-01-26 大日本スクリーン製造株式会社 基板処理装置及び基板の熱処理方法
JP2919925B2 (ja) 1990-07-26 1999-07-19 東京エレクトロン株式会社 処理装置
US5668056A (en) 1990-12-17 1997-09-16 United Microelectronics Corporation Single semiconductor wafer transfer method and manufacturing system
US5297910A (en) 1991-02-15 1994-03-29 Tokyo Electron Limited Transportation-transfer device for an object of treatment
US5275709A (en) 1991-11-07 1994-01-04 Leybold Aktiengesellschaft Apparatus for coating substrates, preferably flat, more or less plate-like substrates
JPH065689A (ja) 1992-06-17 1994-01-14 Toshiba Corp 半導体基板処理システム
JP3174409B2 (ja) 1992-09-08 2001-06-11 株式会社日立国際電気 半導体製造装置、及び半導体製造装置に於ける基板処理方法
KR970011065B1 (ko) * 1992-12-21 1997-07-05 다이닛뽕 스크린 세이조오 가부시키가이샤 기판처리장치와 기판처리장치에 있어서 기판교환장치 및 기판교환방법
JP3338343B2 (ja) 1992-12-21 2002-10-28 大日本スクリーン製造株式会社 基板処理装置
TW276353B (ja) 1993-07-15 1996-05-21 Hitachi Seisakusyo Kk
DE634699T1 (de) 1993-07-16 1996-02-15 Semiconductor Systems Inc Gruppiertes fotolithografisches System.
US5565034A (en) * 1993-10-29 1996-10-15 Tokyo Electron Limited Apparatus for processing substrates having a film formed on a surface of the substrate
US5518542A (en) 1993-11-05 1996-05-21 Tokyo Electron Limited Double-sided substrate cleaning apparatus
JPH07263302A (ja) 1994-03-18 1995-10-13 Fujitsu Ltd レジストの現像方法
JP2994553B2 (ja) 1994-04-08 1999-12-27 大日本スクリーン製造株式会社 基板処理装置
JPH07297258A (ja) 1994-04-26 1995-11-10 Tokyo Electron Ltd 板状体の搬送装置
US5826129A (en) 1994-06-30 1998-10-20 Tokyo Electron Limited Substrate processing system
JP3122868B2 (ja) * 1994-09-29 2001-01-09 東京エレクトロン株式会社 塗布装置
JP3592771B2 (ja) 1994-12-07 2004-11-24 大日本スクリーン製造株式会社 基板処理装置
TW297910B (ja) 1995-02-02 1997-02-11 Tokyo Electron Co Ltd
US5677758A (en) 1995-02-09 1997-10-14 Mrs Technology, Inc. Lithography System using dual substrate stages
KR100310249B1 (ko) 1995-08-05 2001-12-17 엔도 마코토 기판처리장치
US5788868A (en) 1995-09-04 1998-08-04 Dainippon Screen Mfg. Co., Ltd. Substrate transfer method and interface apparatus
JPH09148240A (ja) 1995-11-24 1997-06-06 Dainippon Screen Mfg Co Ltd 基板処理装置
JP3575717B2 (ja) 1995-12-28 2004-10-13 大日本スクリーン製造株式会社 基板処理装置
US5842917A (en) * 1996-01-11 1998-12-01 United Microelectronics Corproration Automated manufacturing plant for semiconductor devices
JPH09251953A (ja) 1996-01-12 1997-09-22 Sony Corp レジスト現像方法
JP3938409B2 (ja) 1996-01-22 2007-06-27 大日本スクリーン製造株式会社 基板処理装置
JPH09199568A (ja) 1996-01-22 1997-07-31 Dainippon Screen Mfg Co Ltd 基板処理装置
TW317644B (ja) 1996-01-26 1997-10-11 Tokyo Electron Co Ltd
JP3890393B2 (ja) 1996-01-29 2007-03-07 株式会社Sokudo 回転式基板塗布装置
JP3859800B2 (ja) 1996-03-19 2006-12-20 大日本スクリーン製造株式会社 基板処理装置のフロー管理方法及びフロー管理装置
JP3565650B2 (ja) 1996-04-03 2004-09-15 富士通株式会社 Ac型pdpの駆動方法及び表示装置
US6176667B1 (en) * 1996-04-30 2001-01-23 Applied Materials, Inc. Multideck wafer processing system
TW333658B (en) 1996-05-30 1998-06-11 Tokyo Electron Co Ltd The substrate processing method and substrate processing system
US6062798A (en) * 1996-06-13 2000-05-16 Brooks Automation, Inc. Multi-level substrate processing apparatus
JPH1050794A (ja) 1996-08-01 1998-02-20 Dainippon Screen Mfg Co Ltd 基板処理装置および方法
KR100269097B1 (ko) 1996-08-05 2000-12-01 엔도 마코토 기판처리장치
JP3415404B2 (ja) 1996-08-29 2003-06-09 東京エレクトロン株式会社 処理システム
JP3278714B2 (ja) 1996-08-30 2002-04-30 東京エレクトロン株式会社 塗布膜形成装置
JP3571471B2 (ja) 1996-09-03 2004-09-29 東京エレクトロン株式会社 処理方法,塗布現像処理システム及び処理システム
JP3779393B2 (ja) 1996-09-06 2006-05-24 東京エレクトロン株式会社 処理システム
TW466622B (en) 1996-09-11 2001-12-01 Hitachi Ltd Operating method of vacuum processing device and vacuum processing device
JP3771347B2 (ja) 1997-03-19 2006-04-26 株式会社日立製作所 真空処理装置及び真空処理方法
JP3082688B2 (ja) 1996-11-05 2000-08-28 ヤマハ株式会社 配線形成法
TW353777B (en) * 1996-11-08 1999-03-01 Tokyo Electron Ltd Treatment device
EP0951054B1 (en) 1996-11-28 2008-08-13 Nikon Corporation Aligner and method for exposure
JP3429964B2 (ja) 1996-12-26 2003-07-28 大日本スクリーン製造株式会社 基板処理装置
US6099643A (en) * 1996-12-26 2000-08-08 Dainippon Screen Mfg. Co., Ltd. Apparatus for processing a substrate providing an efficient arrangement and atmospheric isolation of chemical treatment section
JPH10209241A (ja) 1997-01-16 1998-08-07 Dainippon Screen Mfg Co Ltd 基板搬送装置およびそれを備えた基板処理装置
JP3579228B2 (ja) 1997-01-24 2004-10-20 大日本スクリーン製造株式会社 基板処理装置
JP4080021B2 (ja) 1997-03-19 2008-04-23 大日本スクリーン製造株式会社 基板処理装置
JP3882954B2 (ja) 1997-03-19 2007-02-21 Tdk株式会社 チップ型積層セラミックコンデンサ
US5788477A (en) 1997-03-26 1998-08-04 Jones; Wendyle Gas flare
JPH10294351A (ja) 1997-04-21 1998-11-04 Sharp Corp 半導体装置製造用クリーンボックス、及び半導体装置の製造システム並びに製造方法
TW420829B (en) * 1997-05-22 2001-02-01 Tokyo Electron Ltd Treatment device and method, impurity removing apparatus
JP3600711B2 (ja) 1997-05-30 2004-12-15 大日本スクリーン製造株式会社 基板処理装置
JPH10335415A (ja) 1997-05-30 1998-12-18 Dainippon Screen Mfg Co Ltd 処理時間の設定方法
JPH113581A (ja) 1997-06-11 1999-01-06 Sony Corp 蓋開閉装置及び方法
JPH113851A (ja) * 1997-06-11 1999-01-06 Tokyo Electron Ltd 液処理装置及び液処理方法
JPH1116978A (ja) 1997-06-19 1999-01-22 Dainippon Screen Mfg Co Ltd 基板処理装置
JPH1126550A (ja) * 1997-07-04 1999-01-29 Tokyo Electron Ltd 基板搬送装置およびそれを用いた基板処理装置
US6151981A (en) 1997-07-24 2000-11-28 Costa; Larry J. Two-axis cartesian robot
JPH1154588A (ja) 1997-07-30 1999-02-26 Tokyo Electron Ltd 基板搬送装置およびそれを用いた基板処理装置
TW385488B (en) 1997-08-15 2000-03-21 Tokyo Electron Ltd substrate processing device
US6287023B1 (en) * 1997-09-22 2001-09-11 Tokyo Electron Limited Processing apparatus and method
US5962070A (en) 1997-09-25 1999-10-05 Dainippon Screen Mfg. Co., Ltd. Substrate treating method and apparatus
JPH11111603A (ja) 1997-10-07 1999-04-23 Dainippon Screen Mfg Co Ltd 基板現像方法及びその装置
US6235634B1 (en) * 1997-10-08 2001-05-22 Applied Komatsu Technology, Inc. Modular substrate processing system
JPH11156278A (ja) 1997-11-27 1999-06-15 Dainippon Screen Mfg Co Ltd 処理液吐出ノズル及びそれを備えた基板処理装置
US6270306B1 (en) 1998-01-14 2001-08-07 Applied Materials, Inc. Wafer aligner in center of front end frame of vacuum system
KR20010041031A (ko) * 1998-04-02 2001-05-15 오노 시게오 기판처리장치와 그 방법 및 노광장치와 그 방법
KR100265287B1 (ko) 1998-04-21 2000-10-02 윤종용 반도체소자 제조용 식각설비의 멀티챔버 시스템
JP3381776B2 (ja) 1998-05-19 2003-03-04 東京エレクトロン株式会社 処理装置および処理方法
US6266125B1 (en) 1998-05-25 2001-07-24 Tokyo Electron Limited Resist processing method and apparatus
JP3481499B2 (ja) 1998-05-25 2003-12-22 東京エレクトロン株式会社 レジスト処理方法及びレジスト処理装置
JP3884570B2 (ja) * 1998-05-29 2007-02-21 大日本スクリーン製造株式会社 基板処理装置
US6292708B1 (en) 1998-06-11 2001-09-18 Speedfam-Ipec Corporation Distributed control system for a semiconductor wafer processing machine
JP3445937B2 (ja) 1998-06-24 2003-09-16 東京エレクトロン株式会社 多段スピン型基板処理システム
TW428216B (en) 1998-07-29 2001-04-01 Tokyo Electron Ltd Substrate process method and substrate process apparatus
JP3745167B2 (ja) 1998-07-29 2006-02-15 キヤノン株式会社 ステージ装置、露光装置およびデバイス製造方法ならびにステージ駆動方法
KR100515740B1 (ko) * 1998-08-14 2005-09-20 동경 엘렉트론 주식회사 기판처리장치
JP3441681B2 (ja) 1998-08-14 2003-09-02 東京エレクトロン株式会社 処理装置
JP2000068188A (ja) 1998-08-24 2000-03-03 Dainippon Screen Mfg Co Ltd 現像装置および現像方法
JP3442669B2 (ja) 1998-10-20 2003-09-02 東京エレクトロン株式会社 基板処理装置
JP3662150B2 (ja) 1998-10-30 2005-06-22 東京エレクトロン株式会社 処理システム
JP2000195925A (ja) * 1998-12-28 2000-07-14 Anelva Corp 基板処理装置
US6654668B1 (en) * 1999-02-16 2003-11-25 Tokyo Electron Limited Processing apparatus, processing system, distinguishing method, and detecting method
JP3542919B2 (ja) 1999-03-18 2004-07-14 東京エレクトロン株式会社 基板処理装置
JP2000311846A (ja) 1999-04-27 2000-11-07 Sony Corp レジスト現像方法およびレジスト現像装置
JP2000332080A (ja) 1999-05-21 2000-11-30 Matsushita Electronics Industry Corp 被処理物の製造方法と製造装置
JP3462426B2 (ja) 1999-05-24 2003-11-05 東京エレクトロン株式会社 基板処理装置
JP3442686B2 (ja) 1999-06-01 2003-09-02 東京エレクトロン株式会社 基板処理装置
JP3605545B2 (ja) 1999-06-09 2004-12-22 東京エレクトロン株式会社 現像処理方法および現像処理装置
TW505822B (en) 1999-06-09 2002-10-11 Tokyo Electron Ltd Developing method and developing apparatus
US6464789B1 (en) * 1999-06-11 2002-10-15 Tokyo Electron Limited Substrate processing apparatus
KR100557027B1 (ko) 1999-06-30 2006-03-03 동경 엘렉트론 주식회사 기판전달장치 및 도포현상 처리시스템
US6426303B1 (en) * 1999-07-16 2002-07-30 Tokyo Electron Limited Processing system
JP3535997B2 (ja) 1999-10-01 2004-06-07 東京エレクトロン株式会社 現像処理装置及び現像処理方法
US6402401B1 (en) 1999-10-19 2002-06-11 Tokyo Electron Limited Substrate processing apparatus and substrate processing method
TW518639B (en) 1999-11-18 2003-01-21 Tokyo Electron Ltd Heat treatment device, cooling treatment device and cooling treatment method
KR100348938B1 (ko) 1999-12-06 2002-08-14 한국디엔에스 주식회사 포토리소그라피 공정을 위한 반도체 제조장치
US6402508B2 (en) * 1999-12-09 2002-06-11 Tokyo Electron Limited Heat and cooling treatment apparatus and substrate processing system
US6485203B2 (en) * 1999-12-20 2002-11-26 Tokyo Electron Limited Substrate processing method and substrate processing apparatus
KR100823237B1 (ko) 2000-01-17 2008-04-18 가부시키가이샤 에바라 세이사꾸쇼 기판반송제어장치 및 기판반송방법
KR100701578B1 (ko) 2000-02-01 2007-04-02 동경 엘렉트론 주식회사 기판처리장치 및 기판처리방법
US6432842B2 (en) 2000-03-30 2002-08-13 Tokyo Electron Limited Coating method and coating apparatus
US6919001B2 (en) 2000-05-01 2005-07-19 Intevac, Inc. Disk coating system
JP2002057100A (ja) 2000-05-31 2002-02-22 Canon Inc 露光装置、コートデベロップ装置、デバイス製造システム、デバイス製造方法、半導体製造工場および露光装置の保守方法
JP4915033B2 (ja) 2000-06-15 2012-04-11 株式会社ニコン 露光装置、基板処理装置及びリソグラフィシステム、並びにデバイス製造方法
KR100741186B1 (ko) * 2000-08-23 2007-07-19 동경 엘렉트론 주식회사 피처리체의 처리시스템
JP3587776B2 (ja) * 2000-10-10 2004-11-10 東京エレクトロン株式会社 塗布装置及び塗布方法
JP2002134396A (ja) 2000-10-25 2002-05-10 Sony Corp 半導体装置の製造方法および半導体パターン自動調節装置
US6491451B1 (en) * 2000-11-03 2002-12-10 Motorola, Inc. Wafer processing equipment and method for processing wafers
JP3616748B2 (ja) 2000-11-07 2005-02-02 東京エレクトロン株式会社 現像処理方法,現像処理装置及び処理装置
JP3943828B2 (ja) * 2000-12-08 2007-07-11 東京エレクトロン株式会社 塗布、現像装置及びパターン形成方法
JP4124400B2 (ja) 2001-01-19 2008-07-23 大日本スクリーン製造株式会社 基板処理装置
US6558053B2 (en) 2001-04-19 2003-05-06 Dainippon Screen Mfg. Co., Ltd. Substrate processing apparatus
JP3898906B2 (ja) 2001-05-22 2007-03-28 東京エレクトロン株式会社 基板の塗布装置
KR100387418B1 (ko) * 2001-05-23 2003-06-18 한국디엔에스 주식회사 반도체 제조 공정에서 사용되는 스피너 시스템
JP2003007594A (ja) 2001-06-21 2003-01-10 Dainippon Screen Mfg Co Ltd 基板熱処理装置
JP2003022962A (ja) 2001-07-10 2003-01-24 Canon Inc 露光システム、デバイス製造方法、半導体製造工場および露光装置の保守方法
US6750155B2 (en) 2001-08-08 2004-06-15 Lam Research Corporation Methods to minimize moisture condensation over a substrate in a rapid cycle chamber
JP2003059810A (ja) 2001-08-20 2003-02-28 Nec Kansai Ltd 薬液処理装置
JP2003142547A (ja) * 2001-08-24 2003-05-16 Hirata Corp ワーク搬送装置
JP2003077785A (ja) 2001-09-04 2003-03-14 Canon Inc デバイス製造装置
KR20030026862A (ko) 2001-09-25 2003-04-03 다이닛뽕스크린 세이조오 가부시키가이샤 기판 처리장치 제어 시스템 및 기판 처리장치
JP2003188229A (ja) * 2001-12-18 2003-07-04 Hitachi Kasado Eng Co Ltd ウエハ製造システムおよびウエハ製造方法
US20030131458A1 (en) 2002-01-15 2003-07-17 Applied Materials, Inc. Apparatus and method for improving throughput in a cluster tool for semiconductor wafer processing
JP4153781B2 (ja) * 2002-01-31 2008-09-24 大日本スクリーン製造株式会社 熱処理装置および基板処理装置
JP3916473B2 (ja) 2002-01-31 2007-05-16 東京エレクトロン株式会社 基板処理装置および基板処理方法
JP4195227B2 (ja) * 2002-02-22 2008-12-10 東京エレクトロン株式会社 被処理体の導入ポート構造
JP4162420B2 (ja) 2002-04-16 2008-10-08 大日本スクリーン製造株式会社 基板処理装置
JP4342147B2 (ja) 2002-05-01 2009-10-14 大日本スクリーン製造株式会社 基板処理装置
JP3862596B2 (ja) 2002-05-01 2006-12-27 東京エレクトロン株式会社 基板処理方法
FR2839331B1 (fr) 2002-05-02 2004-07-16 Cit Alcatel Installation de fabrication de composants semi-conducteurs a faux-plancher ventile
JP3966211B2 (ja) 2002-05-08 2007-08-29 株式会社ニコン 露光方法、露光装置及びデバイス製造方法
KR20030087418A (ko) 2002-05-09 2003-11-14 엘지전자 주식회사 모뎀 라인을 이용한 펌웨어 갱신 방법
KR20030087417A (ko) 2002-05-09 2003-11-14 엘지전자 주식회사 개인 정보 단말기의 자동 전원 오프 장치 및 방법
JP2003347186A (ja) 2002-05-23 2003-12-05 Dainippon Screen Mfg Co Ltd 基板処理装置
US6645880B1 (en) 2002-06-10 2003-11-11 Dainippon Screen Mfg. Co., Ltd. Treating solution applying method
JP4084167B2 (ja) 2002-06-10 2008-04-30 株式会社Sokudo 処理液塗布方法
US6832863B2 (en) 2002-06-11 2004-12-21 Dainippon Screen Mfg. Co., Ltd. Substrate treating apparatus and method
JP2004015021A (ja) 2002-06-11 2004-01-15 Dainippon Screen Mfg Co Ltd 基板処理装置
US6807455B2 (en) 2002-06-26 2004-10-19 Dainippon Screen Mfg. Co. Ltd. System for and method of processing substrate
JP2004046450A (ja) 2002-07-10 2004-02-12 Fujitsu Ten Ltd 救急搬送システム
US6843882B2 (en) * 2002-07-15 2005-01-18 Applied Materials, Inc. Gas flow control in a wafer processing system having multiple chambers for performing same process
JP2004087675A (ja) 2002-08-26 2004-03-18 Dainippon Screen Mfg Co Ltd 基板処理装置
JP4025613B2 (ja) 2002-09-27 2007-12-26 株式会社アドバンテスト 電子ビーム露光装置、電子ビーム露光装置校正方法、及び半導体素子製造方法
JP4133208B2 (ja) 2002-10-22 2008-08-13 東京エレクトロン株式会社 基板処理装置
JP4018965B2 (ja) 2002-10-28 2007-12-05 東京エレクトロン株式会社 基板処理装置
JP4087328B2 (ja) 2002-11-28 2008-05-21 東京エレクトロン株式会社 塗布、現像装置及び塗布、現像装置の運転方法
JP3999649B2 (ja) 2002-12-19 2007-10-31 大日本スクリーン製造株式会社 基板処理装置とその動作方法、およびプログラム
JP2004207279A (ja) 2002-12-20 2004-07-22 Rorze Corp 薄板状物製造設備
JP4170864B2 (ja) 2003-02-03 2008-10-22 大日本スクリーン製造株式会社 基板処理装置および基板処理装置における基板搬送方法および基板処理方法
JP2004241319A (ja) 2003-02-07 2004-08-26 Sony Corp 成膜装置
JP2004304003A (ja) 2003-03-31 2004-10-28 Tokyo Electron Ltd 処理システム
JP4233908B2 (ja) * 2003-04-02 2009-03-04 東京エレクトロン株式会社 基板処理システム
JP4357861B2 (ja) 2003-04-07 2009-11-04 大日本スクリーン製造株式会社 基板処理装置
JP2004336024A (ja) 2003-04-16 2004-11-25 Tokyo Electron Ltd 基板処理システム、基板処理方法及び該方法を実行するプログラム
JP4307132B2 (ja) 2003-04-16 2009-08-05 大日本スクリーン製造株式会社 基板処理装置
JP2004342654A (ja) 2003-05-13 2004-12-02 Dainippon Screen Mfg Co Ltd 基板処理装置
US6876439B2 (en) * 2003-05-29 2005-04-05 Asml Holding N.V. Method to increase throughput in a dual substrate stage double exposure lithography system
US20090143904A1 (en) 2004-06-11 2009-06-04 Donald Blust Automated business system and method of vending and returning a consumer product
KR100524875B1 (ko) * 2003-06-28 2005-10-31 엘지.필립스 엘시디 주식회사 청정시스템
JP2005046694A (ja) 2003-07-31 2005-02-24 Toshiba Corp 塗布膜形成方法及び塗布装置
US6879866B2 (en) 2003-08-04 2005-04-12 Asml Netherlands B.V. Method, computer program product and apparatus for scheduling maintenance actions in a substrate processing system
JP2005057294A (ja) 2003-08-07 2005-03-03 Asml Netherlands Bv インタフェースユニット、該インタフェースユニットを含むリソグラフィ投影装置、及びデバイス製造方法
JP4137750B2 (ja) * 2003-09-17 2008-08-20 株式会社Sokudo 熱処理装置、熱処理方法および基板処理装置
JP4108027B2 (ja) 2003-09-22 2008-06-25 大日本スクリーン製造株式会社 基板処理装置
JP2005101079A (ja) 2003-09-22 2005-04-14 Dainippon Screen Mfg Co Ltd 基板処理装置
JP4079861B2 (ja) 2003-09-22 2008-04-23 大日本スクリーン製造株式会社 基板処理装置
US7387485B2 (en) 2003-09-29 2008-06-17 Quantum Corporation Cartridge transport assembly
KR100521401B1 (ko) 2003-11-24 2005-10-12 세메스 주식회사 기판세정시스템
JP4322086B2 (ja) 2003-10-14 2009-08-26 大日本スクリーン製造株式会社 基板処理装置およびその方法
KR100546503B1 (ko) 2003-11-27 2006-01-26 다이닛뽕스크린 세이조오 가부시키가이샤 기판처리장치 및 그 방법
JP2005167083A (ja) 2003-12-04 2005-06-23 Daifuku Co Ltd ガラス基板用の搬送設備
JP4342921B2 (ja) 2003-12-09 2009-10-14 東京エレクトロン株式会社 基板処理装置の制御方法及び基板処理装置
JP4381121B2 (ja) * 2003-12-11 2009-12-09 大日本スクリーン製造株式会社 基板処理装置
JP4369325B2 (ja) 2003-12-26 2009-11-18 東京エレクトロン株式会社 現像装置及び現像処理方法
JP4376072B2 (ja) 2004-01-16 2009-12-02 東京エレクトロン株式会社 基板処理装置及び基板処理方法
JP4537109B2 (ja) 2004-04-16 2010-09-01 東京エレクトロン株式会社 現像処理装置および現像処理方法
US7326505B2 (en) 2004-05-26 2008-02-05 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
KR101037087B1 (ko) 2004-06-29 2011-05-26 엘지디스플레이 주식회사 엠엠지용 기판 생산장비
JP4381909B2 (ja) 2004-07-06 2009-12-09 大日本スクリーン製造株式会社 基板処理装置および基板処理方法
US20060011296A1 (en) * 2004-07-16 2006-01-19 Tokyo Electron Limited Substrate processing apparatus, substrate processing method, and computer program
JP3870207B2 (ja) * 2004-08-05 2007-01-17 キヤノン株式会社 液浸露光装置及びデバイス製造方法
CN101002301A (zh) 2004-08-30 2007-07-18 株式会社尼康 曝光装置、动作决定方法、基板处理系统及维护管理方法、以及组件制造方法
US7623565B2 (en) 2004-09-20 2009-11-24 Cypress Semiconductor Corporation Method for providing packet framing in a communication system
KR101069821B1 (ko) 2004-10-15 2011-10-04 세메스 주식회사 반도체 기판 제조에 사용되는 포토 리소그래피 장치
JP5154008B2 (ja) * 2004-11-10 2013-02-27 株式会社Sokudo 基板処理装置および基板処理方法
JP2006310724A (ja) * 2004-11-10 2006-11-09 Dainippon Screen Mfg Co Ltd 基板処理装置および基板処理方法
JP4381285B2 (ja) 2004-11-11 2009-12-09 株式会社Sokudo 基板処理装置および基板処理方法
JP4926433B2 (ja) 2004-12-06 2012-05-09 株式会社Sokudo 基板処理装置および基板処理方法
JP5154007B2 (ja) 2004-12-06 2013-02-27 株式会社Sokudo 基板処理装置
US7651306B2 (en) * 2004-12-22 2010-01-26 Applied Materials, Inc. Cartesian robot cluster tool architecture
US20060130767A1 (en) * 2004-12-22 2006-06-22 Applied Materials, Inc. Purged vacuum chuck with proximity pins
US7819079B2 (en) 2004-12-22 2010-10-26 Applied Materials, Inc. Cartesian cluster tool configuration for lithography type processes
US7699021B2 (en) 2004-12-22 2010-04-20 Sokudo Co., Ltd. Cluster tool substrate throughput optimization
US20060137726A1 (en) * 2004-12-24 2006-06-29 Dainippon Screen Mfg. Co., Ltd. Substrate treating apparatus
JP4955976B2 (ja) 2005-01-21 2012-06-20 東京エレクトロン株式会社 塗布、現像装置及びその方法
JP4356936B2 (ja) 2005-01-21 2009-11-04 東京エレクトロン株式会社 塗布、現像装置及びその方法
US7245348B2 (en) * 2005-01-21 2007-07-17 Tokyo Electron Limited Coating and developing system and coating and developing method with antireflection film and an auxiliary block for inspection and cleaning
JP4955977B2 (ja) 2005-01-21 2012-06-20 東京エレクトロン株式会社 塗布、現像装置及びその方法
JP4459831B2 (ja) 2005-02-01 2010-04-28 東京エレクトロン株式会社 塗布、現像装置
JP4414909B2 (ja) 2005-02-14 2010-02-17 東京エレクトロン株式会社 塗布、現像装置
JP4414910B2 (ja) 2005-02-17 2010-02-17 東京エレクトロン株式会社 半導体製造装置及び半導体製造方法
JP4541931B2 (ja) 2005-03-03 2010-09-08 株式会社日立国際電気 半導体装置の製造方法及び半導体製造装置
JP2006253207A (ja) 2005-03-08 2006-09-21 Sharp Corp 塗布膜形成方法,半導体装置の製造方法
JP4685584B2 (ja) 2005-03-11 2011-05-18 東京エレクトロン株式会社 塗布、現像装置
JP4566035B2 (ja) 2005-03-11 2010-10-20 東京エレクトロン株式会社 塗布、現像装置及びその方法
US7403260B2 (en) 2005-03-11 2008-07-22 Tokyo Electron Limited Coating and developing system
US8353986B2 (en) 2005-03-31 2013-01-15 Tokyo Electron Limited Substrate processing apparatus
EP1728738B1 (en) * 2005-05-31 2008-09-17 Daifuku Co., Ltd. Article transport facility and a method of operating the facility
JP4273423B2 (ja) 2005-05-31 2009-06-03 株式会社ダイフク 搬送装置
KR100666355B1 (ko) 2005-07-01 2007-01-11 세메스 주식회사 복층 구조의 반도체 제조 설비 및 그의 처리 방법
JP4519037B2 (ja) 2005-08-31 2010-08-04 東京エレクトロン株式会社 加熱装置及び塗布、現像装置
JP4616731B2 (ja) 2005-09-01 2011-01-19 東京エレクトロン株式会社 塗布、現像装置
JP4937559B2 (ja) 2005-09-14 2012-05-23 株式会社Sokudo 基板処理装置および基板処理方法
JP4907937B2 (ja) 2005-09-26 2012-04-04 株式会社日立国際電気 断熱壁体、発熱体の保持構造体、加熱装置および基板処理装置
JP4450784B2 (ja) 2005-10-19 2010-04-14 東京エレクトロン株式会社 塗布、現像装置及びその方法
JP4542984B2 (ja) 2005-11-24 2010-09-15 東京エレクトロン株式会社 基板搬送処理装置及び基板搬送処理装置における障害対策方法並びに基板搬送処理装置における障害対策用プログラム
JP4494332B2 (ja) 2005-11-29 2010-06-30 東京エレクトロン株式会社 リンス処理方法、現像処理装置、および制御プログラム
JP4654119B2 (ja) * 2005-11-29 2011-03-16 東京エレクトロン株式会社 塗布・現像装置及び塗布・現像方法
JP2007184537A (ja) 2005-12-07 2007-07-19 Canon Inc 露光方法、露光装置、複数の基板上にレジストを塗布する装置およびデバイス製造方法
JP4654120B2 (ja) 2005-12-08 2011-03-16 東京エレクトロン株式会社 塗布、現像装置及び塗布、現像方法並びにコンピュータプログラム
JP2007172496A (ja) 2005-12-26 2007-07-05 Nohmi Bosai Ltd 火災報知設備用機器のプリンターカバー
JP4704221B2 (ja) 2006-01-26 2011-06-15 株式会社Sokudo 基板処理装置および基板処理方法
JP4781832B2 (ja) 2006-02-01 2011-09-28 大日本スクリーン製造株式会社 基板処理システム、基板処理装置、プログラム及び記録媒体
JP5132108B2 (ja) 2006-02-02 2013-01-30 株式会社Sokudo 基板処理装置
JP2007208064A (ja) 2006-02-02 2007-08-16 Dainippon Screen Mfg Co Ltd 基板処理装置および基板処理方法
JP2007240519A (ja) 2006-02-08 2007-09-20 Tokyo Electron Ltd 欠陥検査方法、欠陥検査装置及びコンピュータプログラム
JP2007234882A (ja) * 2006-03-01 2007-09-13 Dainippon Screen Mfg Co Ltd 基板処理装置および基板取り扱い方法
JP4816217B2 (ja) 2006-04-14 2011-11-16 東京エレクトロン株式会社 塗布、現像装置、塗布、現像方法及び記憶媒体
JP4614455B2 (ja) * 2006-04-19 2011-01-19 東京エレクトロン株式会社 基板搬送処理装置
JP2007317987A (ja) 2006-05-29 2007-12-06 Sokudo:Kk 基板処理装置および基板処理方法
KR100949505B1 (ko) 2006-06-05 2010-03-24 엘지디스플레이 주식회사 포토 장치 및 방법
KR100784389B1 (ko) 2006-06-22 2007-12-11 삼성전자주식회사 포토 리소그래피 시스템 및 방법
US8220354B2 (en) 2006-06-28 2012-07-17 Genmark Automation, Inc. Belt-driven robot having extended Z-axis motion
JP2008034746A (ja) * 2006-07-31 2008-02-14 Tokyo Electron Ltd 塗布、現像装置、その方法及び記憶媒体
JP4772620B2 (ja) 2006-08-11 2011-09-14 東京エレクトロン株式会社 液浸露光用塗布膜の処理条件決定方法および処理条件決定装置
JP2008072016A (ja) * 2006-09-15 2008-03-27 Tokyo Electron Ltd 液処理装置、液処理方法及び記憶媒体
US8419341B2 (en) 2006-09-19 2013-04-16 Brooks Automation, Inc. Linear vacuum robot with Z motion and articulated arm
JP4999415B2 (ja) * 2006-09-29 2012-08-15 東京エレクトロン株式会社 基板処理装置及び基板処理方法並びに基板処理装置の用力供給装置及び基板処理装置の用力供給方法
US20080158531A1 (en) 2006-11-15 2008-07-03 Nikon Corporation Exposure apparatus, exposure method, and method for producing device
JP5023679B2 (ja) * 2006-12-05 2012-09-12 東京エレクトロン株式会社 塗布、現像装置及びその方法並びに記憶媒体
JP2008198879A (ja) 2007-02-15 2008-08-28 Sokudo:Kk 基板処理装置
JP5149513B2 (ja) * 2007-02-15 2013-02-20 株式会社Sokudo 基板処理装置
US7675048B2 (en) 2007-03-06 2010-03-09 Varian Semiconductor Equipment Associates, Inc. Wafer holding robot end effecter vertical position determination in ion implanter system
US20080224817A1 (en) 2007-03-15 2008-09-18 Sokudo Co., Ltd. Interlaced rtd sensor for zone/average temperature sensing
JP2008258208A (ja) 2007-03-30 2008-10-23 Tokyo Electron Ltd 塗布、現像装置及びその方法並びに記憶媒体
JP4908304B2 (ja) 2007-04-27 2012-04-04 東京エレクトロン株式会社 基板の処理方法、基板の処理システム及びコンピュータ読み取り可能な記憶媒体
US8636458B2 (en) 2007-06-06 2014-01-28 Asml Netherlands B.V. Integrated post-exposure bake track
JP2007227984A (ja) 2007-06-14 2007-09-06 Dainippon Screen Mfg Co Ltd 基板処理装置
KR100897850B1 (ko) 2007-06-18 2009-05-15 세메스 주식회사 기판 처리 장치
KR100904392B1 (ko) * 2007-06-18 2009-06-26 세메스 주식회사 기판 처리 장치
US20090001071A1 (en) * 2007-06-28 2009-01-01 Sokudo Co., Ltd Method and System for Cooling a Bake Plate in a Track Lithography Tool
JP5006122B2 (ja) 2007-06-29 2012-08-22 株式会社Sokudo 基板処理装置
US7801633B2 (en) 2007-07-10 2010-09-21 Dainippon Screen Mfg. Co., Ltd. Scheduling method and program for a substrate treating apparatus
JP2009021275A (ja) 2007-07-10 2009-01-29 Sokudo:Kk 基板処理装置
US7641406B2 (en) 2007-07-26 2010-01-05 Sokudo Co., Ltd. Bevel inspection apparatus for substrate processing
JP5148944B2 (ja) 2007-08-14 2013-02-20 大日本スクリーン製造株式会社 基板処理システム
TW200919117A (en) * 2007-08-28 2009-05-01 Tokyo Electron Ltd Coating-developing apparatus, coating-developing method and storage medium
US7831135B2 (en) 2007-09-04 2010-11-09 Sokudo Co., Ltd. Method and system for controlling bake plate temperature in a semiconductor processing chamber
JP2009071235A (ja) 2007-09-18 2009-04-02 Sokudo:Kk 基板処理装置
JP5065167B2 (ja) 2007-09-20 2012-10-31 東京エレクトロン株式会社 基板の処理方法及び基板の処理システム
JP5151383B2 (ja) 2007-10-12 2013-02-27 東京エレクトロン株式会社 塗布、現像装置、その方法及び記憶媒体
JP2009135169A (ja) * 2007-11-29 2009-06-18 Tokyo Electron Ltd 基板処理システムおよび基板処理方法
JP5160204B2 (ja) * 2007-11-30 2013-03-13 株式会社Sokudo 基板処理装置
JP5128918B2 (ja) * 2007-11-30 2013-01-23 株式会社Sokudo 基板処理装置
JP5318403B2 (ja) 2007-11-30 2013-10-16 株式会社Sokudo 基板処理装置
KR100892756B1 (ko) * 2007-12-27 2009-04-15 세메스 주식회사 기판 처리 장치 및 이를 이용한 기판 이송 방법
JP5179170B2 (ja) 2007-12-28 2013-04-10 株式会社Sokudo 基板処理装置
JP5001828B2 (ja) 2007-12-28 2012-08-15 株式会社Sokudo 基板処理装置
JP5344734B2 (ja) 2007-12-28 2013-11-20 株式会社Sokudo 基板処理装置
JP5056582B2 (ja) 2008-05-22 2012-10-24 東京エレクトロン株式会社 塗布、現像装置、塗布、現像方法及び記憶媒体
US9214372B2 (en) * 2008-08-28 2015-12-15 Tokyo Ohka Kogyo Co., Ltd. Substrate processing system, carrying device and coating device
JP5225815B2 (ja) 2008-11-19 2013-07-03 東京エレクトロン株式会社 インターフェイス装置、基板を搬送する方法及びコンピュータ可読記憶媒体
WO2010085496A1 (en) 2009-01-21 2010-07-29 George Atanasoff Methods and systems for control of a surface modification process
JP4760919B2 (ja) 2009-01-23 2011-08-31 東京エレクトロン株式会社 塗布、現像装置
US20100192844A1 (en) 2009-01-30 2010-08-05 Semes Co., Ltd. Apparatus and method for treating substrate
JP5181306B2 (ja) 2009-01-30 2013-04-10 セメス株式会社 基板処理システム、露光前後処理ユニット及び基板処理方法
JP5462506B2 (ja) * 2009-03-18 2014-04-02 株式会社Sokudo 基板処理装置
JP5187274B2 (ja) 2009-05-28 2013-04-24 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
JP5443070B2 (ja) 2009-06-19 2014-03-19 東京エレクトロン株式会社 インプリントシステム
JP5060517B2 (ja) 2009-06-24 2012-10-31 東京エレクトロン株式会社 インプリントシステム
JP2011009362A (ja) 2009-06-24 2011-01-13 Tokyo Electron Ltd インプリントシステム、インプリント方法、プログラム及びコンピュータ記憶媒体
JP5050018B2 (ja) 2009-08-24 2012-10-17 東京エレクトロン株式会社 塗布現像装置及び塗布現像方法
JP5410212B2 (ja) * 2009-09-15 2014-02-05 株式会社Sokudo 基板処理装置、基板処理システムおよび検査周辺露光装置
JP5445006B2 (ja) 2009-10-05 2014-03-19 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
JP5736687B2 (ja) 2009-10-06 2015-06-17 東京エレクトロン株式会社 基板処理装置
JP5246184B2 (ja) 2010-02-24 2013-07-24 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
JP5168300B2 (ja) * 2010-02-24 2013-03-21 東京エレクトロン株式会社 基板処理装置及び基板処理方法
JP5575507B2 (ja) 2010-03-02 2014-08-20 株式会社日立国際電気 基板処理装置、基板搬送方法、半導体装置の製造方法および基板処理装置のメンテナンス方法
JP5408059B2 (ja) 2010-07-09 2014-02-05 東京エレクトロン株式会社 塗布、現像装置、塗布、現像方法及び記憶媒体
JP5348083B2 (ja) 2010-07-16 2013-11-20 東京エレクトロン株式会社 塗布、現像装置、塗布、現像方法及び記憶媒体
JP5479253B2 (ja) 2010-07-16 2014-04-23 東京エレクトロン株式会社 基板処理装置、基板処理方法、プログラム及びコンピュータ記憶媒体
WO2012016031A1 (en) 2010-07-28 2012-02-02 Par Systems, Inc. Robotic storage and retrieval systems
JP5223897B2 (ja) 2010-09-02 2013-06-26 東京エレクトロン株式会社 塗布、現像装置、塗布、現像方法及び記憶媒体
JP5293719B2 (ja) 2010-10-01 2013-09-18 東京エレクトロン株式会社 基板処理装置のデータ取得方法及びセンサ用基板
JP5616205B2 (ja) 2010-11-29 2014-10-29 東京エレクトロン株式会社 基板処理システム、基板処理方法、プログラム及びコンピュータ記憶媒体
US8612807B2 (en) * 2011-01-12 2013-12-17 Ncr Corporation Entertainment kiosk error handling and troubleshooting method
JP5883232B2 (ja) 2011-03-26 2016-03-09 東京エレクトロン株式会社 基板処理装置
JP5821689B2 (ja) 2011-04-20 2015-11-24 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
US9405194B2 (en) 2012-11-30 2016-08-02 Semes Co., Ltd. Facility and method for treating substrate

Patent Citations (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH01241840A (ja) * 1988-03-24 1989-09-26 Canon Inc 基板処理装置
JPH0945613A (ja) * 1995-07-28 1997-02-14 Tokyo Electron Ltd 処理装置
JPH10146744A (ja) * 1996-09-19 1998-06-02 Dainippon Screen Mfg Co Ltd 基板処理装置及び方法
JPH11251405A (ja) * 1999-01-08 1999-09-17 Tokyo Electron Ltd 基板処理装置
JP2000269297A (ja) * 1999-03-16 2000-09-29 Tokyo Ohka Kogyo Co Ltd 処理ユニット構築体
JP2001093827A (ja) * 1999-07-16 2001-04-06 Tokyo Electron Ltd 処理システム
JP2003338496A (ja) * 2002-05-21 2003-11-28 Tokyo Electron Ltd 基板処理装置
JP2004015023A (ja) * 2002-06-11 2004-01-15 Dainippon Screen Mfg Co Ltd 基板処理装置およびその方法
JP2005093920A (ja) * 2003-09-19 2005-04-07 Dainippon Screen Mfg Co Ltd 基板処理装置
JP2005243690A (ja) * 2004-02-24 2005-09-08 Dainippon Screen Mfg Co Ltd 基板処理装置
JP2006269672A (ja) * 2005-03-23 2006-10-05 Tokyo Electron Ltd 塗布、現像装置及び塗布、現像方法
JP2007005659A (ja) * 2005-06-24 2007-01-11 Dainippon Screen Mfg Co Ltd 基板処理装置

Cited By (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9032977B2 (en) 2009-03-18 2015-05-19 Screen Semiconductor Solutions Co., Ltd. Substrate processing method
WO2013054849A1 (ja) * 2011-10-14 2013-04-18 東京エレクトロン株式会社 基板処理装置
JP2013162080A (ja) * 2012-02-08 2013-08-19 Tokyo Electron Ltd 基板処理装置、基板処理方法及び記憶媒体
JP2014103412A (ja) * 2014-01-17 2014-06-05 Sokudo Co Ltd 基板処理方法および基板処理装置
JP2015111685A (ja) * 2014-12-24 2015-06-18 株式会社Screenセミコンダクターソリューションズ 基板処理方法および基板処理装置
US9956565B2 (en) 2015-08-21 2018-05-01 SCREEN Holdings Co., Ltd. Substrate treating apparatus
US10573546B2 (en) 2015-08-21 2020-02-25 SCREEN Holdings Co., Ltd. Substrate treating apparatus
KR101946117B1 (ko) 2015-11-12 2019-02-08 가부시키가이샤 스크린 홀딩스 기판 처리 장치
US10269598B2 (en) 2015-11-12 2019-04-23 SCREEN Holdings Co., Ltd. Substrate treating apparatus
US10879091B2 (en) 2015-11-13 2020-12-29 SCREEN Holdings Co., Ltd. Substrate treating apparatus
US11373889B2 (en) 2015-11-13 2022-06-28 SCREEN Holdings Co., Ltd. Substrate treating apparatus
JP2016103024A (ja) * 2015-12-03 2016-06-02 株式会社Screenセミコンダクターソリューションズ 基板処理方法および基板処理装置
US11049749B2 (en) 2018-09-21 2021-06-29 SCREEN Holdings Co., Ltd. Substrate treating apparatus
US11101156B2 (en) 2018-09-21 2021-08-24 SCREEN Holdings Co., Ltd. Substrate treating apparatus
TWI738021B (zh) * 2018-09-21 2021-09-01 日商斯庫林集團股份有限公司 基板處理裝置
US11295974B2 (en) 2018-09-21 2022-04-05 SCREEN Holdings Co., Ltd. Substrate treating apparatus
JP2020088352A (ja) * 2018-11-30 2020-06-04 株式会社Screenホールディングス 基板処理装置
WO2020110681A1 (ja) * 2018-11-30 2020-06-04 株式会社Screenホールディングス 基板処理装置
JP2020074466A (ja) * 2019-03-25 2020-05-14 株式会社Screenホールディングス 基板処理装置
JP2019114810A (ja) * 2019-03-25 2019-07-11 株式会社Screenホールディングス 基板処理装置
JP7437599B2 (ja) 2020-05-12 2024-02-26 東京エレクトロン株式会社 基板処理装置及び基板処理方法
US11640916B2 (en) 2020-08-28 2023-05-02 SCREEN Holdings Co., Ltd. Substrate processing apparatus and substrate processing method
JP2022071846A (ja) * 2020-10-28 2022-05-16 セメス カンパニー,リミテッド 基板処理装置及び基板処理方法

Also Published As

Publication number Publication date
TW201521147A (zh) 2015-06-01
US9230834B2 (en) 2016-01-05
TW200913121A (en) 2009-03-16
TWI372440B (en) 2012-09-11
US20120145074A1 (en) 2012-06-14
US20190221457A1 (en) 2019-07-18
TWI602259B (zh) 2017-10-11
TWI489583B (zh) 2015-06-21
US9174235B2 (en) 2015-11-03
US20120145073A1 (en) 2012-06-14
US8851008B2 (en) 2014-10-07
EP2009671A2 (en) 2008-12-31
EP2009671B1 (en) 2016-05-04
US20160079099A1 (en) 2016-03-17
US10290521B2 (en) 2019-05-14
KR20090004547A (ko) 2009-01-12
KR20100130972A (ko) 2010-12-14
TW201250914A (en) 2012-12-16
CN101335187B (zh) 2011-06-15
TW201705350A (zh) 2017-02-01
TWI683386B (zh) 2020-01-21
TW201804559A (zh) 2018-02-01
KR101036420B1 (ko) 2011-05-23
US9165807B2 (en) 2015-10-20
EP2009671A3 (en) 2012-01-11
JP5006122B2 (ja) 2012-08-22
TWI489584B (zh) 2015-06-21
CN101335187A (zh) 2008-12-31
US20120156380A1 (en) 2012-06-21
US20230042033A1 (en) 2023-02-09
TW201250913A (en) 2012-12-16
TWI587438B (zh) 2017-06-11
US20090000543A1 (en) 2009-01-01
KR101001511B1 (ko) 2010-12-14
TWI502677B (zh) 2015-10-01
TW201250915A (en) 2012-12-16

Similar Documents

Publication Publication Date Title
JP5006122B2 (ja) 基板処理装置
JP5318403B2 (ja) 基板処理装置
JP5128918B2 (ja) 基板処理装置
JP5179170B2 (ja) 基板処理装置
JP5160204B2 (ja) 基板処理装置
JP5001828B2 (ja) 基板処理装置
JP5344734B2 (ja) 基板処理装置
JP5237082B2 (ja) 基板処理装置
JP5572666B2 (ja) 基板処理装置
JP6656305B2 (ja) 基板処理装置
JP5442890B2 (ja) 基板処理装置
JP5608148B2 (ja) 基板処理装置
JP5964654B2 (ja) 基板処理方法
JP6557647B2 (ja) 基板処理装置
JP6049929B2 (ja) 基板処理方法
JP6209554B2 (ja) 基板処理方法
JP5466728B2 (ja) 基板処理装置
JP5442889B2 (ja) 基板処理装置
JP5629675B2 (ja) 基板処理装置
JP2009164255A (ja) 基板処理装置

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20091228

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20110202

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110927

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20111125

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20120501

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20120524

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150601

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Ref document number: 5006122

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

S533 Written request for registration of change of name

Free format text: JAPANESE INTERMEDIATE CODE: R313533

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250