TWI394223B - 基板處理裝置 - Google Patents

基板處理裝置 Download PDF

Info

Publication number
TWI394223B
TWI394223B TW097150911A TW97150911A TWI394223B TW I394223 B TWI394223 B TW I394223B TW 097150911 A TW097150911 A TW 097150911A TW 97150911 A TW97150911 A TW 97150911A TW I394223 B TWI394223 B TW I394223B
Authority
TW
Taiwan
Prior art keywords
substrate
processing
unit
coating
development
Prior art date
Application number
TW097150911A
Other languages
English (en)
Other versions
TW200943464A (en
Inventor
Ogura Hiroyuki
Mitsuhashi Tsuyoshi
Fukutomi Yoshiteru
Morinishi Kenya
Kawamatsu Yasuo
Nagashima Hiromichi
Original Assignee
Sokudo Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=40796579&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=TWI394223(B) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by Sokudo Co Ltd filed Critical Sokudo Co Ltd
Publication of TW200943464A publication Critical patent/TW200943464A/zh
Application granted granted Critical
Publication of TWI394223B publication Critical patent/TWI394223B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • H01L21/67225Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process comprising at least one lithography chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/6715Apparatus for applying a liquid, a resin, an ink or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67178Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers vertical arrangement
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05CAPPARATUS FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05C13/00Means for manipulating or holding work, e.g. for separate articles
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05CAPPARATUS FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05C9/00Apparatus or plant for applying liquid or other fluent material to surfaces by means not covered by any preceding group, or in which the means of applying the liquid or other fluent material is not important
    • B05C9/08Apparatus or plant for applying liquid or other fluent material to surfaces by means not covered by any preceding group, or in which the means of applying the liquid or other fluent material is not important for applying liquid or other fluent material and performing an auxiliary operation
    • B05C9/12Apparatus or plant for applying liquid or other fluent material to surfaces by means not covered by any preceding group, or in which the means of applying the liquid or other fluent material is not important for applying liquid or other fluent material and performing an auxiliary operation the auxiliary operation being performed after the application
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05CAPPARATUS FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05C9/00Apparatus or plant for applying liquid or other fluent material to surfaces by means not covered by any preceding group, or in which the means of applying the liquid or other fluent material is not important
    • B05C9/08Apparatus or plant for applying liquid or other fluent material to surfaces by means not covered by any preceding group, or in which the means of applying the liquid or other fluent material is not important for applying liquid or other fluent material and performing an auxiliary operation
    • B05C9/14Apparatus or plant for applying liquid or other fluent material to surfaces by means not covered by any preceding group, or in which the means of applying the liquid or other fluent material is not important for applying liquid or other fluent material and performing an auxiliary operation the auxiliary operation involving heating or cooling
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • G03F7/70733Handling masks and workpieces, e.g. exchange of workpiece or mask, transport of workpiece or mask
    • G03F7/7075Handling workpieces outside exposure position, e.g. SMIF box
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70808Construction details, e.g. housing, load-lock, seals or windows for passing light in or out of apparatus
    • G03F7/70841Constructional issues related to vacuum environment, e.g. load-lock chamber
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70975Assembly, maintenance, transport or storage of apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67173Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers in-line arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67276Production flow monitoring, e.g. for increasing throughput
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67748Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a single workpiece
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05CAPPARATUS FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05C13/00Means for manipulating or holding work, e.g. for separate articles
    • B05C13/02Means for manipulating or holding work, e.g. for separate articles for particular articles
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D3/00Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials
    • B05D3/04Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials by exposure to gases
    • B05D3/0486Operating the coating or treatment in a controlled atmosphere
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F24HEATING; RANGES; VENTILATING
    • F24FAIR-CONDITIONING; AIR-HUMIDIFICATION; VENTILATION; USE OF AIR CURRENTS FOR SCREENING
    • F24F3/00Air-conditioning systems in which conditioned primary air is supplied from one or more central stations to distributing units in the rooms or spaces where it may receive secondary treatment; Apparatus specially designed for such systems
    • F24F3/12Air-conditioning systems in which conditioned primary air is supplied from one or more central stations to distributing units in the rooms or spaces where it may receive secondary treatment; Apparatus specially designed for such systems characterised by the treatment of the air otherwise than by heating and cooling
    • F24F3/16Air-conditioning systems in which conditioned primary air is supplied from one or more central stations to distributing units in the rooms or spaces where it may receive secondary treatment; Apparatus specially designed for such systems characterised by the treatment of the air otherwise than by heating and cooling by purification, e.g. by filtering; by sterilisation; by ozonisation
    • F24F3/167Clean rooms, i.e. enclosed spaces in which a uniform flow of filtered air is distributed
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/27Manufacturing methods
    • H01L2224/276Manufacturing methods by patterning a pre-deposited material
    • H01L2224/27618Manufacturing methods by patterning a pre-deposited material with selective exposure, development and removal of a photosensitive layer material, e.g. of a photosensitive conductive resin

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Automation & Control Theory (AREA)
  • Environmental & Geological Engineering (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Health & Medical Sciences (AREA)
  • Robotics (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Materials For Photolithography (AREA)
  • Multi-Process Working Machines And Systems (AREA)

Description

基板處理裝置
本發明有關於一種對半導體基板、液晶顯示裝置用玻璃基板、光罩用玻璃基板、光碟用基板等(以下,簡稱「基板」)進行一連串處理的基板處理裝置。
習知,作為此種裝置,有一種在基板形成阻劑膜並將基板經此裝置之外的曝光機曝光後實施顯像的基板處理裝置。該裝置具備有將進行阻劑膜形成處理之塗佈處理區和實施顯像處理之顯像處理區等排列而構成的處理部。各處理區具備有單一主搬送機構與各種處理單元。各處理區之主搬送機構將基板搬送至設在該處理區之處理單元,同時在其與鄰接之其他處理區的主搬送機構間交接基板。藉此,在各種處理單元依次搬送複數基板,對基板進行一連串處理。所謂一連串處理,可例示用以在基板形成阻劑膜及用以將基板顯像之步驟。此步驟包含種類不同之複數個處理,並且其中設有藉外部曝光機曝光之處理。各主搬送機構同時搬送複數個基板,依次進行對各基板之處理步驟(例如,日本專利特開2003-324139號公報所揭示)。
然而,具有此種構成之習知例,具有以下問題。
例如,有時會想在一部分處理單元進行試運轉,同時在其他處理單元對基板進行一連串處理。或者,有時會想對一部 分基板進行形成阻劑膜用及將基板顯像用之步驟,同時對其他基板進行形成阻劑膜用之步驟。此處所謂「步驟」,可由種類不同之複數個處理所構成,亦可由單一處理所構成。
然而,若步驟在基板間具有不同步驟,則基板相對於各種處理單元的搬送路徑會改變。因此,主搬送機構無法有效率地搬送基板。因此,在習知裝置中,難以針對每個基板改變對基板處理之步驟。換言之,在習知裝置中,難以同時進行複數個步驟。
本發明有鑑於此而完成,其目的在於提供一種可針對每個基板改變對基板處理之步驟而可同時進行2個以上不同步驟之處理的基板處理裝置。
本發明為達成此目的而採用如下構成。
亦即,本發明為一種處理基板的基板處理裝置,其包含以下元件:複數基板處理列,可將基板以大致水平方向搬送,同時對基板進行複數種類之處理;及控制部,針對每個基板處理列改變處理基板的步驟。
根據本發明,具備有複數基板處理列與控制部,可針對每個基板處理列而改變對基板進行的處理步驟。因此,可根據所搬送的基板處理列,而針對每個基板較佳地改變對基板進行的處理步驟。因此,可對於基板同時進行種類數與基板處 理列之數目相當的步驟。
在上述發明中,上述複數基板處理列最好排列設置於上下方向。藉由使複數基板處理列重疊配置於上下方向,而可防止增大佔地。
又,在上述發明中,上述控制部最好可使各基板處理列中之步驟在各基板處理列間不同,亦可使各基板處理列中之步驟在全部基板處理列相同。藉由使對基板進行的處理步驟在各基板處理列間相同,而可從全部之基板處理列得到經相同步驟處理的基板。又,藉由使對基板進行的處理步驟在基板處理列間不同,而可從各基板處理列得到經不同步驟處理的各種基板。
又,在上述發明中,上述控制部最好可使全部基板處理列中之步驟成為用以在基板形成阻劑膜、及用以對基板實施顯像的步驟。可在各基板處理列中較佳地進行於基板形成阻劑膜、對基板實施顯像等一連串處理。因此,可從全部之基板處理列得到形成有阻劑膜、且經顯像的基板。
又,在上述發明中,上述控制部最好可使部分基板處理列以通常運轉時之步驟處理基板步驟,同時可使其他基板處理列以試運轉時之步驟處理基板。可同時於每個基板處理列進行在通常運轉時之步驟中處理基板的通常運轉、和在試運轉時之步驟中處理基板的試運轉。藉此,即使在試運轉時,亦可抑制本裝置之稼動率降低。
又,在上述發明中,上述控制部最好可使部分基板處理列中之步驟為用以在基板形成阻劑膜、及用以對基板實施顯像的步驟之全部,並同時使其他基板處理列中之步驟為用以在基板形成阻劑膜、及用以將基板實施顯像的步驟之一部分。可從部分基板處理列得到形成有阻劑膜、且經顯像的基板,並可從其他基板處理列得到完成形成阻劑膜及顯像的一連串處理之一部分的基板。
又,在上述發明中,上述其他基板處理列中之步驟最好為用以在基板形成阻劑膜的步驟、或用以對基板實施顯像的步驟之任一者。可從其他基板處理列得到形成有阻劑膜的基板、或經顯像的基板。
又,在上述發明中,上述控制部最好可使部分基板處理列進行由種類不同之複數處理所構成的步驟,並同時使其他基板處理列進行由單一處理所構成的步驟。可從部分之基板處理列得到經複數種類處理之基板,並可從其他基板處理列得到經單一處理之基板。
又,在上述發明中,上述由單一處理構成的步驟最好為由對基板塗佈阻劑膜材料的阻劑膜材料塗佈處理、對基板供給顯像液的顯像處理、及對基板加熱或冷卻的熱處理之任一處理所構成的步驟。可從其他基板處理列得到僅經阻劑膜材料塗佈處理、顯像處理、或熱處理的基板。
又,在上述發明中,上述控制部最好可使部分基板處理列 進行由種類不同之複數處理構成的第1步驟,並同時使其他基板處理列進行由種類不同之複數處理構成且與第1步驟不同的第2步驟。可在部分基板處理列、及其他基板處理列對基板進行互相不同的複數種類之處理。
又,在上述發明中,上述第1步驟及上述第2步驟之至少一者,最好包含對基板塗佈阻劑膜材料的阻劑膜材料塗佈處理、對基板供給顯像液的顯像處理、及對基板加熱或冷卻的熱處理之至少一者。在任一基板處理列中可至少進行阻劑膜材料塗佈處理相關步驟、顯像處理相關步驟、或熱處理相關步驟。
又,本發明為一種基板處理裝置,其對基板進行處理:上述裝置包含以下元件:橫向排列的複數個處理區,具有設置在上下方向之每個階層用以處理基板的處理單元、和設置在各階層用以對該階層之處理單元搬送基板的主搬送機構;可在該處理區之相同階層中相鄰接的主搬送機構彼此間交接基板,而在各階層中對基板進行一連串處理;及控制部,控制各主搬送機構,針對每個階層而改變基板相對於各階層之處理單元的搬送路徑。
根據本發明,具備設有複數階層之複數個處理區與依每個階層而改變基板搬送路徑的控制部,可針對每個階層改變對基板進行的處理。因此,可同時進行種類數與處理區之階層 數目相當的處理。
又,在上述發明中,上述控制部最好可在各階層中分別對基板進行一連串處理之全部或一連串處理之一部分。可在各階層中分別對基板進行一連串處理之全部。又,可在各階層中分別對基板進行一連串處理之一部分。控制部在階層間互相獨立地控制各階層之處理。藉此,可在各階層中分別對基板進行所希望的處理。
又,在上述發明中,在進行試運轉之處理單元的階層中,上述控制部最好使基板僅搬送至進行該試運轉之處理單元。藉由使基板僅搬送至進行該試運轉的處理單元,而可較佳地試驗、驗證、確認該處理單元對基板所進行的處理品質。
又,在上述發明中,最好具備有輸入用以針對每個階層設定基板搬送路徑之資訊的輸入部,上述控制部根據輸入至上述輸入部的資訊而改變各階層之搬送路徑。由於具備有輸入部,控制部可針對每個階層而改變處理單元的基板搬送路徑。藉此,可簡易地改變在各階層中對基板所進行的處理內容。
又,在上述發明中,輸入至上述輸入部的資訊,最好為在各階層中對基板所進行的處理之種類與其次序的相關資訊。根據在各階層中對基板進行的處理之種類與其次序之相關資訊,控制部可針對每個階層而確實地改變基板相對於處理單元的搬送路徑。
又,在上述發明中,輸入至上述輸入部的資訊,最好為用以特定進行試運轉之處理單元的資訊。根據用以特定進行試運轉之處理單元的資訊,控制部可較佳地改變設置該處理單元的階層之搬送路徑。例如,控制部最好使基板僅搬送至該處理單元。如此,可進行該處理單元之試運轉。
又,在上述發明中,最好上述處理區包含有塗佈處理區與顯像處理區,上述塗佈處理區具備有對基板塗佈處理液的塗佈處理單元作為上述處理單元,上述顯像處理區具備有對基板供給顯像液的顯像處理單元作為上述處理單元。可在處理部之各階層中,進行對基板塗佈處理液之塗佈處理與對基板供給顯影液之顯像處理。
又,在上述發明中,上述控制部最好可對上述塗佈處理區之全部階層,將所搬入的基板於在上述塗佈處理單元進行處理後送出至該塗佈處理區之外部,且可對上述塗佈處理區之部分階層,將所搬入的基板於在上述塗佈處理單元進行處理後送出至該塗佈處理區之外部,並同時對在上述塗佈處理區之其他階層,將所搬入的基板在未搬送至上述塗佈處理單元之情況下送出至該塗佈處理區之外部。可在塗佈處理區之全部階層中進行塗佈處理。又,可在塗佈處理區之部分階層中進行塗佈處理,同時在塗佈處理區之其他階層中不進行塗佈處理。
又,在上述發明中,上述控制部最好可對上述顯像處理區 之全部階層,將所搬入的基板於在上述顯像處理單元進行處理後,送出至該顯像處理區之外部,且其可對上述顯像處理區之部分階層,將所搬入的基板於在上述顯像處理單元進行處理後送出至該顯像處理區之外部,並同時對上述顯像處理區之其他階層,將所搬入的基板在未搬送至上述顯像處理單元之情況下送出至該顯像處理區之外部。可在顯像處理區之全部階層中對基板實施顯像處理。又,可在顯像處理區之部分階層中對基板實施顯像處理,同時在顯像處理區之其他階層中不進行塗佈處理。
此外,本案說明書中亦揭示出如下基板處理裝置之發明。
(1)在第1發明之基板處理裝置中,各基板處理列分別具備有複數處理單元,與將基板搬送至該等處理單元的主搬送機構。由於在每個基板處理列設置有個別的處理單元及主搬送機構,所以控制部可針對每個基板處理列較佳地改變處理單元的基板搬送路徑。
(2)在第6發明之基板處理裝置中,上述其他基板處理列之步驟為由單一處理所構成的步驟。可從其他基板處理列得到經單一處理的基板。
(3)在第8發明之基板處理裝置中,上述由種類不同之複數處理所構成的步驟,包含有對基板塗佈阻劑膜材料的阻劑膜材料塗佈處理、對基板供給顯像液的顯像處理、及將基板進行加熱或冷卻的熱處理之至少一者。在進行由種類不同之 複數處理所構成的步驟之一部分基板處理列中,可進行阻劑膜材料塗佈處理之相關步驟、顯像處理之相關步驟、或熱處理之相關步驟。
(4)在第8發明之基板處理裝置中,上述由種類不同之複數處理所構成的步驟,為用以在基板形成阻劑膜的步驟、及用以對基板實施顯像的步驟之至少任一者。可在進行由種類不同之複數處理所構成的步驟之一部分基板處理列中,得到形成有阻劑膜之基板、或經顯像的基板。
(5)在第10發明之基板處理裝置中,上述第1步驟及上述第2步驟分別包含有對基板塗佈阻劑膜材料的阻劑膜材料塗佈處理、對基板供給顯像液的顯像處理、及對基板加熱或冷卻的熱處理之至少一者。可在一部分之基板處理列、及其他之基板處理列中,進行阻劑膜材料塗佈處理之相關步驟、顯像處理之相關步驟、或熱處理之相關步驟。
(6)在第10發明之基板處理裝置中,上述第1步驟為用以在基板形成阻劑膜、及用以對基板實施顯像的步驟,上述第2步驟為用以在基板形成阻劑膜的步驟、或用以對基板實施顯像的步驟之任一者。可從一部分之基板處理列得到形成有阻劑膜、且經顯像的基板,並可從其他之基板處理列得到形成有阻劑膜、或經顯像的基板。
(7)在第10發明之基板處理裝置中,上述第1步驟、及上述第2步驟之一者為用以在基板形成阻劑膜的步驟,上述第 1步驟、及上述第2步驟之另一者為用以對基板實施顯像的步驟。可從一部分之基板處理列得到形成有阻劑膜的基板,並可從其他之基板處理列得到經顯像的基板。
(8)在第1發明之基板處理裝置中,上述控制部可在全部的基板處理列中,進行包括對基板塗佈阻劑膜材料之阻劑膜材料塗佈處理、對基板供給顯像液的顯像處理、及對基板加熱或冷卻的熱處理而用以在基板形成阻劑膜且對基板實施顯像的步驟,且可在一部分之基板處理列中進行包括上述阻劑膜材料塗佈處理、上述顯像處理與上述熱處理而用以在基板形成阻劑膜且對基板實施顯像的步驟,並同時在其他之基板處理列中,進行包括上述阻劑膜材料塗佈處理與上述熱處理而用以形成阻劑膜的步驟、或包括上述顯像處理與上述熱處理而用以對基板實施顯像的步驟中之任一者。可從全部的基板處理列得到形成有阻劑膜、且經顯像的基板。又,可從一部分之基板處理列得到形成有阻劑膜、且經顯像的基板,同時可從其他之基板處理列得到形成有阻劑膜的基板、或經顯像的基板。
(9)在第18發明之基板處理裝置中,上述塗佈處理單元為在基板塗佈阻劑膜材料的阻劑膜用塗佈處理單元。可在塗佈處理區中較佳地在基板形成阻劑膜。
(10)在第18發明之基板處理裝置中,上述塗佈處理區更進一步具備有對基板實施熱處理的熱處理單元作為上述處 理單元,上述顯像處理區更進一步具備有對基板實施熱處理的熱處理單元作為上述處理單元。可在塗佈處理區中,較佳地進行包含塗佈處理單元及熱處理單元中之各項處理的步驟。又,可在顯像處理區中,較佳地進行包含顯像處理單元及熱處理單元中之各項處理的步驟。
(11)在第18發明之基板處理裝置中,上述塗佈處理區與上述顯像處理區保持相鄰接。可平順地進行包含塗佈處理單元及顯像處理單元中之各項處理的步驟。
(12)在上述(11)之基板處理裝置中,其具備有索引器部,該索引器部與上述塗佈處理區相鄰接而設置,對收納複數片基板的卡匣搬送基板,並且與上述塗佈處理區之各主搬送機構交接基板。由於塗佈處理區可與索引器部交接基板,所以可於各階層中彈性地改變處理內容。
(13)在上述(11)之基板處理裝置中,具備有介面部,該介面部與上述顯像處理區相鄰接而設置,對與本裝置分離的曝光機搬送基板,同時與上述顯像處理區之各主搬送機構交接基板。由於顯像處理區可與介面部交接基板,所以可於各階層中彈性地改變處理內容。
(14)一種基板處理裝置,其對基板進行處理:上述裝置包含以下元件:複數基板處理列,其設置於上下方向,可將基板以大致水平方向搬送,並同時對基板進行一連串處理;及 控制部,對於每個基板處理列,可使對基板所進行的處理成為上述一連串處理之全部,且亦可使其僅成為上述一連串處理之一部分。
根據上述(14)之裝置,其具備有複數基板處理列與控制部,可於每個基板處理列將對基板所進行的處理,在一連串處理的全部與一連串處理的一部分之間作改變。因此,可同時進行種類數與基板處理列數目相當的處理。
(15)在上述(14)之基板處理裝置中,上述控制部可在全部的基板處理列中對基板進行上述一連串處理,且可在一部分之基板處理列中,對基板進行上述一連串處理之全部,同時在其他之基板處理列中,對基板進行上述一連串處理之一部分。可在全部基板處理列對基板進行一連串處理之全部。 又,可在一部分之基板處理列中,對基板進行上述一連串處理之全部,同時在其他之基板處理列中,對基板進行一連串處理之一部分。
(16)在上述(14)之基板處理裝置中,上述控制部可在一部分基板處理列中執行對基板進行上述一連串處理之全部的通常運轉,同時在其他基板處理列中執行對基板進行上述一連串處理之一部分的試運轉。可在其他基板處理列中進行試運轉,使其他基板處理列回復通常狀態,同時在部分基板處理列中進行通常運轉。
以下,根據圖式詳細說明本發明之較佳實施例。
首先,說明本實施例之概要。圖1、圖2為表示實施例之基板處理裝置之概略構成的模式圖。圖1表示在全部基板處理列以相同步驟處理基板的例子,圖2表示在各基板處理列以互不相同之步驟處理基板的例子。
實施例為在基板(例如,半導體晶圓)W形成阻劑膜,同時對經曝光的基板W顯像的基板處理裝置10。以下,將基板處理裝置10適度簡稱為裝置10。本裝置10具備有以大致水平方向搬送基板W並同時對基板W施行複數種類處理的2個基板處理列Lu、Ld。基板處理列Lu、Ld以上下方向設置。基板處理列Lu、Ld構成處理部3。以下,在未特別區分基板處理列Lu、Ld之情況下,僅記載為基板處理列L。於本裝置10透過後述介面部鄰接有在本裝置10之外的曝光機EXP。以曝光機EXP曝光基板W。
可在各基板處理列L中進行之處理,大致可分為塗佈處理、熱處理、邊緣曝光、及顯像處理。塗佈處理包含有反射防止膜材料塗佈處理和阻劑膜材料塗佈處理。熱處理包含有冷卻處理、加熱處理、加熱/冷卻處理、及PEB(PEB:Post Exposure Bake,曝光後烘烤)處理。此外,PEB處理是亦稱作曝光後加熱處理之處理,本說明書中記為「PEB處理」。
圖1為在各基板處理列中Lu、Ld中對基板W所進行之處理步驟互為相同之情況。基板處理列Lu、Ld兩者中之步驟 由不同種類的複數處理所構成。具體而言,各基板處理列L中之步驟係順序進行冷卻處理T1、反射防止膜材料塗佈處理T2、加熱/冷卻處理T3、冷卻處理T4、阻劑膜材料塗佈處理T5、加熱/冷卻處理T6、冷卻處理T7、邊緣曝光T8、PEB處理T10、冷卻處理T11、顯像處理T12、加熱處理T13、冷卻處理T14。對於各種處理T1-T8、T10-T14賦予符號「u」者,指在基板處理列Lu進行的處理,賦予符號「d」者,指在基板處理列Ld進行的處理。此外,在邊緣曝光T8後、PEB處理T10之前,包夾著由曝光機EXP所進行的曝光T9。
從冷卻處理T1u至邊緣曝光T8u、及從PEB處理T10u至冷卻處理T14u之一連串處理,相當於本發明中「用以在基板W形成阻劑膜、及用以對基板實施顯像之步驟」。同樣地,從冷卻處理T1d至邊緣曝光T8d、及從PEB處理T10d至冷卻處理T14d之一連串處理,亦相當於本發明中「用以在基板W形成阻劑膜、及用以對基板實施顯像之步驟」。以下,將「用以在基板W形成阻劑膜、及用以對基板實施顯像之步驟」適度稱為「塗佈顯像步驟」。
藉由在基板處理列Lu、Ld平行進行塗佈顯像步驟,可從各基板處理列Lu、Ld形成阻劑膜,得到經顯像之基板W。在圖1中,對在塗佈顯像步驟完成處理之基板W賦予符號「Wa」。
又,上述基板處理列Lu、Ld中之各步驟,在以基板處理 為目的之下,分別為通常運轉時之步驟。又,基板處理列Lu、Ld中之步驟,在以處理品質之確認和試驗、或處理單元之試運轉等為目的之下,則為試運轉時之步驟。
圖2為基板處理列Lu、Ld中各步驟相異的情況。基板處理列Lu中之步驟為塗佈顯像步驟。基板處理列Ld中之步驟由單一處理(阻劑膜材料塗佈處理T21d)所構成。因此,可從基板處理列Lu形成阻劑膜,得到經顯像之基板W,同時可從基板處理列Ld得到經阻劑膜材料塗佈處理之基板W。在圖2中,對在塗佈顯像步驟完成處理之基板W賦予符號「Wa」,對完成阻劑膜材料塗佈處理之基板W賦予符號「Wb」。
在圖2所示之情況下,基板處理列Lu、Ld中各步驟在以試運轉等為目的之下,亦為試運轉時之步驟,在以基板處理為目的之下,亦為通常時之步驟。
雖省略圖示本裝置中的其他動作例,但基板處理列Lu、Ld中之各步驟可任意改變。又,可分别獨立改變基板處理列Lu中之步驟與基板處理列Ld中之步驟。
例如,基板處理列Lu、Ld中之各步驟可分別為塗佈顯像步驟之全部或一部分。作為塗佈顯像步驟之一部分,例如可例示用以在基板W形成阻劑膜之步驟(以下適度稱為「阻劑步驟」)、或用以對基板實施顯像之步驟(以下適度稱為「顯像步驟」)等。作為阻劑步驟,可例示從冷卻處理T1至邊緣 曝光T8之一連串處理。作為顯像處理,可例示從PEB處理T10至冷卻處理T14之一連串處理。此外,作為塗佈顯像步驟之一部分,例如亦可包含阻劑膜材料塗佈處理T5及其相關步驟、顯像處理T12及其相關步驟、和熱處理及其相關步驟。或者,可任意選擇從冷卻處理T4至加熱/冷卻處理T6之步驟、或僅由邊緣曝光T8所構成之步驟等。
又,從其他觀點,基板處理列Lu、Ld中之各步驟亦可分別為由單一處理構成之步驟、或由不同種類之複數處理構成之步驟任一者。由單一處理構成之步驟,僅由各種處理T1-T8、T10-T14之任一處理所構成。由不同種類之複數處理構成的步驟,由各種處理T1-T8、T10-T14中之至少2者以上處理所構成。作為由不同種類之複數處理構成的步驟,可例示由冷卻處理T14、加熱/冷卻處理T3、顯像處理T12構成之步驟等。此外,由不同種類之複數處理構成的步驟所含處理數,可比塗佈顯像步驟所含處理數多或少。又,由不同種類之複數處理構成步驟中之處理順序,亦可與塗佈顯像步驟之處理順序相同或不同。
更進一步,基板處理列Lu、Ld中之步驟亦可分別為通常運轉時之步驟,或試運轉時之步驟中之任一者。因此,亦可在基板處理列Lu、Ld之兩者執行試運轉時之步驟。
此處比較本實施例與比較例。圖18為表示比較例基板處理裝置之概略構成的模式圖。圖18所示之基板處理裝置具 備有單一基板處理列Ls。在該基板處理列Ls平行進行塗佈顯像步驟和僅由阻劑膜材料塗佈處理構成之步驟時,在圖18實線所示之路徑搬送基板W(塗佈顯像步驟),同時亦在虛線所示之路徑搬送基板W(僅由阻劑膜材料塗佈處理構成之步驟)。如此,在單一基板處理列Ls中,在2個搬送路徑上交互搬送基板W,則基板W整體搬送效率會下降,基板搬送之控制亦趨於非常複雜。相對於此,如圖1、圖2所示,可知本裝置10之基板W搬送效率較高,基板W之搬送控制亦簡單、容易。
如此,根據本裝置10,由於其具備有2個基板處理列Lu、Ld,所以可較佳地在各基板處理列Lu、Ld以相同步驟對基板W平行進行處理、同時在各基板處理列Lu、Ld以互相不同之步驟對基板W平行進行處理。前者可從各基板處理列Lu、Ld得到經相同步驟處理之基板W,後者可從各基板處理列Lu、Ld分別同時得到經不同步驟處理之基板W。又,藉由選擇基板處理列Lu、Ld之任一者而搬送基板W,而可針對每個基板W較佳地改變處理基板W之步驟。
以下,更詳細地說明本實施例。圖3為表示實施例基板處理裝置之概略構成的俯視圖,圖4與圖5為基板處理裝置所具處理單元之配置的概略側視圖,圖6至圖9分别為圖3中a-a箭頭方向、b-b箭頭方向、c-c箭頭方向及d-d箭頭方向的垂直剖視圖。
本裝置10除上述處理部3外,更具備有索引器部(以下記為「ID部」)1和介面部(以下記為「IF部」)5。於鄰接處理部3之一側處設置有ID部1,於鄰接處理部3之另一側處設置有IF部5。於IF部5更進一步鄰接而設置有與在本裝置10之外、作為外部裝置的曝光機EXP。ID部1將從外部搬送至裝置10之基板W交接至處理部3。IF部5在處理部3與曝光機EXP間交接基板W。以下,分別說明ID部1、處理部3、IF部5等。
(ID部1)
ID部1從收容複數片基板W之卡匣C中將基板W取出,並將基板W收納於卡匣C。該ID部1具備有載置卡匣C之卡匣載置台9。卡匣載置台9構成為可將4個卡匣C排列為一列而載置。ID部1具備有ID用搬送機構TID 。ID用搬送機構TID 對各卡匣C搬送基板W,同時將基板W搬送至後述載置部PASS1 及載置部PASS3 。如圖6所示,ID用搬送機構TID 具備有:可動台21,其沿卡匣C之排列方向在卡匣載置台9側邊水平移動;升降軸23,相對於可動台21而沿垂直方向伸縮;及保持臂25,其保持基板W,相對於該升降軸23迴旋,並在迴旋半徑方向進退。
(處理部3)
處理部3之各基板處理列L構成為可於連結ID部1與IF部5之大致水平方向上搬送基板W。各基板處理列L分別具 備有搬送基板W之主搬送機構T。在本實施例中,各基板處理列L分別具備有複數(各基板處理列L有2座,合計4座)主搬送機構T。各基板處理列L之複數主搬送機構T排列在搬送基板W之方向,可在搬送方向上相鄰接之主搬送機構T間交接基板W。各主搬送機構T對後述各種處理單元搬送基板W,並且在與相鄰接的其他主搬送機構T之間交接基板W。
具體而言,在基板處理列Lu中有主搬送機構T1 和主搬送機構T2 排列成一列。主搬送機構T1 配置於ID部1側,主搬送機構T2 配置於IF部5側。同樣地,在基板處理列Ld有主搬送機構T3 和主搬送機構T4 排列成一列,主搬送機構T3 配置於ID部1側,主搬送機構T4 配置於IF部5側。
本實施例中,具有上述基板處理列L之處理部3,由複數(2台)處理區Ba、Bb在橫方向(與搬送方向略同)上排列而構成。處理區Ba鄰接於ID部1,處理區Bb鄰接於IF部5。各處理區Ba、Bb分別在上下方向分成有複數(2個)階層K。於處理區Ba之上側階層K1設置有上述主搬送機構T1 ,於下側階層K3設置有主搬送機構T3 。同樣地,於處理區Bb之上側階層K2設置有主搬送機構T2 ,於下側階層K4設置有主搬送機構T4
在相鄰接的處理區Ba、Bb中,相同階層K1、K2的主搬送機構T1 、T2 間可交接基板W,階層K1、K2構成基板處理列 Lu。同樣地,主搬送機構T3 、T4 間可交接基板W,階層K3、K4構成基板處理列Ld。
(處理部3~處理區Ba)
於ID部1和處理區Ba之各階層K1、K3間設置有載置基板W之載置部PASS1 、PASS3 。於載置部PASS1 暫時載置有在ID用搬送機構TID 和主搬送機構T1 間交接的基板W。同樣地,於載置部PASS3 暫時載置有在ID用搬送機構TID 和主搬送機構T3 間交接的基板W。剖視下,載置部PASS1 配置於上側階層K1下部附近之高度的位置處,載置部PASS3 配置於下側階層K3上部附近之高度的位置處。如此,由於載置部PASS1 和載置部PASS3 之位置比較靠近,所以ID用搬送機構TID 可以較少之升降量在載置部PASS1 與載置部PASS3 間移動。
於處理區Ba、Bb間亦設置有載置基板W之載置部PASS2 、PASS4 。載置部PASS2 配置於階層K1、K2間,載置部PASS4 配置於階層K3、K4間。而且,主搬送機構T1 與主搬送機構T2 經由載置部PASS2 交接基板W,主搬送機構T3 與主搬送機構T4 經由載置部PASS4 交接基板W。
載置部PASS1 有複數台(本實施例為2台)。該等複數載置部PASS1 相互在上下方向相接近而配置。在2個載置部PASS1 中,於一載置部PASS1A 載置有從ID用搬送機構TID 交接至主搬送機構T1 的基板W,於另一載置部PASS1B 載置有從主搬送機構T1 交接至ID用搬送機構TID 的基板W。載置部 PASS2 ~PASS4 及後述之載置部PASS5 ~PASS6 亦分別有複數台(2台),根據交接基板W之方向可選擇任一載置部PASS。又,於載置部PASS1A 、PASS1B 分別附設有用以檢測基板W之有無的感測器(省略圖示),根據各感測器之檢測信號而控制ID用搬送機構TID 及主搬送機構T1 間之基板W交接。同樣的,感測器亦分別附設於載置部PASS2 ~PASS6
以下就階層K1說明。俯視下,主搬送機構T1 設置為可在通過階層K1之大致中央而與搬送方向相平行的搬送空間A1 中移動。於階層K1中設置有對基板W塗佈處理液之塗佈處理單元31、及對基板W實施熱處理之熱處理單元41。塗佈處理單元31配置於搬送空間A1 之一側,於另一側配置有熱處理單元41。
塗佈處理單元31分別面向搬送空間A1 縱橫排列而設置有複數個。於本實施例中,沿基板W之搬送路徑配置有2列2段合計4個塗佈處理單元31。
塗佈處理單元31包含有對基板W塗佈反射防止膜用材料之反射防止膜用塗佈處理單元BARC、及對基板W塗佈阻劑膜材料之阻劑膜用塗佈處理單元RESIST。在本說明書中,將在反射防止膜用塗佈處理單元BARC所進行的處理適當記為反射防止膜材料塗佈處理,將在阻劑膜用塗佈處理單元RESIST所進行的處理適當記為阻劑膜材料塗佈處理。
反射防止膜用塗佈處理單元BARC有複數台(2台),其排 列配置為下段位於高度略同之位置處。阻劑膜用塗佈處理單元RESIST亦有複數台,其排列配置為上段位於高度略同之位置處。在各反射防止膜用塗佈處理單元BARC間不具有隔壁或分隔壁等。亦即,僅將全部反射防止膜用塗佈處理單元BARC收容於共通之處理室,各反射防止膜用塗佈處理單元BARC之周圍環境氛圍未相互阻斷(形成連通)。同樣地,各阻劑膜用塗佈處理單元RESIST之周圍環境氛圍亦未相互阻斷。
以下參照圖10A、圖10B。圖10A為塗佈處理單元之俯視圖,圖10B為塗佈處理單元之剖視圖。各塗佈處理單元31具備有:旋轉保持部32,可旋轉地保持基板W;杯部33,設於基板W之周圍;及供給部34等,將處理液供給於基板W。
供給部34具備有:複數個噴嘴35;把持部36,其把持一噴嘴35;和噴嘴移動機構37,其移動把持部36,使一噴嘴35在基板W上方之處理位置和偏離基板W上方之待機位置間移動。於各噴嘴35分別連通連接有處理液配管38之一端。處理液配管38可動(可撓)地設置成可容許待機位置與處理位置間噴嘴35之移動。各處理液配管38之另一端側連接於處理液供給源(省略圖示)。具體而言,在反射防止膜用塗佈處理單元BARC,處理液供給源對各噴嘴35供給種類不同的反射防止膜用處理液。在阻劑膜用塗佈處理單元 RESIST,處理液供給源對各噴嘴35供給種類不同的阻劑膜材料。
噴嘴移動機構37具有第1導軌37a與第2導軌37b。第1導軌37a隔著橫向排列的2個杯部33相互平行而配設。第2導軌37b可滑動地支持於2個第1導軌37a,架設在2個杯部33上。把持部36可滑動地支持於第2導軌37b。此處,第1導軌37a及第2導軌37b所導引的各方向均為大致水平方向,且相互略為正交。噴嘴移動機構37更進一步具備有使第2導軌37b滑動移動並使把持部36滑動移動的驅動部(省略圖示)。然後,藉由驅動部之驅動,使由把持部36把持的噴嘴35移動至與處理位置相當的2個旋轉保持部32之上方位置處。
熱處理單元41有複數個,其各自面向搬送空間A1 而縱橫排列有複數個。在本實施例中,可於橫方向配置3個熱處理單元41,可於縱方向疊層5個熱處理單元41。熱處理單元41分別具備有用以載置基板W的平板43等。熱處理單元41包含有:冷卻單元CP,其對基板W進行冷卻處理;加熱冷卻單元PHP,其持續進行加熱處理與冷卻處理;及附著處理單元AHL,其在六甲基二矽氮烷(HMDS)之蒸氣環境氛圍下施加熱處理以提高基板W與被覆膜之密著性。此外,加熱冷卻單元PHP具有2個平板43,同時具備有在2個平板43間使基板W移動的局部搬送機構(省略圖示)。各種熱處理單元 CP、PHP、AHL分別有複數個,配置在適當位置處。此外,在本說明書中,將在加熱冷卻單元PHP所進行的處理適度記為加熱/冷卻處理。
以下具體說明主搬送機構T1 。請參照圖11。圖11為主搬送機構之立體圖。主搬送機構T1 具有於上下方向導引的2條第3導軌51、和於橫方向導引的第4導軌52。第3導軌51與搬送空間A1 之一側相對向而固定。本實施例中,配置於塗佈處理單元31側。第4導軌52可滑動地安裝於第3導軌51。於第4導軌52可滑動地設置有基部53。基部53於橫方向突出至搬送空間A1 之大致中央。主搬送機構T1 更進一步具備有使第4導軌52於上下方向移動且使基部53於橫方向移動的驅動部(省略圖示)。藉由該驅動部之驅動,使基部53移動至縱橫排列之塗佈處理單元31及熱處理單元41各位置處。
於基部53設置有可圍繞縱軸心Q旋轉之旋轉台55。於旋轉台55分別設置有用以保持基板W之2個保持臂57a、57b,可在水平方向移動。2個保持臂57a、57b配置在上下相互接近之位置處。其更進一步具備有使旋轉台55旋轉並使各保持臂57a、57b移動的驅動部(省略圖示)。藉由該驅動部之驅動,而使旋轉台55移至與各塗佈處理單元31、各熱處理單元41及載置部PASS1 、PASS2 相對向之位置處,並使保持臂57a、57b相對於該等塗佈處理單元31等而進退。
以下說明階層K3。並且,就與階層K1相同之構成賦予相同元件符號而省略詳細說明。階層K3之主搬送機構T3 及處理單元在俯視下之佈置(配置),與階層K1者略同。因此,從主搬送機構T3 所看到階層K3之各種處理單元配置,與從主搬送機構T1 所看到階層K1之各種處理單元配置略同。階層K3之塗佈處理單元31與熱處理單元41分別疊層在階層K1之塗佈處理單元31與熱處理單元41各自的下側。
以下在區別設於階層K1、K3之阻劑膜用塗佈處理單元RESIST等時,分別賦予下標符號「1」或「3」(例如,將設於階層K1之阻劑膜用塗佈處理單元RESIST記為「阻劑膜用塗佈處理單元RESIST1 」)。
以下說明處理區Ba之其他構成。如圖6、圖7所示,於搬送空間A1 、A3 分別設置有吹出清淨氣體之第1吹出單元61與吸引氣體排出單元62。第1吹出單元61與排出單元62分別為俯視下具有與搬送空間A1 略同寬度之扁平箱狀物。於第1吹出單元61與排出單元62之一面分別形成有第1吹出口61a與排出口62a。在本實施例中,由多數小孔f(參照圖11)構成第1吹出口61a及排出口62a。第1吹出單元61以第1吹出口61a朝下之姿勢,配置於搬送空間A1 、A3 之上部。又,排出單元62以排出口62a朝上之姿勢,配置於搬送空間A1 、A3 之下部。搬送空間A1 之環境氛圍與搬送空間A3 之環境氛圍由搬送空間A1 之排出單元62與搬送空間 A3 之第1吹出單元61所阻斷。因此,各階層K1、K3環境氛圍相互阻斷。
搬送空間A1 、A3 的各第1吹出單元61同樣連通連接於第1氣體供給管63。第1氣體供給管63在載置部PASS2 、PASS4 之側方位置處,跨搬送空間A1 之上部至搬送空間A3 之下部而設置,並在搬送空間A2 之下方朝水平方向彎曲。第1氣體供給管63之另一端側連通連接於未圖示之氣體供給源。相同地,搬送空間A1 、A3 之排出單元62同樣連通連接於第1氣體排出管64。第1氣體排出管64跨搬送空間A1 之下部至搬送空間A3 之下部而設置於載置部PASS2 、PASS4 之側方位置處,並在搬送空間A2 之下方朝水平方向彎曲。而且,藉由從搬送空間A1 、A3 之各第1吹出口61a吹出氣體,同時從各排出口62a吸引/排出氣體,而可在搬送空間A1 、A3 形成從上部流向至下部的氣流,使各搬送空間A1 、A3 分別維持在清淨狀態。
如圖3、圖8及圖10A所示,於階層K1、K3之各塗佈處理單元31形成有縱向貫通的豎孔部PS。於該豎孔部PS上下方向設置有用以對該豎孔部PS供給清淨氣體之第2氣體供給管65、和用以將氣體進行排氣之第2氣體排出管66。第2氣體供給管65和第2氣體排出管66分別在各塗佈處理單元31的既定高度位置處分歧而從豎孔部PS沿大致水平方向引伸出。分歧的複數第2氣體供給管65連通連接於將氣 體往下方吹出的第2吹出單元67。又,分歧的複數第2氣體排出管66分別連通連接於各杯部33之底部。第2氣體供給管65的另一端在階層K3之下方連通連接於第1氣體供給管63。第2氣體排出管66的另一端在階層K3之下方連通連接於第1氣體排出管64。而且,藉由從第2吹出單元67吹出氣體,同時透過第2氣體排出管66排出氣體,而可使各杯部33內之環境氛圍經常保持清淨,可較佳地處理保持於旋轉保持部32的基板W。
於豎孔部PS更進一步配置供處理液流通的配管或電配線等(均省略圖示)。如此,可將附設於階層K1、K3之塗佈處理單元31的配管或配線等收容於豎孔部PS,因此可縮短配管或配線等之長度。
處理區Ba具備有收容上述主搬送機構T1 、T3 、塗佈處理單元31與熱處理單元41的一框體75。後述之處理區Bb亦具備有收容主搬送機構T2 、T4 與處理區Bb所具有之各處理單元的框體75。處理區Ba之框體75與處理區Bb之框體75相分離。如此,由於每個處理區Ba、Bb具備有集中收容主搬送機構T和各處理單元的框體75,所以可排列處理區Ba、Bb而簡易地製造、組裝處理部3。處理區Ba相當於本發明中之塗佈處理區。
(處理部3~處理區Bb)
以下說明階層K2。針對與階層K1相同之構成賦予相同元 件符號而省略詳細說明。階層K2之搬送空間A2 形成在搬送空間A1 之延長側上。
於階層K2設置有:顯像處理單元DEV,其對基板W供給顯像液;熱處理單元42,其對基板W實施熱處理;和邊緣曝光單元EEW,其對基板W之周緣部實施曝光。顯像處理單元DEV配置於搬送空間A2 之一側,熱處理單元42及邊緣曝光單元EEW配置於搬送空間A2 之另一側。此處,顯像處理單元DEV最好配置在塗佈處理單元31之同側。又,熱處理單元42及邊緣曝光單元EEW最好排列在與熱處理單元41相同之側。此外,在本說明書中,將在顯像處理單元DEV所進行的處理適度記為顯像處理,將在邊緣曝光單元EEW所進行的處理適度記為邊緣曝光。
顯像處理單元DEV有4個,呈上下2段疊層,各段分别沿搬送空間A2 之橫方向排列有2個。如圖3、圖8所示,各顯像處理單元DEV具備有可旋轉地保持基板W的旋轉保持部77、和設於基板W周圍之杯部79。2個顯像處理單元DEV並設成1段而設置,兩者間沒有分隔壁等隔間。更進一步,設置有用以對2個顯像處理單元DEV供給顯像液之供給部81。供給部81具有具狹縫或小孔列而用以吐出顯像液的2個狹縫噴嘴81a。狹縫或小孔列之長邊方向長度最好相當於基板W之直徑。又,2個狹縫噴嘴81a最好構成為吐出互相不同種類或濃度之顯像液。供給部81更進一步具備有使各 狹縫噴嘴81a移動的移動機構81b。藉此,各狹縫噴嘴81a可分別在橫向排列的2個旋轉保持部77之上方移動。
熱處理單元42有複數台,其在沿搬送空間A2 之橫方向呈複數排列,同時在縱方向呈複數疊層。熱處理單元42包含有:加熱單元HP,其對基板W進行加熱處理;冷卻單元CP,其對基板W進行冷卻處理;和加熱冷卻單元PHP,其進行加熱/冷卻處理。
加熱冷卻單元PHP有複數台。各加熱冷卻單元PHP上下方向疊層於最靠近IF部5側之列,其各自之一側部面向IF部5之側。設於階層K2之加熱冷卻單元PHP,於其側部形成有基板W之搬送口。而且,後述之IF用搬送機構TIF 透過上述搬送口對加熱冷卻單元PHP搬送基板W。然後,在配置於階層K2之該等加熱冷卻單元PHP中,實施曝光後加熱(PEB)處理。因此,將在設於階層K2之加熱冷卻單元PHP中所進行的加熱/冷卻處理特別記載為PEB處理。同樣地,將在階層K4之加熱冷卻單元PHP中所進行的加熱/冷卻處理特別記載為PEB處理。
邊緣曝光單元EEW為單一,設於既定位置處。邊緣曝光單元EEW具備有:將基板W可旋轉地保持之旋轉保持部(未圖示)、和對保持於該旋轉保持部的基板W之周緣實施曝光的光照射部(未圖示)。
更進一步,於加熱冷卻單元PHP之上側疊層有載置部 PASS5 。主搬送機構T2 與後述之IF用搬送機構TIF 透過載置部PASS5 而交接基板W。
俯視下,主搬送機構T2 設於搬送空間A2 之大致中央處。主搬送機構T2 與主搬送機構T1 為相同之構成。而且,主搬送機構T2 在載置部PASS2 、各種熱處理單元42、邊緣曝光單元EEW與載置部PASS5 之間搬送基板W。
以下簡略說明階層K4。階層K2與階層K4之各構成關係與階層K1、K3間之關係相同。階層K4之處理單元為顯像處理單元DEV、熱處理單元42、與邊緣曝光單元EEW。階層K4之熱處理單元42包含加熱單元HP、冷卻單元CP、與加熱冷卻單元PHP。於階層K4之加熱冷卻單元PHP上側疊層有載置部PASS6 。主搬送機構T4 與後述之IF用搬送機構TIF 透過載置部PASS6 而交接基板W。設於階層K4之加熱冷卻單元PHP亦對曝光後之基板W實施曝光後加熱(PEB:Post Exposure Bake)處理。
以下,在區別設於階層K2、K4之顯像處理單元DEV或邊緣曝光單元EEW等時,分別賦予下標符號「2」或「4」(例如,將設於階層K2之加熱單元HP記載為「加熱單元HP2 」)。
於階層K2、K4之搬送空間A2 、A4 中亦分別設置有相當於第1吹出單元61或排出單元62等之構成。又,於階層K2、K4之顯像處理單元DEV分別設置有相當於第2吹出單元67或第2氣體排出管66等之構成。處理區Bb相當於本發明中 之顯像處理區。
(IF部5)
IF部5在處理部3之各基板處理列Lu、Ld(階層K2、K4)與曝光機EXP間交接基板W。IF部5具備有搬送基板W的IF用搬送機構TIF 。IF用搬送機構TIF 具有可相互交接基板W的第1搬送機構TIFA 與第2搬送機構TIFB 。第1搬送機構TIFA 對各基板處理列Lu、Ld搬送基板W。如上述,在本實施例中,第1搬送機構TIFA 對階層K2、K4之載置部PASS5 、PASS6 與各階層K3、K4之加熱冷卻單元PHP搬送基板W。第2搬送機構TIFB 對曝光機EXP搬送基板W。
如圖3所示,第1搬送機構TIFA 與第2搬送機構TIFB 排列在與基板處理列L之搬送方向略為正交的橫方向而設置。第1搬送機構TIFA 配置於階層K2、K4之熱處理單元42等所位在之側。第2搬送機構TIFB 配置於階層K2、K4之顯像處理單元DEV所位在之側。又,於第1、第2搬送機構TIFA 、TIFB 間呈多段疊層有:載置部PASS-CP,其載置基板W使其冷卻;載置部PASS7 ,其載置基板W;和緩衝部BF,其暫時收容基板W。第1、第2搬送機構TIFA 、TIFB 透過載置部PASS-CP及載置部PASS7 交接基板W。緩衝部BF僅專由第1搬送機構TIFA 所存取。
如圖9所示,第1搬送機構TIFA 具備有:基台83,其固定設置;升降軸85,其相對於基台83而於鉛直上方伸縮;和 保持臂87,其保持基板W,可相對於該升降軸85迴旋,同時於迴旋半徑方向進退。第2搬送機構TIFB 亦具備有基台83、升降軸85和保持臂87。
其次,說明本裝置10之控制系統。本裝置10更進一步具備有控制部90與輸入部101。圖11為實施例之基板處理裝置的控制方塊圖。
輸入部101可輸入用以針對每個基板搬送處理列Lu、Ld而設定基板搬送路徑的資訊。輸入至該輸入部101的資訊輸出至主控制器91。關於用以針對每個階層而設定基板搬送路徑的資訊,可例如為在各階層中搬送基板的處理單元之種類或其次序。或者,其亦可為進行試運轉的處理單元或處理內容識別資訊。此外,用以設定基板處理列Lu之搬送路徑的資訊亦可區分為階層K1、K2之各搬送路徑。關於基板處理列Ld亦同。
輸入部101可由以滑鼠、鍵盤、操縱桿、軌跡球或觸控面板等為代表的指向裝置所構成。
控制部90可如圖12所示,具備有主控制器91與第1至第7控制器93、94、95、96、97、98、99。主控制器91統括地控制第1至第7控制器93~99。又,根據預先設定的處理模式、或/及輸入至輸入部101的資訊,透過第1至第7控制器93~99控制各主搬送機構T而改變各階層K之搬送路徑。
例如,主控制器91根據搬送的處理單元種類與其次序相關資訊,決定搬送各基板W之處理單元與其次序,更進一步,決定連結該等之搬送路徑。或者,根據特定進行試運轉之處理單元的資訊,決定僅搬送至特定處理單元的搬送路徑。
第1控制器93控制ID用搬送機構TID 對基板的搬送。第2控制器94控制主搬送機構T1 對基板的搬送,以及阻劑膜用塗佈處理單元RESIST1 、反射防止膜用塗佈處理單元BARC1 、冷卻單元CP1 、加熱冷卻單元PHP1 與附著處理單元AHL1 中對基板的處理。第3控制器95控制主搬送機構T2 對基板的搬送,以及邊緣曝光單元EEW2 、顯像處理單元DEV2 、加熱單元HP2 、與冷卻單元CP2 中對基板的處理。第4、第5控制器96、97之控制分別與第2、第3控制器94、95之控制相對應。第6控制器98控制第1搬送機構TIFA 對基板的搬送、和加熱冷卻單元PHP2 、PHP4 中對基板的處理。第7控制器99控制第2搬送機構TIFB 對基板的搬送。上述第1~第7控制器93~99分別互相獨立地進行控制。
主控制器91及第1~第7控制器93~99分別由執行各種處理之中央運算處理裝置(CPU)、作為運算處理之作業區域的RAM(Random-Access Memory;隨機存取記憶體)、和記憶預先設定之處理模式(處理程式)等各種資訊的固定式磁碟等記憶媒體等實現。
其次,就對基板W進行之處理步驟在各基板處理列Lu、Ld間為相同的情況、與對基板W進行之處理步驟在各基板處理列Lu、Ld不同的情況,分開說明實施例之基板處理裝置動作。並且,在各動作例中,基板W之搬送路徑,根據由操作者預先輸入至輸入部101的資訊。
(動作例1~對基板W進行之處理步驟在各基板處理列Lu、Ld相同的情況)
圖13為對基板W進行一連串處理時之流程圖,其表示基板W之搬送路徑、即依次搬送基板W的處理單元或載置部等。圖13所示之流程圖與圖1所示之步驟相對應。為方便起見,將圖1所示之各種處理在圖13中以括弧記載,藉此可明示圖1所示各種處理與圖13所示各處理單元的對應關係。又,圖14為模式性表示各搬送機構分別反覆進行的動作之圖,其明示搬送機構存取處理單元、載置部或卡匣等的順序。
控制部90根據預先設定的處理模式、或/及輸入至輸入部101的資訊,使各搬送機構T動作。以下,分別說明每個搬送機構T。
(ID用搬送機構TID )
ID用搬送機構TID 移動至與一卡匣C相對向之位置處,將收容於卡匣C的一片未處理之基板W保持於保持臂25而其從卡匣C搬出。ID用搬送機構TID 旋轉保持臂25並使升降 軸23升降而移動至與載置部PASS1 相對向之位置處,將所保持的基板W載置於載置部PASS1A (與圖13中之步驟S1a相對應。以下,僅附記步驟之記號。)。此時,通常於載置部PASS1B 載置有基板W,並收取該基板W而收納於卡匣C(步驟S23)。此外,於載置部PASS1B 沒有基板W時則省略步驟S23。然後,ID用搬送機構TID 存取卡匣C,將卡匣C中收容的基板W搬送至載置部PASS3A (步驟S1b)。此處,若於載置部PASS3B 載置有基板W,則亦將該基板W收納於卡匣C(步驟S23)。ID用搬送機構TID 反覆進行上述動作。
ID用搬送機構TID 如此之動作,由第1控制器93所控制。藉此,將卡匣C之基板W送至階層K1,同時將從階層K1送出的基板W收容於卡匣C。同樣地,將卡匣C之基板W送至階層K3,同時將從階層K3送出的基板W收容於卡匣C。
(主搬送機構T1 、T3 )
由於主搬送機構T3 之動作與主搬送機構T1 之動作略同,所以僅說明主搬送機構T1 。主搬送機構T1 移動至與載置部PASS1 相對向之位置處。此時,主搬送機構T1 將剛從載置部PASS2B 收取到的基板W保持於一保持臂57(例如57b)。主搬送機構T1 將所保持的基板W載置於載置部PASS1B (步驟S22),同時以另一保持臂57(例如57a)保持載置於載置部PASS1A 的基板W。
主搬送機構T1 存取冷卻單元CP1 。冷卻單元CP1 中具有已 完成冷卻處理的其他基板W。主搬送機構T1 以空(未保持有基板W)的保持臂57保持其他基板W而從冷卻單元CP1 搬出,同時將從載置部PASS1A 收取到的基板W搬入冷卻單元CP1 。而且,主搬送機構T1 保持經冷卻的基板W而將其移動至反射防止膜用塗佈處理單元BARC1 。冷卻單元CP1 對搬入的基板W開始冷卻處理(步驟S2,在本步驟S2中冷卻處理單元CP1 之處理相當於圖1中之冷卻處理T1u。以下,僅適度附記圖1所示之處理。)。在主搬送機構T1 下次存取該冷卻處理單元CP1 時,該熱處理(冷卻)已結束。於以下說明中,在其他各種熱處理單元41或塗佈處理單元31中,於主搬送機構T1 存取之時,亦已存在有分別經既定處理之基板W。
在存取反射防止膜用塗佈處理單元BARC1 之時,主搬送機構T1 從反射防止膜用塗佈處理單元BARC1 搬出形成有反射防止膜的基板W,同時將經冷卻的基板W置於反射防止膜用塗佈處理單元BARC1 之旋轉保持部32。之後,主搬送機構T1 保持形成有反射防止膜的基板W而將其移動至加熱冷卻單元PHP1 。反射防止膜用塗佈處理單元BARC1 對載置於旋轉保持部32的基板W開始反射防止膜材料塗佈處理(步驟S3a-反射防止膜材料塗佈處理T2u)。
具體而言,旋轉保持部32以水平姿勢旋轉基板W,同時以把持部36把持一噴嘴35,利用噴嘴移動機構37之驅動將所把持的噴嘴35往基板W上方移動,從噴嘴35向基板W 供給反射防止膜用之處理液。所供給的處理液擴及基板W之整面,而後從基板W去除。以杯部33回收去除的處理液。如此,進行於基板W塗佈形成反射防止膜的處理。
主搬送機構T1 存取加熱冷卻單元PHP1 時,從加熱冷卻單元PHP1 搬出經熱處理之基板W,同時將形成有反射防止膜的基板W投入加熱冷卻單元PHP1 。之後,主搬送機構T1 保持從加熱冷卻單元PHP1 所搬出的基板W而將其移動至冷卻單元CP1 。在加熱冷卻單元PHP1 中於2個平板43上依次載置基板W,在一個平板43上加熱基板W後,在另一個平板43上冷卻基板W(步驟S4a-加熱/冷卻處理T3u)。
主搬送機構T1 移動至冷卻單元CP1 後,將冷卻單元CP1 內之基板W搬出,同時將所保持的基板W搬入冷卻單元CP1 。冷卻單元CP1 冷卻搬入的基板W(步驟S5a-冷卻處理T4u)。
然後,主搬送機構T1 移動至阻劑膜用塗佈處理單元RESIST1 。然後,其從阻劑膜用塗佈處理單元RESIST1 搬出形成有阻劑膜之基板W,同時將所保持的基板W搬入阻劑膜用塗佈處理單元RESIST1 。阻劑膜用塗佈處理單元RESIST1 旋轉經搬入的基板W並同時塗佈阻劑膜材料(步驟S6a-阻劑膜材料塗佈處理T5u)。
主搬送機構T1 更進一步移動至加熱冷卻單元PHP1 與冷卻單元CP1 。然後,將形成有阻劑膜的基板W搬入加熱冷卻單元PHP1 ,將經加熱冷卻單元PHP1 處理過的基板W移至冷卻 單元CP1 ,同時收取經該冷卻單元CP1 處理過的基板W。加熱冷卻單元PHP1 與冷卻單元CP1 分別對未處理之基板W進行既定處理(步驟S7a-加熱/冷卻處理T6u、步驟S8a-冷卻處理T7u)。
主搬送機構T1 移動至載置部PASS2 ,將所保持的基板W載置於載置部PASS2A (步驟S9a),收取載置於載置部PASS2B 的基板W(步驟S21a)。
之後,主搬送機構T1 再度存取載置部PASS1 而反覆進行上述動作。該動作由第2控制器94控制。藉此,從卡匣C搬送至載置部PASS1 的基板W全部在階層K1中於各種處理單元間經上述搬送路徑而搬送,在所搬送到的各處理單元依次進行既定處理。
又,主搬送機構T1 將搬送至載置部PASS1 的基板W搬送至既定處理單元(本實施例中為冷卻單元CP1 ),同時從該處理單元取出處理過之基板W。然後,將所取出的基板W搬送至下一個處理單元(本實施例中為反射防止膜用塗佈處理單元BARC1 ),同時從該處理單元取出處理過之基板W。如此,藉由將經各處理單元處理過的基板W分別移送至新的處理單元,而同時對複數基板W進行處理。然後,由先載置於載置部PASS1 的基板W起依序將其載置於載置部PASS2 ,而送出至階層K2。同樣地,由先載置於載置部PASS2 的基板W起依序將其載置於載置部PASS1 ,而送出至ID部1。
(主搬送機構T2 、T4 )
由於主搬送機構T4 之動作與主搬送機構T2 之動作略同,所以僅說明主搬送機構T2 。主搬送機構T2 移動至與載置部PASS2 相對向之位置處。此時,主搬送機構T2 保持著從剛存取的冷卻單元CP2 中所收取到的基板W。主搬送機構T2 將所保持的基板W載置於載置部PASS2B (步驟S21a),同時保持載置於載置部PASS2A 的基板W(步驟S9a)。
主搬送機構T2 存取邊緣曝光單元EEW2 。然後,收取經邊緣曝光單元EEW2 實施既定處理的基板W,同時將經冷卻的基板W搬入邊緣曝光單元EEW2 。邊緣曝光單元EEW2 旋轉經搬入的基板W,並同時從未圖示之光照射部對基板W之周緣部照射光。藉此對基板W之周邊實施曝光(步驟S10a-邊緣曝光T8u)。
主搬送機構T2 保持從邊緣曝光單元EEW2 所收取到的基板W,而存取載置部PASS5 。然後,將所保持的基板W載置於載置部PASS5A (步驟S11a),而保持載置於PASS5B 的基板W(步驟S16a)。
主搬送機構T2 移動至冷卻單元CP2 ,將所保持的基板W與冷卻單元CP2 內之基板W相交換。主搬送機構T2 保持經冷卻處理的基板W,而存取顯像處理單元DEV2 。冷卻單元CP2 對新搬入的基板W開始進行處理(步驟S17a-冷卻處理T1u)。
主搬送機構T2 搬出由顯像處理單元DEV2 實施顯像的基板 W,同時將經冷卻的基板W置於顯像處理單元DEV2 之旋轉保持部77。顯像處理單元DEV2 對置於旋轉保持部77的基板W實施顯像(步驟S18a-顯像處理T12u)。具體而言,旋轉保持部77在水平姿勢下旋轉基板W,並同時從任一狹縫噴嘴81a對基板W供給顯像液而對基板W實施顯像。
主搬送機構T2 保持經顯像的基板W,而存取加熱單元HP2 。然後,從加熱單元HP2 搬出基板W,同時將所保持的基板W投入加熱單元HP2 。然後,主搬送機構T2 將從加熱單元HP2 所搬出的基板W搬送至冷卻單元CP2 ,同時取出已在該冷卻單元CP2 完成處理的基板W。加熱單元HP2 與冷卻單元CP2 分別對未處理之基板W進行既定處理(步驟S19a-加熱處理T13u、步驟S20a-冷卻處理T14u)。
之後,主搬送機構T2 再度存取載置部PASS2 而反覆進行上述動作。此外,該動作由第3控制器95控制。藉此,按照載置於載置部PASS2A 之次序將基板W送出至載置部PASS5A 。同樣地,又按照載置於載置部PASS5B 之次序將基板W送出至載置部PASS2B
(IF用搬送機構TIF ~第1搬送機構TIFA )
第1搬送機構TIFA 存取載置部PASS5 ,收取載置於載置部PASS5A 的基板W(步驟S11a)。第1搬送機構TIFA 保持所收取到的基板W而移動至載置部PASS-CP,將其搬入載置部PASS-CP內(步驟S12)。
其次,第1搬送機構TIFA 從載置部PASS7 收取基板W(步驟S14),移動至與加熱冷卻單元PHP2 相對向之位置處。然後,第1搬送機構TIFA 取出已由加熱冷卻單元PHP2 完成PEB處理的基板W,將從載置部PASS7 所收取到的基板W搬入加熱冷卻單元PHP2 。加熱冷卻單元PHP2 對未處理之基板W實施熱處理(步驟S15a-PEB處理T10u)。
第1搬送機構TIFA 將從加熱冷卻單元PHP2 所取出的基板W搬送至載置部PASS5B (步驟S16a)。然後,第1搬送機構TIFA 將載置於載置部PASS6A 的基板W搬送至載置部PASS-CP(步驟S11b、S12)。其次,第1搬送機構TIFA 將其從載置部PASS7 搬送至加熱冷卻單元PHP4 。此時,取出已完成加熱冷卻單元PHP4 中之PEB處理的基板W,而將其載置於載置部PASS6B (步驟S14、步驟S15b-PEB處理T10d、步驟S16b)。
然後,第1搬送機構TIFA 再度存取載置部PASS5 而反覆進行上述動作。此外,該動作由第6控制器98控制。
(IF用搬送機構TIF ~第2搬送機構TIFB )
第2搬送機構TIFB 從載置部PASS-CP取出基板W,將其搬送至曝光機EXP。在曝光機EXP中對基板W實施曝光(步驟S13-曝光機T9)。然後,在收取從曝光機EXP所送出的經曝光過之基板W後,將其搬送至載置部PASS7
然後,第2搬送機構TIFB 再度存取載置部PASS-CP而反覆進行上述動作。
(動作例2~對基板W進行的處理步驟在各基板處理列Lu、Ld間相異的情況)
其次,說明動作例2。圖15為對基板W進行一連串處理時之流程圖,其表示基板W之搬送路徑、即依次搬送基板W的處理單元或載置部等。圖15所示之流程圖與圖2所示之步驟相對應。為方便起見,在圖15中以括弧記載圖2所示之各種處理,藉此可明示圖2所示各種處理與圖15所示處理單元的對應關係。又,圖16為模式性表示各搬送機構分別反覆進行的動作之圖,其明示由搬送機構存取的處理單元、載置部或卡匣等之順序。
控制部90根據預先設定的處理模式、或/及輸入至輸入部101的資訊,使各搬送機構T動作。
以下,分別說明每個搬送機構T。但對於與動作例1動作相同的搬送機構等,則適度省略。
(ID用搬送機構TID )
ID用搬送機構TID 之動作與動作例1中所說明ID用搬送機構TID 之動作相同。因此,省略其說明。
(主搬送機構T1 、T3 )
主搬送機構T1 之動作與動作例1中所說明的主搬送機構T1 之動作相同。因此,省略主搬送機構T1 之動作說明,僅說明主搬送機構T3
主搬送機構T3 移動至與載置部PASS3 相對向之位置處。此 時,主搬送機構T3 將剛從載置部PASS4B 收取到的基板W保持於一保持臂57(例如57b)。主搬送機構T3 將所保持的基板W載置於載置部PASS3B (步驟S22b),同時以另一保持臂57(例如57a)保持載置於載置部PASS3A 的基板W(步驟1b)。
主搬送機構T3 存取阻劑膜用塗佈處理單元RESIST3 。然後,從阻劑膜用塗佈處理單元RESIST3 搬出形成有阻劑膜的基板W,同時將所保持的基板W搬入至阻劑膜用塗佈處理單元RESIST3 。阻劑膜用塗佈處理單元RESIST3 旋轉搬入的基板W並同時塗佈阻劑膜材料(步驟S6b-阻劑膜材料塗佈處理T21d)。
之後,主搬送機構T1 再度存取載置部PASS3 而反覆進行上述動作。該動作由第4控制器96控制。藉此,從卡匣C搬送至載置部PASS3 的基板W全部,皆在階層K2內僅搬送至阻劑膜用塗佈處理單元RESIST3 之搬送路徑中搬送。然後,在搬送到的阻劑膜用塗佈處理單元RESIST3 中僅進行阻劑膜材料塗佈處理。
(主搬送機構T2 、T4 )
主搬送機構T2 之動作與動作例1中所說明的主搬送機構T2 之動作相同。因此,省略主搬送機構T2 之動作說明。主搬送機構T4 完全不進行搬送基板W之動作。例如,第5控制器97使主搬送機構T4 成為停止狀態。
(IF用搬送機構TIF ~第1搬送機構TIFA )
第1搬送機構TIFA 存取載置部PASS5 ,收取載置於載置部PASS5A 之基板W(步驟S11a)。第1搬送機構TIFA 保持所收取到的基板W而移動至載置部PASS-CP,將其搬入載置部PASS-CP內(步驟S12)。
其次,第1搬送機構TIFA 從載置部PASS7 收取基板W(步驟S14),移動至與加熱冷卻單元PHP2 相對向之位置處。然後,第1搬送機構TIFA 從加熱冷卻單元PHP2 取出已實施曝光後加熱(PEB)處理的基板W,將從載置部PASS7 所收取到的基板W搬入加熱冷卻單元PHP2 。加熱冷卻單元PHP2 對未處理之基板W實施熱處理(步驟S15-PEB處理T10u)。第1搬送機構TIFA 將從加熱冷卻單元PHP2 所取出的基板W搬入載置部PASS5B (步驟S16a)。
之後,第1搬送機構TIFA 再度存取載置部PASS5 而反覆進行上述動作。
(IF用搬送機構TIF ~第2搬送機構TIFB )
第2搬送機構TIFB 之動作與動作例1中所說明的第2搬送機構TIFB 之動作相同。因此,省略第2搬送機構TIFB 之動作說明。
以上為動作例2。然而,基板處理列Lu、Ld中之各步驟不限於動作例1、2。在本實施例之概要說明中如上所述,基板處理列Lu、Ld中之各步驟可極具彈性地改變。如此,根據實施例之基板處理裝置,由於其具備有改變基板處理列 Lu、Ld中之處理步驟的控制部90,所以如動作例2中之說明,可在基板處理列Lu、Ld中對基板W同時進行互相不同之處理。因此,藉由將基板W選擇性地搬送至基板處理列Lu、Ld中之任一者,可針對每個基板W而改變對基板W進行的處理步驟。又,如動作例1中之說明,可使對基板W進行的處理步驟在基板處理列Lu、Ld間相同。藉此,可提高本裝置10之基板處理能力。
具體而言,在動作例1中,於全部基板處理列L中對基板W進行塗佈顯像步驟。在本實施例中,除阻劑膜材料塗佈處理與顯像處理外,亦包含有熱處理。因此,可較佳地於基板W形成阻劑膜,且可較佳地對基板W實施顯像。
又,於動作例2中,在基板處理列Lu對基板W進行塗佈顯像步驟,並同時在基板處理列Ld進行僅由阻劑膜材料塗佈處理所構成的步驟。阻劑膜材料塗佈處理為單一處理,在阻劑膜用塗佈處理單元RESIST中進行。因此,在檢查、驗證阻劑膜材料塗佈處理之處理品質、或試運轉阻劑膜用塗佈處理單元RESIST時,藉由如動作例2中之說明而動作,而可抑制本裝置稼動率之大幅下降。
又,處理部3由排列處理區Ba、Bb所構成,上述基板處理列Lu由各處理區Ba、Bb之相同階層K1-K2所構成,同時,基板處理列Ld亦由各處理區Ba、Bb之相同階層K3-K4所構成。而且,控制部90分別控制各階層K1-K4之主搬送機構 T1 -T4 而改變各階層K1-K4中之基板W搬送路徑,藉此可分別獨立地改變基板處理列Lu、Ld中之各步驟。換言之,可分別改變各處理區Ba、Bb之相同階層K1-K2中的一連串處理、及相同階層K3-K4中的一連串處理。
又,具備有輸入部101,由控制部90根據輸入至該輸入部101的資訊而控制,藉此操作輸入部101的操作員可較佳地指示搬送路徑之變更。
又,輸入至輸入部101的資訊為在各基板處理列Lu、Ld中對基板W所進行的處理之種類與其次序之相關資訊、或識別試運轉的處理單元/處理內容之資訊,因此控制部90可較佳地決定搬送路徑。
又,由於使處理區Bb與ID部1鄰接在處理區Ba之兩側處,所以藉由使經塗佈處理單元31處理過的基板W從處理區Ba搬送至處理區Bb,可平順地進行包含對基板W塗佈處理液之處理與對基板W供給顯像液之處理的一連串處理。又,藉由使經塗佈處理單元31處理過的基板W從處理區Ba搬送至ID部,而可僅進行對基板W塗佈處理液的處理,可迅速地將其送返至卡匣C。
又,由於使IF部5鄰接於處理區Bb,因此使基板W從處理區Bb經由IF部5往曝光機EXP搬送,可使經曝光機EXP曝光過的基板W在顯像處理單元DEV實施顯像。又,亦可不使基板W從處理區Bb搬送至曝光機EXP,而對未經處理區 Bb之顯像處理單元DEV曝光過的基板W實施顯像。
本發明不受限於上述實施形態,可如下述變形而實施。
(1)在上述實施例中,雖僅說明動作例1、2,但不受限於此。以下參照圖17。其為表示變形例基板處理裝置之概略構成的模式圖。在圖示之變形例中,於基板處理列Lu、Ld間,對基板W進行的處理步驟相異。基板處理列Lu之步驟為塗佈顯像步驟。基板處理列Ld之步驟由種類不同的複數個處理所構成,除不進行最初3個處理(冷卻處理T1u、反射防止膜材料塗佈處理T2u及加熱/冷卻處理T3u)外,其餘部分與塗佈顯像步驟相同。塗佈顯像步驟之最初3個處理為反射防止膜用材料塗佈處理T2及與其相關連的熱處理T1、T3,可謂用以在基板W形成反射防止膜之步驟。
在該變形例中,ID部1(ID用搬送機構TID )將從卡匣C取出的基板W搬送至基板處理列Lu、Ld之任一者,將從基板處理列Lu、Ld送出的基板W送返至卡匣C。藉由ID部1(ID用搬送機構TID )如此之基板搬送,可以基板處理列Lu、Ld任一者之步驟處理基板W。
又,在該變形例中,ID部1(ID用搬送機構TID )將從卡匣C取出的基板W全部搬送至基板處理列Lu,將從基板處理列Lu送出的基板W搬送至基板處理列Ld,將從基板處理列Ld送出的基板W送返至卡匣C。藉由ID部1(ID用搬送機構TID )如此之基板搬送,可接續於基板處理列Lu中之步驟而以基板處理列 Ld中之步驟處理全部基板W。根據如此之動作例,可較佳地對基板W上之相同氧化膜進行形成2次圖案以上的二度曝光。
(2)在上述實施例中,作為塗佈顯像步驟,如圖1、圖2所示,雖例示順序進行各種處理T1-T8、T10-T14的步驟,但不受限於此,可適當地加以改變。
(3)在上述實施例中,雖說明曝光機EXP與本裝置10相分別而相鄰的情況,但不受限於此。本裝置10亦可為不與曝光機EXP相鄰接之情況。
(4)在上述實施例中,雖為具備2個基板處理列L之構成,但不受限於此。亦可變更為構成3個以上基板處理列L而在上下方向上設置為多段。在此情況下,構成為可使各基板處理列L中之步驟一律相同,亦可將各基板處理列L中之步驟設為至少2種以上。亦可構成為可使各基板處理列L中之步驟全部相異。
(5)在上述實施例中,雖基板處理列L在上下方向排列而設置,但不受限於此。例如,亦可變更為具備有在橫向或水平方向排列設置的複數個基板處理列L。或者,亦可將複數個基板處理列L分別排列配置於橫向及上下方向。
(6)在上述實施例中,雖將處理部3以複數個處理區Ba、Bb排列的方式構成,但不受限於此。例如,亦可將處理部3由單一處理區構成,其具有在上下方向上劃分的階層。亦可將構成基板處理列Lu之單一處理區與構成基板處理列Ld 之單一處理區,上下相疊層。
(7)在上述實施例中,基板處理列L雖為進行塗佈顯像步驟之全部或一部分等者,但不受限於此。亦可變更為在基板處理列L中對基板W實施洗淨處理等其他處理。藉此,可適當選擇、設計各處理單元之種類、個數等。又,亦可省略IF部5而構成基板處理裝置。
本發明在不脫離其思想或本質之範圍內可以其他具體形態實施。因此,本案發明範圍並非由以上說明所限定,應參照所附申請專利範圍而定。
1‧‧‧索引器部
3‧‧‧處理部
5‧‧‧介面部
9‧‧‧卡匣載置台
10‧‧‧基板處理裝置
21‧‧‧可動台
23‧‧‧升降軸
25‧‧‧保持臂
31‧‧‧塗佈處理單元
32‧‧‧旋轉保持部
33‧‧‧杯部
34‧‧‧供給部
35‧‧‧噴嘴
36‧‧‧把持部
37‧‧‧噴嘴移動機構
37a‧‧‧第1導軌
37b‧‧‧第2導軌
38‧‧‧處理液配管
41‧‧‧熱處理單元
42‧‧‧熱處理單元
43‧‧‧平板
51‧‧‧第3導軌
52‧‧‧第4導軌
53‧‧‧基部
55‧‧‧旋轉台
57a、57b‧‧‧保持臂
61‧‧‧第1吹出單元
62‧‧‧吸引氣體排出單元
61a‧‧‧第1吹出口
62a‧‧‧排出口
63‧‧‧第1氣體供給管
64‧‧‧第1氣體排出管
65‧‧‧第2氣體供給管
66‧‧‧第2氣體排出管
67‧‧‧第2吹出單元
75‧‧‧框體
77‧‧‧旋轉保持部
79‧‧‧杯部
81‧‧‧供給部
81a‧‧‧狹縫噴嘴
81b‧‧‧移動機構
83‧‧‧基台
85‧‧‧升降軸
87‧‧‧保持臂
90‧‧‧控制部
91‧‧‧主控制器
93~99‧‧‧第1~第7控制器
101‧‧‧輸入部
A1 ~A4 ‧‧‧搬送空間
AHL、AHL1 、AHL3 ‧‧‧附著處理單元
BARC‧‧‧反射防止膜用塗佈處理單元
BARC1 、BARC3 ‧‧‧反射防止膜用塗佈處理單元
Ba、Bb‧‧‧處理區
BF‧‧‧緩衝部
C‧‧‧卡匣
CP、CP1 ~CP4 ‧‧‧冷卻單元
DEV、DEV2 、DEV4 ‧‧‧顯像處理單元
EEW、EEW2 ‧‧‧邊緣曝光單元
EXP‧‧‧曝光機
f‧‧‧小孔
HP、HP2 、HP4 ‧‧‧加熱單元
K1~K4‧‧‧階層
L、Lu、Ld、Lu(3)、Ld(3)‧‧‧基板處理列
PASS-CP‧‧‧載置部
PASS1 ~PASS7 ‧‧‧載置部
PASS1A ~PASS6A ‧‧‧載置部
PASS1B ~PASS6B ‧‧‧載置部
PHP、PHP1 ~PHP4 ‧‧‧加熱冷卻單元
PS‧‧‧豎孔部
Q‧‧‧軸心
RESIST‧‧‧阻劑膜用塗佈處理單元
RESIST1 、RESIST3 ‧‧‧阻劑膜用塗佈處理單元
T1u‧‧‧冷卻處理
T2u‧‧‧反射防止膜材料塗佈處理
T3u‧‧‧加熱/冷卻處理
T4u‧‧‧冷卻處理
T5u‧‧‧阻劑膜材料塗佈處理
T6u‧‧‧加熱/冷卻處理
T7u‧‧‧冷卻處理
T8u‧‧‧邊緣曝光
T9‧‧‧曝光
T10u‧‧‧PEB處理
T11u‧‧‧冷卻處理
T12u‧‧‧顯像處理
T13u‧‧‧加熱處理
T14u‧‧‧冷卻處理
T21d‧‧‧阻劑膜材料塗佈處理
TID ‧‧‧ID用搬送機構
TIF ‧‧‧IF用搬送機構
TIFA ‧‧‧第1搬送機構
TIFB ‧‧‧第2搬送機構
T、T1 ~T4 ‧‧‧主搬送機構
W、Wa、Wb‧‧‧基板
雖為說明本發明而圖示目前認為較佳之數個形態,但可理解本發明並不受限於如圖式之構成及對策。
圖1為表示實施例基板處理裝置之概略構成的模式圖。
圖2為表示實施例基板處理裝置之概略構成的模式圖。
圖3為表示實施例基板處理裝置之概略構成的俯視圖。
圖4為表示基板處理裝置所具有處理單元之配置的概略側視圖。
圖5為表示基板處理裝置所具有處理單元之配置的概略側視圖。
圖6為圖3中a-a箭頭方向之各垂直剖視圖。
圖7為圖3中b-b箭頭方向之各垂直剖視圖。
圖8為圖3中c-c箭頭方向之各垂直剖視圖。
圖9為圖3中d-d箭頭方向之各垂直剖視圖。
圖10A為塗佈處理單元之俯視圖。
圖10B為塗佈處理單元之剖視圖。
圖11為主搬送機構之立體圖。
圖12為實施例基板處理裝置之控制方塊圖。
圖13為表示對基板進行的一連串處理之流程圖。
圖14為模式性表示各搬送機構分別反覆進行的動作之圖。
圖15為表示對基板進行的一連串處理之流程圖。
圖16為模式性表示各搬送機構分別反覆進行的動作之圖。
圖17為表示變形例基板處理裝置之概略構成的模式圖。
圖18為表示比較例基板處理裝置之概略構成的模式圖。
10‧‧‧基板處理裝置
EXP‧‧‧曝光機
Lu(3)、Ld(3)‧‧‧基板處理列
T1u‧‧‧冷卻處理
T2u‧‧‧反射防止膜材料塗佈處理
T3u‧‧‧加熱/冷卻處理
T4u‧‧‧冷卻處理
T5u‧‧‧阻劑膜材料塗佈處理
T6u‧‧‧加熱/冷卻處理
T7u‧‧‧冷卻處理
T8u‧‧‧邊緣曝光
T9‧‧‧曝光
T10u‧‧‧PEB處理
T11u‧‧‧冷卻處理
T12u‧‧‧顯像處理
T13u‧‧‧加熱處理
T14u‧‧‧冷卻處理
T21d‧‧‧阻劑膜材料塗佈處理
W、Wa、Wb‧‧‧基板

Claims (20)

  1. 一種基板處理裝置,用以處理基板,其包含以下元件:複數個基板處理列,可以大致水平方向搬送基板並同時對基板進行複數種類之處理;及控制部,針對每個基板處理列而改變處理基板的步驟;於上下方向排列設置上述複數個基板處理列;上述基板處理列分別具備有複數個處理單元、及將基板搬送至此等處理單元的主搬送機構;上述控制部在部分基板處理列以通常運轉時之步驟處理基板,並同時在其他基板處理列以用來使處理單元試驗、檢查或驗證對基板所進行處理的品質或者用來試運轉處理單元之試運轉時之步驟處理基板。
  2. 如申請專利範圍第1項之基板處理裝置,其中,上述複數個處理單元的種類,在基板處理列之間為相同。
  3. 如申請專利範圍第1項之基板處理裝置,其中,上述控制部可使各基板處理列中之步驟在各基板處理列間不同,且可使各基板處理列中之步驟在全部基板處理列間相同。
  4. 如申請專利範圍第3項之基板處理裝置,其中,上述控制部可使全部基板處理列中之步驟為用以在基板形成阻劑膜、及用以對基板實施顯像的步驟。
  5. 如申請專利範圍第1項之基板處理裝置,其中, 上述基板處理裝置進一步具備有輸入部,其輸入用以對每個基板處理列設定基板搬送路徑之資訊;上述控制部係根據輸入至上述輸入部之資訊,改變各基板處理列的搬送路徑;輸入至上述輸入部之資訊係特定進行試運轉之處理單元的資訊;上述控制部係根據特定進行試運轉之處理單元的資訊,決定將基板僅搬送至所特定之處理單元的搬送路徑。
  6. 如申請專利範圍第1項之基板處理裝置,其中,上述控制部可使部分基板處理列中之步驟為用以在基板形成阻劑膜、及用以對基板實施顯像的步驟之全部,並同時使其他基板處理列中之步驟為用以在基板形成阻劑膜、及用以對基板實施顯像的步驟之一部分。
  7. 如申請專利範圍第6項之基板處理裝置,其中,上述其他基板處理列中之步驟為用以在基板形成阻劑膜的步驟、或用以對基板實施顯像的步驟之任一者。
  8. 如申請專利範圍第1項之基板處理裝置,其中,上述控制部可使部分基板處理列進行由種類不同之複數處理所構成的步驟,並同時使其他基板處理列進行由單一處理所構成的步驟。
  9. 如申請專利範圍第8項之基板處理裝置,其中,上述由單一處理構成的步驟為由對基板塗佈阻劑膜材料 的阻劑膜材料塗佈處理、對基板供給顯像液的顯像處理、及對基板進行加熱或冷卻的熱處理中任一處理所構成的步驟。
  10. 如申請專利範圍第1項之基板處理裝置,其中,上述控制部可使部分基板處理列進行由種類不同之複數處理構成的第1步驟,並同時使其他基板處理列進行由種類不同之複數處理構成且與第1步驟不同的第2步驟。
  11. 如申請專利範圍第10項之基板處理裝置,其中,上述第1步驟及上述第2步驟之至少一者,包含有對基板塗佈阻劑膜材料的阻劑膜材料塗佈處理、對基板供給顯像液的顯像處理、及對基板進行加熱或冷卻的熱處理中之至少一者。
  12. 一種基板處理裝置,用以處理基板,其包含以下元件:橫向排列的複數個處理區,具有設置在上下方向之每個階層而用以處理基板的處理單元、和設置在各階層而用以對該階層之處理單元搬送基板的主搬送機構;可在該處理區之相同階層中相鄰接的主搬送機構彼此間交接基板,而在各階層中對基板進行一連串處理;及控制部,控制各主搬送機構,針對每個階層而改變基板相對於各階層處理單元的搬送路徑;在進行試運轉之處理單元的階層中,上述控制部使基板僅搬送至進行該試運轉之處理單元。
  13. 如申請專利範圍第12項之基板處理裝置,其中, 上述控制部可在各階層中分別對基板進行一連串處理之全部,或一連串處理之一部分。
  14. 如申請專利範圍第12項之基板處理裝置,其中,試運轉時之步驟係為了使處理單元試驗、檢查或驗證對基板所進行處理的品質或者為了試運轉處理單元而進行。
  15. 如申請專利範圍第12項之基板處理裝置,其中,具備有輸入用以針對每個階層設定基板搬送路徑之資訊的輸入部,上述控制部根據輸入至上述輸入部的資訊而改變各階層之搬送路徑。
  16. 如申請專利範圍第15項之基板處理裝置,其中,輸入至上述輸入部的資訊,為在各階層中對基板所進行的處理之種類與其次序的相關資訊。
  17. 如申請專利範圍第15項之基板處理裝置,其中,輸入至上述輸入部的資訊,為用以特定進行試運轉之處理單元的資訊;上述控制部係根據特定進行試運轉之處理單元的資訊,決定將基板僅搬送至所特定之處理單元的搬送路徑。
  18. 如申請專利範圍第12項之基板處理裝置,其中,上述處理區包含有塗佈處理區與顯像處理區,上述塗佈處理區具備有對基板塗佈處理液的塗佈處理單元作為上述處理單元, 上述顯像處理區具備有對基板供給顯像液的顯像處理單元作為上述處理單元。
  19. 如申請專利範圍第18項之基板處理裝置,其中,上述控制部可對在上述塗佈處理區之全部階層,將所搬入的基板於在上述塗佈處理單元進行處理後送出至該塗佈處理區之外部,且可對在上述塗佈處理區之部分階層,將所搬入的基板於在上述塗佈處理單元進行處理後送出至該塗佈處理區之外部,並同時對在上述塗佈處理區之其他階層,將所搬入的基板在未搬送至上述塗佈處理單元之情況下送出至該塗佈處理區之外部。
  20. 如申請專利範圍第18項之基板處理裝置,其中,上述控制部可對在上述顯像處理區之全部階層,將所搬入的基板於在上述顯像處理單元進行處理後送出至該顯像處理區之外部,並且可對在上述顯像處理區之部分階層,將所搬入的基板於在上述顯像處理單元進行處理後送出至該顯像處理區之外部,並同時對在上述顯像處理區之其他階層,將所搬入的基板在未搬送至上述顯像處理單元之情況下送出至該顯像處理區之外部。
TW097150911A 2007-12-28 2008-12-26 基板處理裝置 TWI394223B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2007340428A JP5179170B2 (ja) 2007-12-28 2007-12-28 基板処理装置

Publications (2)

Publication Number Publication Date
TW200943464A TW200943464A (en) 2009-10-16
TWI394223B true TWI394223B (zh) 2013-04-21

Family

ID=40796579

Family Applications (1)

Application Number Title Priority Date Filing Date
TW097150911A TWI394223B (zh) 2007-12-28 2008-12-26 基板處理裝置

Country Status (4)

Country Link
US (3) US9299596B2 (zh)
JP (1) JP5179170B2 (zh)
KR (5) KR101170211B1 (zh)
TW (1) TWI394223B (zh)

Families Citing this family (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5006122B2 (ja) 2007-06-29 2012-08-22 株式会社Sokudo 基板処理装置
JP5318403B2 (ja) 2007-11-30 2013-10-16 株式会社Sokudo 基板処理装置
JP5128918B2 (ja) 2007-11-30 2013-01-23 株式会社Sokudo 基板処理装置
JP5001828B2 (ja) 2007-12-28 2012-08-15 株式会社Sokudo 基板処理装置
JP5179170B2 (ja) * 2007-12-28 2013-04-10 株式会社Sokudo 基板処理装置
US8289496B2 (en) 2009-01-30 2012-10-16 Semes Co., Ltd. System and method for treating substrate
JP2010177673A (ja) * 2009-01-30 2010-08-12 Semes Co Ltd 基板処理設備及び基板処理方法
WO2010134321A1 (ja) 2009-05-18 2010-11-25 日本電信電話株式会社 信号生成回路、光信号送信装置、信号受信回路、光信号同期確立方法、および光信号同期システム
JP6099449B2 (ja) 2013-03-25 2017-03-22 株式会社Screenセミコンダクターソリューションズ 基板処理装置
KR20160017699A (ko) * 2014-07-31 2016-02-17 세메스 주식회사 베이크 유닛, 이를 포함하는 기판 처리 장치 및 방법
JP6503281B2 (ja) 2015-11-13 2019-04-17 株式会社Screenホールディングス 基板処理装置
CN110943018A (zh) * 2018-09-21 2020-03-31 株式会社斯库林集团 衬底处理装置及衬底处理方法
JP7190979B2 (ja) * 2018-09-21 2022-12-16 株式会社Screenホールディングス 基板処理装置
JP7297650B2 (ja) * 2019-11-27 2023-06-26 株式会社Screenホールディングス 基板処理装置および基板搬送方法
JP7454467B2 (ja) 2020-08-03 2024-03-22 株式会社荏原製作所 基板処理システム、基板処理システムの制御装置及び基板処理システムの運転方法
JP2022124622A (ja) * 2021-02-16 2022-08-26 株式会社Screenホールディングス 基板処理装置

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7069099B2 (en) * 2003-02-03 2006-06-27 Dainippon Screen Mfg. Co., Ltd. Method of transporting and processing substrates in substrate processing apparatus
CN101086625A (zh) * 2006-06-05 2007-12-12 Lg.菲利浦Lcd株式会社 光刻装置及其方法

Family Cites Families (295)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3249765B2 (ja) 1997-05-07 2002-01-21 東京エレクトロン株式会社 基板処理装置
US4409889A (en) 1981-11-02 1983-10-18 Burleson Maurice L Modular clean room
DE3347438A1 (de) 1983-12-29 1985-07-18 Ulrich 2814 Bruchhausen-Vilsen Grigat Multivalenter heizkoerper zur raumluftbeheizung
JPH065689Y2 (ja) 1986-12-26 1994-02-16 小橋工業株式会社 正逆回転ロ−タリ作業機のフロントカバ−
US5202716A (en) * 1988-02-12 1993-04-13 Tokyo Electron Limited Resist process system
KR970003907B1 (ko) * 1988-02-12 1997-03-22 도오교오 에레구토론 가부시끼 가이샤 기판처리 장치 및 기판처리 방법
US5177514A (en) * 1988-02-12 1993-01-05 Tokyo Electron Limited Apparatus for coating a photo-resist film and/or developing it after being exposed
JP2559617B2 (ja) 1988-03-24 1996-12-04 キヤノン株式会社 基板処理装置
US5536128A (en) 1988-10-21 1996-07-16 Hitachi, Ltd. Method and apparatus for carrying a variety of products
JPH02197599A (ja) 1989-01-25 1990-08-06 Yamaha Motor Co Ltd 金属表面の化学処理装置
JP2683675B2 (ja) 1989-01-26 1997-12-03 東京エレクトロン株式会社 搬送装置
JPH085812Y2 (ja) 1989-12-05 1996-02-21 沖電気工業株式会社 印字ヘッド駆動回路
JPH081921B2 (ja) 1990-01-13 1996-01-10 東京エレクトロン株式会社 半導体製造装置
ES2020758A6 (es) 1990-02-08 1991-09-16 Balzola Elorza Martin Msnipulador automatico para lamacenes.
JP2704309B2 (ja) * 1990-06-12 1998-01-26 大日本スクリーン製造株式会社 基板処理装置及び基板の熱処理方法
JP2919925B2 (ja) * 1990-07-26 1999-07-19 東京エレクトロン株式会社 処理装置
US5668056A (en) 1990-12-17 1997-09-16 United Microelectronics Corporation Single semiconductor wafer transfer method and manufacturing system
US5297910A (en) 1991-02-15 1994-03-29 Tokyo Electron Limited Transportation-transfer device for an object of treatment
US5275709A (en) 1991-11-07 1994-01-04 Leybold Aktiengesellschaft Apparatus for coating substrates, preferably flat, more or less plate-like substrates
KR970011065B1 (ko) 1992-12-21 1997-07-05 다이닛뽕 스크린 세이조오 가부시키가이샤 기판처리장치와 기판처리장치에 있어서 기판교환장치 및 기판교환방법
JP3338343B2 (ja) 1992-12-21 2002-10-28 大日本スクリーン製造株式会社 基板処理装置
TW276353B (zh) 1993-07-15 1996-05-21 Hitachi Seisakusyo Kk
EP0634699A1 (en) 1993-07-16 1995-01-18 Semiconductor Systems, Inc. Clustered photolithography system
US5565034A (en) * 1993-10-29 1996-10-15 Tokyo Electron Limited Apparatus for processing substrates having a film formed on a surface of the substrate
US5518542A (en) 1993-11-05 1996-05-21 Tokyo Electron Limited Double-sided substrate cleaning apparatus
JP2994553B2 (ja) * 1994-04-08 1999-12-27 大日本スクリーン製造株式会社 基板処理装置
JPH07297258A (ja) * 1994-04-26 1995-11-10 Tokyo Electron Ltd 板状体の搬送装置
US5826129A (en) 1994-06-30 1998-10-20 Tokyo Electron Limited Substrate processing system
JP3122868B2 (ja) * 1994-09-29 2001-01-09 東京エレクトロン株式会社 塗布装置
JP3592771B2 (ja) 1994-12-07 2004-11-24 大日本スクリーン製造株式会社 基板処理装置
TW297910B (zh) * 1995-02-02 1997-02-11 Tokyo Electron Co Ltd
US5677758A (en) 1995-02-09 1997-10-14 Mrs Technology, Inc. Lithography System using dual substrate stages
JP3069945B2 (ja) 1995-07-28 2000-07-24 東京エレクトロン株式会社 処理装置
KR100310249B1 (ko) 1995-08-05 2001-12-17 엔도 마코토 기판처리장치
US5788868A (en) 1995-09-04 1998-08-04 Dainippon Screen Mfg. Co., Ltd. Substrate transfer method and interface apparatus
JPH09148240A (ja) 1995-11-24 1997-06-06 Dainippon Screen Mfg Co Ltd 基板処理装置
JP3575717B2 (ja) 1995-12-28 2004-10-13 大日本スクリーン製造株式会社 基板処理装置
US5842917A (en) 1996-01-11 1998-12-01 United Microelectronics Corproration Automated manufacturing plant for semiconductor devices
JPH09251953A (ja) 1996-01-12 1997-09-22 Sony Corp レジスト現像方法
JPH09199568A (ja) 1996-01-22 1997-07-31 Dainippon Screen Mfg Co Ltd 基板処理装置
JP3938409B2 (ja) 1996-01-22 2007-06-27 大日本スクリーン製造株式会社 基板処理装置
TW317644B (zh) 1996-01-26 1997-10-11 Tokyo Electron Co Ltd
JP3859800B2 (ja) 1996-03-19 2006-12-20 大日本スクリーン製造株式会社 基板処理装置のフロー管理方法及びフロー管理装置
US6176667B1 (en) * 1996-04-30 2001-01-23 Applied Materials, Inc. Multideck wafer processing system
TW333658B (en) 1996-05-30 1998-06-11 Tokyo Electron Co Ltd The substrate processing method and substrate processing system
US6062798A (en) * 1996-06-13 2000-05-16 Brooks Automation, Inc. Multi-level substrate processing apparatus
JPH1050794A (ja) 1996-08-01 1998-02-20 Dainippon Screen Mfg Co Ltd 基板処理装置および方法
KR100269097B1 (ko) 1996-08-05 2000-12-01 엔도 마코토 기판처리장치
JP3278714B2 (ja) 1996-08-30 2002-04-30 東京エレクトロン株式会社 塗布膜形成装置
JP3571471B2 (ja) 1996-09-03 2004-09-29 東京エレクトロン株式会社 処理方法,塗布現像処理システム及び処理システム
JP3779393B2 (ja) 1996-09-06 2006-05-24 東京エレクトロン株式会社 処理システム
JP3619346B2 (ja) 1996-09-19 2005-02-09 大日本スクリーン製造株式会社 基板処理装置及び方法
JP3082688B2 (ja) 1996-11-05 2000-08-28 ヤマハ株式会社 配線形成法
TW353777B (en) * 1996-11-08 1999-03-01 Tokyo Electron Ltd Treatment device
DE69738910D1 (de) 1996-11-28 2008-09-25 Nikon Corp Ausrichtvorrichtung und belichtungsverfahren
JP3429964B2 (ja) 1996-12-26 2003-07-28 大日本スクリーン製造株式会社 基板処理装置
JPH10209241A (ja) 1997-01-16 1998-08-07 Dainippon Screen Mfg Co Ltd 基板搬送装置およびそれを備えた基板処理装置
JP3579228B2 (ja) 1997-01-24 2004-10-20 大日本スクリーン製造株式会社 基板処理装置
JP4080021B2 (ja) 1997-03-19 2008-04-23 大日本スクリーン製造株式会社 基板処理装置
JPH10294351A (ja) 1997-04-21 1998-11-04 Sharp Corp 半導体装置製造用クリーンボックス、及び半導体装置の製造システム並びに製造方法
TW420829B (en) * 1997-05-22 2001-02-01 Tokyo Electron Ltd Treatment device and method, impurity removing apparatus
JPH10335415A (ja) 1997-05-30 1998-12-18 Dainippon Screen Mfg Co Ltd 処理時間の設定方法
JP3600711B2 (ja) 1997-05-30 2004-12-15 大日本スクリーン製造株式会社 基板処理装置
JPH113851A (ja) 1997-06-11 1999-01-06 Tokyo Electron Ltd 液処理装置及び液処理方法
JPH1116978A (ja) 1997-06-19 1999-01-22 Dainippon Screen Mfg Co Ltd 基板処理装置
JPH1126550A (ja) 1997-07-04 1999-01-29 Tokyo Electron Ltd 基板搬送装置およびそれを用いた基板処理装置
US6151981A (en) 1997-07-24 2000-11-28 Costa; Larry J. Two-axis cartesian robot
JPH1154588A (ja) 1997-07-30 1999-02-26 Tokyo Electron Ltd 基板搬送装置およびそれを用いた基板処理装置
TW385488B (en) 1997-08-15 2000-03-21 Tokyo Electron Ltd substrate processing device
US6287023B1 (en) * 1997-09-22 2001-09-11 Tokyo Electron Limited Processing apparatus and method
US6235634B1 (en) 1997-10-08 2001-05-22 Applied Komatsu Technology, Inc. Modular substrate processing system
US6270306B1 (en) 1998-01-14 2001-08-07 Applied Materials, Inc. Wafer aligner in center of front end frame of vacuum system
AU3054999A (en) * 1998-04-02 1999-10-25 Nikon Corporation Method and apparatus for wafer processing, and method and apparatus for exposure
KR100265287B1 (ko) 1998-04-21 2000-10-02 윤종용 반도체소자 제조용 식각설비의 멀티챔버 시스템
JP3381776B2 (ja) * 1998-05-19 2003-03-04 東京エレクトロン株式会社 処理装置および処理方法
US6266125B1 (en) * 1998-05-25 2001-07-24 Tokyo Electron Limited Resist processing method and apparatus
JP3481499B2 (ja) 1998-05-25 2003-12-22 東京エレクトロン株式会社 レジスト処理方法及びレジスト処理装置
JP3884570B2 (ja) 1998-05-29 2007-02-21 大日本スクリーン製造株式会社 基板処理装置
JP3445937B2 (ja) * 1998-06-24 2003-09-16 東京エレクトロン株式会社 多段スピン型基板処理システム
TW428216B (en) 1998-07-29 2001-04-01 Tokyo Electron Ltd Substrate process method and substrate process apparatus
JP3745167B2 (ja) 1998-07-29 2006-02-15 キヤノン株式会社 ステージ装置、露光装置およびデバイス製造方法ならびにステージ駆動方法
KR100515740B1 (ko) * 1998-08-14 2005-09-20 동경 엘렉트론 주식회사 기판처리장치
JP3441681B2 (ja) 1998-08-14 2003-09-02 東京エレクトロン株式会社 処理装置
JP3442669B2 (ja) 1998-10-20 2003-09-02 東京エレクトロン株式会社 基板処理装置
JP3662150B2 (ja) 1998-10-30 2005-06-22 東京エレクトロン株式会社 処理システム
JP2000195925A (ja) * 1998-12-28 2000-07-14 Anelva Corp 基板処理装置
JP3273031B2 (ja) 1999-01-08 2002-04-08 東京エレクトロン株式会社 基板処理装置
JP2000269297A (ja) 1999-03-16 2000-09-29 Tokyo Ohka Kogyo Co Ltd 処理ユニット構築体
JP3542919B2 (ja) 1999-03-18 2004-07-14 東京エレクトロン株式会社 基板処理装置
JP3462426B2 (ja) 1999-05-24 2003-11-05 東京エレクトロン株式会社 基板処理装置
JP3442686B2 (ja) 1999-06-01 2003-09-02 東京エレクトロン株式会社 基板処理装置
TW451274B (en) 1999-06-11 2001-08-21 Tokyo Electron Ltd Substrate processing apparatus
US6338582B1 (en) * 1999-06-30 2002-01-15 Tokyo Electron Limited Substrate delivery apparatus and coating and developing processing system
US6426303B1 (en) * 1999-07-16 2002-07-30 Tokyo Electron Limited Processing system
JP4294837B2 (ja) 1999-07-16 2009-07-15 東京エレクトロン株式会社 処理システム
JP2001093791A (ja) * 1999-09-20 2001-04-06 Hitachi Ltd 真空処理装置の運転方法及びウエハの処理方法
US6402401B1 (en) 1999-10-19 2002-06-11 Tokyo Electron Limited Substrate processing apparatus and substrate processing method
TW518639B (en) * 1999-11-18 2003-01-21 Tokyo Electron Ltd Heat treatment device, cooling treatment device and cooling treatment method
KR100348938B1 (ko) 1999-12-06 2002-08-14 한국디엔에스 주식회사 포토리소그라피 공정을 위한 반도체 제조장치
US6402508B2 (en) 1999-12-09 2002-06-11 Tokyo Electron Limited Heat and cooling treatment apparatus and substrate processing system
US6485203B2 (en) 1999-12-20 2002-11-26 Tokyo Electron Limited Substrate processing method and substrate processing apparatus
WO2001054187A1 (fr) * 2000-01-17 2001-07-26 Ebara Corporation Appareil de commande de transfert de tranches et procede de transfert de tranches
SG106599A1 (en) 2000-02-01 2004-10-29 Tokyo Electron Ltd Substrate processing apparatus and substrate processing method
US6432842B2 (en) 2000-03-30 2002-08-13 Tokyo Electron Limited Coating method and coating apparatus
US6919001B2 (en) 2000-05-01 2005-07-19 Intevac, Inc. Disk coating system
JP2002057100A (ja) * 2000-05-31 2002-02-22 Canon Inc 露光装置、コートデベロップ装置、デバイス製造システム、デバイス製造方法、半導体製造工場および露光装置の保守方法
JP4915033B2 (ja) 2000-06-15 2012-04-11 株式会社ニコン 露光装置、基板処理装置及びリソグラフィシステム、並びにデバイス製造方法
TW501194B (en) 2000-08-23 2002-09-01 Tokyo Electron Ltd Processing system for object to be processed
JP3587776B2 (ja) * 2000-10-10 2004-11-10 東京エレクトロン株式会社 塗布装置及び塗布方法
JP2002134396A (ja) 2000-10-25 2002-05-10 Sony Corp 半導体装置の製造方法および半導体パターン自動調節装置
US6491451B1 (en) 2000-11-03 2002-12-10 Motorola, Inc. Wafer processing equipment and method for processing wafers
JP3616748B2 (ja) * 2000-11-07 2005-02-02 東京エレクトロン株式会社 現像処理方法,現像処理装置及び処理装置
JP3943828B2 (ja) * 2000-12-08 2007-07-11 東京エレクトロン株式会社 塗布、現像装置及びパターン形成方法
JP4124400B2 (ja) 2001-01-19 2008-07-23 大日本スクリーン製造株式会社 基板処理装置
US6558053B2 (en) * 2001-04-19 2003-05-06 Dainippon Screen Mfg. Co., Ltd. Substrate processing apparatus
KR100387418B1 (ko) 2001-05-23 2003-06-18 한국디엔에스 주식회사 반도체 제조 공정에서 사용되는 스피너 시스템
JP2003022962A (ja) 2001-07-10 2003-01-24 Canon Inc 露光システム、デバイス製造方法、半導体製造工場および露光装置の保守方法
US6750155B2 (en) 2001-08-08 2004-06-15 Lam Research Corporation Methods to minimize moisture condensation over a substrate in a rapid cycle chamber
JP2003059810A (ja) 2001-08-20 2003-02-28 Nec Kansai Ltd 薬液処理装置
JP2003142547A (ja) 2001-08-24 2003-05-16 Hirata Corp ワーク搬送装置
JP2003188229A (ja) * 2001-12-18 2003-07-04 Hitachi Kasado Eng Co Ltd ウエハ製造システムおよびウエハ製造方法
US20030131458A1 (en) * 2002-01-15 2003-07-17 Applied Materials, Inc. Apparatus and method for improving throughput in a cluster tool for semiconductor wafer processing
JP3916473B2 (ja) * 2002-01-31 2007-05-16 東京エレクトロン株式会社 基板処理装置および基板処理方法
JP4153781B2 (ja) * 2002-01-31 2008-09-24 大日本スクリーン製造株式会社 熱処理装置および基板処理装置
JP4195227B2 (ja) 2002-02-22 2008-12-10 東京エレクトロン株式会社 被処理体の導入ポート構造
JP4162420B2 (ja) 2002-04-16 2008-10-08 大日本スクリーン製造株式会社 基板処理装置
JP3862596B2 (ja) 2002-05-01 2006-12-27 東京エレクトロン株式会社 基板処理方法
JP4342147B2 (ja) * 2002-05-01 2009-10-14 大日本スクリーン製造株式会社 基板処理装置
FR2839331B1 (fr) 2002-05-02 2004-07-16 Cit Alcatel Installation de fabrication de composants semi-conducteurs a faux-plancher ventile
JP3966211B2 (ja) * 2002-05-08 2007-08-29 株式会社ニコン 露光方法、露光装置及びデバイス製造方法
KR20030087418A (ko) 2002-05-09 2003-11-14 엘지전자 주식회사 모뎀 라인을 이용한 펌웨어 갱신 방법
JP4073251B2 (ja) 2002-05-21 2008-04-09 東京エレクトロン株式会社 基板処理装置
JP2003347186A (ja) * 2002-05-23 2003-12-05 Dainippon Screen Mfg Co Ltd 基板処理装置
JP2004015023A (ja) 2002-06-11 2004-01-15 Dainippon Screen Mfg Co Ltd 基板処理装置およびその方法
US6832863B2 (en) 2002-06-11 2004-12-21 Dainippon Screen Mfg. Co., Ltd. Substrate treating apparatus and method
JP2004015021A (ja) 2002-06-11 2004-01-15 Dainippon Screen Mfg Co Ltd 基板処理装置
US6807455B2 (en) * 2002-06-26 2004-10-19 Dainippon Screen Mfg. Co. Ltd. System for and method of processing substrate
JP2004046450A (ja) 2002-07-10 2004-02-12 Fujitsu Ten Ltd 救急搬送システム
US6843882B2 (en) * 2002-07-15 2005-01-18 Applied Materials, Inc. Gas flow control in a wafer processing system having multiple chambers for performing same process
JP2004087675A (ja) * 2002-08-26 2004-03-18 Dainippon Screen Mfg Co Ltd 基板処理装置
JP4025613B2 (ja) 2002-09-27 2007-12-26 株式会社アドバンテスト 電子ビーム露光装置、電子ビーム露光装置校正方法、及び半導体素子製造方法
JP4133208B2 (ja) * 2002-10-22 2008-08-13 東京エレクトロン株式会社 基板処理装置
JP4018965B2 (ja) 2002-10-28 2007-12-05 東京エレクトロン株式会社 基板処理装置
JP4087328B2 (ja) 2002-11-28 2008-05-21 東京エレクトロン株式会社 塗布、現像装置及び塗布、現像装置の運転方法
JP3999649B2 (ja) * 2002-12-19 2007-10-31 大日本スクリーン製造株式会社 基板処理装置とその動作方法、およびプログラム
JP2004207279A (ja) 2002-12-20 2004-07-22 Rorze Corp 薄板状物製造設備
JP2004241319A (ja) 2003-02-07 2004-08-26 Sony Corp 成膜装置
JP2004304003A (ja) 2003-03-31 2004-10-28 Tokyo Electron Ltd 処理システム
JP4233908B2 (ja) * 2003-04-02 2009-03-04 東京エレクトロン株式会社 基板処理システム
JP4357861B2 (ja) 2003-04-07 2009-11-04 大日本スクリーン製造株式会社 基板処理装置
JP4307132B2 (ja) 2003-04-16 2009-08-05 大日本スクリーン製造株式会社 基板処理装置
JP2004342654A (ja) 2003-05-13 2004-12-02 Dainippon Screen Mfg Co Ltd 基板処理装置
US6876439B2 (en) * 2003-05-29 2005-04-05 Asml Holding N.V. Method to increase throughput in a dual substrate stage double exposure lithography system
US20090144180A1 (en) 2004-06-11 2009-06-04 Donald Blust Automated business system and method of vending and returning a consumer product
KR100524875B1 (ko) 2003-06-28 2005-10-31 엘지.필립스 엘시디 주식회사 청정시스템
US6879866B2 (en) 2003-08-04 2005-04-12 Asml Netherlands B.V. Method, computer program product and apparatus for scheduling maintenance actions in a substrate processing system
JP2005057294A (ja) 2003-08-07 2005-03-03 Asml Netherlands Bv インタフェースユニット、該インタフェースユニットを含むリソグラフィ投影装置、及びデバイス製造方法
JP4137750B2 (ja) * 2003-09-17 2008-08-20 株式会社Sokudo 熱処理装置、熱処理方法および基板処理装置
JP4105617B2 (ja) * 2003-09-19 2008-06-25 大日本スクリーン製造株式会社 基板処理装置
JP4108027B2 (ja) 2003-09-22 2008-06-25 大日本スクリーン製造株式会社 基板処理装置
JP4079861B2 (ja) 2003-09-22 2008-04-23 大日本スクリーン製造株式会社 基板処理装置
US7387485B2 (en) 2003-09-29 2008-06-17 Quantum Corporation Cartridge transport assembly
KR100521401B1 (ko) 2003-11-24 2005-10-12 세메스 주식회사 기판세정시스템
JP4322086B2 (ja) * 2003-10-14 2009-08-26 大日本スクリーン製造株式会社 基板処理装置およびその方法
KR100546503B1 (ko) 2003-11-27 2006-01-26 다이닛뽕스크린 세이조오 가부시키가이샤 기판처리장치 및 그 방법
JP2005167083A (ja) * 2003-12-04 2005-06-23 Daifuku Co Ltd ガラス基板用の搬送設備
JP4381121B2 (ja) * 2003-12-11 2009-12-09 大日本スクリーン製造株式会社 基板処理装置
JP4369325B2 (ja) 2003-12-26 2009-11-18 東京エレクトロン株式会社 現像装置及び現像処理方法
JP4376072B2 (ja) 2004-01-16 2009-12-02 東京エレクトロン株式会社 基板処理装置及び基板処理方法
JP2005243690A (ja) 2004-02-24 2005-09-08 Dainippon Screen Mfg Co Ltd 基板処理装置
US7326505B2 (en) 2004-05-26 2008-02-05 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
KR101037087B1 (ko) 2004-06-29 2011-05-26 엘지디스플레이 주식회사 엠엠지용 기판 생산장비
JP4381909B2 (ja) 2004-07-06 2009-12-09 大日本スクリーン製造株式会社 基板処理装置および基板処理方法
US20060011296A1 (en) * 2004-07-16 2006-01-19 Tokyo Electron Limited Substrate processing apparatus, substrate processing method, and computer program
JP3870207B2 (ja) * 2004-08-05 2007-01-17 キヤノン株式会社 液浸露光装置及びデバイス製造方法
WO2006025302A1 (ja) 2004-08-30 2006-03-09 Nikon Corporation 露光装置、動作決定方法、基板処理システム及びメンテナンス管理方法、並びにデバイス製造方法
US7623565B2 (en) 2004-09-20 2009-11-24 Cypress Semiconductor Corporation Method for providing packet framing in a communication system
KR101069821B1 (ko) 2004-10-15 2011-10-04 세메스 주식회사 반도체 기판 제조에 사용되는 포토 리소그래피 장치
JP2006310724A (ja) * 2004-11-10 2006-11-09 Dainippon Screen Mfg Co Ltd 基板処理装置および基板処理方法
JP5154008B2 (ja) * 2004-11-10 2013-02-27 株式会社Sokudo 基板処理装置および基板処理方法
JP4381285B2 (ja) * 2004-11-11 2009-12-09 株式会社Sokudo 基板処理装置および基板処理方法
JP5154007B2 (ja) * 2004-12-06 2013-02-27 株式会社Sokudo 基板処理装置
JP4926433B2 (ja) * 2004-12-06 2012-05-09 株式会社Sokudo 基板処理装置および基板処理方法
US7699021B2 (en) 2004-12-22 2010-04-20 Sokudo Co., Ltd. Cluster tool substrate throughput optimization
US20060130767A1 (en) * 2004-12-22 2006-06-22 Applied Materials, Inc. Purged vacuum chuck with proximity pins
US7651306B2 (en) * 2004-12-22 2010-01-26 Applied Materials, Inc. Cartesian robot cluster tool architecture
US7819079B2 (en) 2004-12-22 2010-10-26 Applied Materials, Inc. Cartesian cluster tool configuration for lithography type processes
KR100761576B1 (ko) * 2004-12-24 2007-09-27 다이닛뽕스크린 세이조오 가부시키가이샤 기판 처리장치
JP4356936B2 (ja) * 2005-01-21 2009-11-04 東京エレクトロン株式会社 塗布、現像装置及びその方法
US7245348B2 (en) * 2005-01-21 2007-07-17 Tokyo Electron Limited Coating and developing system and coating and developing method with antireflection film and an auxiliary block for inspection and cleaning
JP4955977B2 (ja) 2005-01-21 2012-06-20 東京エレクトロン株式会社 塗布、現像装置及びその方法
JP4955976B2 (ja) * 2005-01-21 2012-06-20 東京エレクトロン株式会社 塗布、現像装置及びその方法
JP4459831B2 (ja) 2005-02-01 2010-04-28 東京エレクトロン株式会社 塗布、現像装置
JP4414909B2 (ja) * 2005-02-14 2010-02-17 東京エレクトロン株式会社 塗布、現像装置
JP4414910B2 (ja) 2005-02-17 2010-02-17 東京エレクトロン株式会社 半導体製造装置及び半導体製造方法
JP4541931B2 (ja) 2005-03-03 2010-09-08 株式会社日立国際電気 半導体装置の製造方法及び半導体製造装置
JP4566035B2 (ja) 2005-03-11 2010-10-20 東京エレクトロン株式会社 塗布、現像装置及びその方法
JP4685584B2 (ja) 2005-03-11 2011-05-18 東京エレクトロン株式会社 塗布、現像装置
US7403260B2 (en) 2005-03-11 2008-07-22 Tokyo Electron Limited Coating and developing system
JP4414921B2 (ja) 2005-03-23 2010-02-17 東京エレクトロン株式会社 塗布、現像装置及び塗布、現像方法
US8353986B2 (en) 2005-03-31 2013-01-15 Tokyo Electron Limited Substrate processing apparatus
TWI380939B (zh) * 2005-05-31 2013-01-01 Daifuku Kk 物品搬運裝置
JP4273423B2 (ja) 2005-05-31 2009-06-03 株式会社ダイフク 搬送装置
JP4522329B2 (ja) 2005-06-24 2010-08-11 株式会社Sokudo 基板処理装置
KR100666355B1 (ko) 2005-07-01 2007-01-11 세메스 주식회사 복층 구조의 반도체 제조 설비 및 그의 처리 방법
JP4519037B2 (ja) 2005-08-31 2010-08-04 東京エレクトロン株式会社 加熱装置及び塗布、現像装置
JP4616731B2 (ja) 2005-09-01 2011-01-19 東京エレクトロン株式会社 塗布、現像装置
JP4937559B2 (ja) 2005-09-14 2012-05-23 株式会社Sokudo 基板処理装置および基板処理方法
JP4450784B2 (ja) 2005-10-19 2010-04-14 東京エレクトロン株式会社 塗布、現像装置及びその方法
JP4542984B2 (ja) 2005-11-24 2010-09-15 東京エレクトロン株式会社 基板搬送処理装置及び基板搬送処理装置における障害対策方法並びに基板搬送処理装置における障害対策用プログラム
JP4654119B2 (ja) * 2005-11-29 2011-03-16 東京エレクトロン株式会社 塗布・現像装置及び塗布・現像方法
JP2007184537A (ja) 2005-12-07 2007-07-19 Canon Inc 露光方法、露光装置、複数の基板上にレジストを塗布する装置およびデバイス製造方法
JP4654120B2 (ja) 2005-12-08 2011-03-16 東京エレクトロン株式会社 塗布、現像装置及び塗布、現像方法並びにコンピュータプログラム
JP4704221B2 (ja) 2006-01-26 2011-06-15 株式会社Sokudo 基板処理装置および基板処理方法
JP4781832B2 (ja) 2006-02-01 2011-09-28 大日本スクリーン製造株式会社 基板処理システム、基板処理装置、プログラム及び記録媒体
JP2007208064A (ja) 2006-02-02 2007-08-16 Dainippon Screen Mfg Co Ltd 基板処理装置および基板処理方法
JP5132108B2 (ja) * 2006-02-02 2013-01-30 株式会社Sokudo 基板処理装置
JP2007240519A (ja) 2006-02-08 2007-09-20 Tokyo Electron Ltd 欠陥検査方法、欠陥検査装置及びコンピュータプログラム
JP2007234882A (ja) 2006-03-01 2007-09-13 Dainippon Screen Mfg Co Ltd 基板処理装置および基板取り扱い方法
JP4816217B2 (ja) 2006-04-14 2011-11-16 東京エレクトロン株式会社 塗布、現像装置、塗布、現像方法及び記憶媒体
JP4614455B2 (ja) 2006-04-19 2011-01-19 東京エレクトロン株式会社 基板搬送処理装置
JP2007317987A (ja) 2006-05-29 2007-12-06 Sokudo:Kk 基板処理装置および基板処理方法
KR100784389B1 (ko) 2006-06-22 2007-12-11 삼성전자주식회사 포토 리소그래피 시스템 및 방법
US8220354B2 (en) 2006-06-28 2012-07-17 Genmark Automation, Inc. Belt-driven robot having extended Z-axis motion
US7515982B2 (en) * 2006-06-30 2009-04-07 Intel Corporation Combining automated and manual information in a centralized system for semiconductor process control
US8041440B2 (en) * 2006-07-13 2011-10-18 Taiwan Semiconductor Manufacturing Company, Ltd. Method and system for providing a selection of golden tools for better defect density and product yield
JP2008034746A (ja) * 2006-07-31 2008-02-14 Tokyo Electron Ltd 塗布、現像装置、その方法及び記憶媒体
JP4772620B2 (ja) * 2006-08-11 2011-09-14 東京エレクトロン株式会社 液浸露光用塗布膜の処理条件決定方法および処理条件決定装置
JP2008072016A (ja) * 2006-09-15 2008-03-27 Tokyo Electron Ltd 液処理装置、液処理方法及び記憶媒体
US8419341B2 (en) * 2006-09-19 2013-04-16 Brooks Automation, Inc. Linear vacuum robot with Z motion and articulated arm
JP4999415B2 (ja) * 2006-09-29 2012-08-15 東京エレクトロン株式会社 基板処理装置及び基板処理方法並びに基板処理装置の用力供給装置及び基板処理装置の用力供給方法
US20080158531A1 (en) 2006-11-15 2008-07-03 Nikon Corporation Exposure apparatus, exposure method, and method for producing device
US7738987B2 (en) * 2006-11-28 2010-06-15 Tokyo Electron Limited Device and method for controlling substrate processing apparatus
JP5023679B2 (ja) * 2006-12-05 2012-09-12 東京エレクトロン株式会社 塗布、現像装置及びその方法並びに記憶媒体
JP2008198879A (ja) 2007-02-15 2008-08-28 Sokudo:Kk 基板処理装置
JP5149513B2 (ja) * 2007-02-15 2013-02-20 株式会社Sokudo 基板処理装置
US7675048B2 (en) 2007-03-06 2010-03-09 Varian Semiconductor Equipment Associates, Inc. Wafer holding robot end effecter vertical position determination in ion implanter system
US20080224817A1 (en) 2007-03-15 2008-09-18 Sokudo Co., Ltd. Interlaced rtd sensor for zone/average temperature sensing
JP2008258208A (ja) * 2007-03-30 2008-10-23 Tokyo Electron Ltd 塗布、現像装置及びその方法並びに記憶媒体
JP4908304B2 (ja) 2007-04-27 2012-04-04 東京エレクトロン株式会社 基板の処理方法、基板の処理システム及びコンピュータ読み取り可能な記憶媒体
US8636458B2 (en) 2007-06-06 2014-01-28 Asml Netherlands B.V. Integrated post-exposure bake track
JP2007227984A (ja) 2007-06-14 2007-09-06 Dainippon Screen Mfg Co Ltd 基板処理装置
KR100904392B1 (ko) 2007-06-18 2009-06-26 세메스 주식회사 기판 처리 장치
KR100897850B1 (ko) 2007-06-18 2009-05-15 세메스 주식회사 기판 처리 장치
US20090001071A1 (en) * 2007-06-28 2009-01-01 Sokudo Co., Ltd Method and System for Cooling a Bake Plate in a Track Lithography Tool
JP5006122B2 (ja) * 2007-06-29 2012-08-22 株式会社Sokudo 基板処理装置
JP2009021275A (ja) 2007-07-10 2009-01-29 Sokudo:Kk 基板処理装置
US7801633B2 (en) 2007-07-10 2010-09-21 Dainippon Screen Mfg. Co., Ltd. Scheduling method and program for a substrate treating apparatus
US7641406B2 (en) * 2007-07-26 2010-01-05 Sokudo Co., Ltd. Bevel inspection apparatus for substrate processing
JP5148944B2 (ja) 2007-08-14 2013-02-20 大日本スクリーン製造株式会社 基板処理システム
TW200919117A (en) * 2007-08-28 2009-05-01 Tokyo Electron Ltd Coating-developing apparatus, coating-developing method and storage medium
US7831135B2 (en) 2007-09-04 2010-11-09 Sokudo Co., Ltd. Method and system for controlling bake plate temperature in a semiconductor processing chamber
JP2009071235A (ja) 2007-09-18 2009-04-02 Sokudo:Kk 基板処理装置
JP5065167B2 (ja) 2007-09-20 2012-10-31 東京エレクトロン株式会社 基板の処理方法及び基板の処理システム
JP5151383B2 (ja) * 2007-10-12 2013-02-27 東京エレクトロン株式会社 塗布、現像装置、その方法及び記憶媒体
JP2009135169A (ja) * 2007-11-29 2009-06-18 Tokyo Electron Ltd 基板処理システムおよび基板処理方法
JP5128918B2 (ja) * 2007-11-30 2013-01-23 株式会社Sokudo 基板処理装置
JP5160204B2 (ja) * 2007-11-30 2013-03-13 株式会社Sokudo 基板処理装置
JP5318403B2 (ja) 2007-11-30 2013-10-16 株式会社Sokudo 基板処理装置
KR100892756B1 (ko) * 2007-12-27 2009-04-15 세메스 주식회사 기판 처리 장치 및 이를 이용한 기판 이송 방법
JP5001828B2 (ja) 2007-12-28 2012-08-15 株式会社Sokudo 基板処理装置
JP5179170B2 (ja) * 2007-12-28 2013-04-10 株式会社Sokudo 基板処理装置
JP5344734B2 (ja) 2007-12-28 2013-11-20 株式会社Sokudo 基板処理装置
JP5056582B2 (ja) 2008-05-22 2012-10-24 東京エレクトロン株式会社 塗布、現像装置、塗布、現像方法及び記憶媒体
US9214372B2 (en) * 2008-08-28 2015-12-15 Tokyo Ohka Kogyo Co., Ltd. Substrate processing system, carrying device and coating device
JP2010056367A (ja) * 2008-08-29 2010-03-11 Panasonic Corp 半導体製造装置
JP5225815B2 (ja) 2008-11-19 2013-07-03 東京エレクトロン株式会社 インターフェイス装置、基板を搬送する方法及びコンピュータ可読記憶媒体
WO2010085496A1 (en) * 2009-01-21 2010-07-29 George Atanasoff Methods and systems for control of a surface modification process
JP4760919B2 (ja) 2009-01-23 2011-08-31 東京エレクトロン株式会社 塗布、現像装置
US8289496B2 (en) * 2009-01-30 2012-10-16 Semes Co., Ltd. System and method for treating substrate
JP2010177673A (ja) 2009-01-30 2010-08-12 Semes Co Ltd 基板処理設備及び基板処理方法
JP5462506B2 (ja) 2009-03-18 2014-04-02 株式会社Sokudo 基板処理装置
JP5187274B2 (ja) 2009-05-28 2013-04-24 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
JP5443070B2 (ja) 2009-06-19 2014-03-19 東京エレクトロン株式会社 インプリントシステム
JP5060517B2 (ja) 2009-06-24 2012-10-31 東京エレクトロン株式会社 インプリントシステム
JP2011009362A (ja) 2009-06-24 2011-01-13 Tokyo Electron Ltd インプリントシステム、インプリント方法、プログラム及びコンピュータ記憶媒体
JP5050018B2 (ja) * 2009-08-24 2012-10-17 東京エレクトロン株式会社 塗布現像装置及び塗布現像方法
JP5410212B2 (ja) * 2009-09-15 2014-02-05 株式会社Sokudo 基板処理装置、基板処理システムおよび検査周辺露光装置
JP5445006B2 (ja) 2009-10-05 2014-03-19 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
JP5736687B2 (ja) 2009-10-06 2015-06-17 東京エレクトロン株式会社 基板処理装置
JP5168300B2 (ja) 2010-02-24 2013-03-21 東京エレクトロン株式会社 基板処理装置及び基板処理方法
JP5246184B2 (ja) 2010-02-24 2013-07-24 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
JP5575507B2 (ja) * 2010-03-02 2014-08-20 株式会社日立国際電気 基板処理装置、基板搬送方法、半導体装置の製造方法および基板処理装置のメンテナンス方法
JP5408059B2 (ja) * 2010-07-09 2014-02-05 東京エレクトロン株式会社 塗布、現像装置、塗布、現像方法及び記憶媒体
JP5348083B2 (ja) 2010-07-16 2013-11-20 東京エレクトロン株式会社 塗布、現像装置、塗布、現像方法及び記憶媒体
JP5479253B2 (ja) 2010-07-16 2014-04-23 東京エレクトロン株式会社 基板処理装置、基板処理方法、プログラム及びコンピュータ記憶媒体
US9428336B2 (en) 2010-07-28 2016-08-30 Par Systems, Inc. Robotic storage and retrieval systems
JP5223897B2 (ja) 2010-09-02 2013-06-26 東京エレクトロン株式会社 塗布、現像装置、塗布、現像方法及び記憶媒体
JP5293719B2 (ja) 2010-10-01 2013-09-18 東京エレクトロン株式会社 基板処理装置のデータ取得方法及びセンサ用基板
JP5616205B2 (ja) 2010-11-29 2014-10-29 東京エレクトロン株式会社 基板処理システム、基板処理方法、プログラム及びコンピュータ記憶媒体
US8612807B2 (en) 2011-01-12 2013-12-17 Ncr Corporation Entertainment kiosk error handling and troubleshooting method
JP5883232B2 (ja) 2011-03-26 2016-03-09 東京エレクトロン株式会社 基板処理装置
JP5821689B2 (ja) 2011-04-20 2015-11-24 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
US9405194B2 (en) * 2012-11-30 2016-08-02 Semes Co., Ltd. Facility and method for treating substrate
CN109950187B (zh) * 2017-12-20 2024-04-12 株式会社国际电气 基板处理装置、半导体装置的制造方法以及记录介质

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7069099B2 (en) * 2003-02-03 2006-06-27 Dainippon Screen Mfg. Co., Ltd. Method of transporting and processing substrates in substrate processing apparatus
CN101086625A (zh) * 2006-06-05 2007-12-12 Lg.菲利浦Lcd株式会社 光刻装置及其方法

Also Published As

Publication number Publication date
TW200943464A (en) 2009-10-16
KR20120025567A (ko) 2012-03-15
KR20140053057A (ko) 2014-05-07
KR101170211B1 (ko) 2012-07-31
KR101483904B1 (ko) 2015-01-16
KR20120024898A (ko) 2012-03-14
KR101276946B1 (ko) 2013-06-19
JP5179170B2 (ja) 2013-04-10
KR101365886B1 (ko) 2014-02-21
KR20120023840A (ko) 2012-03-13
US20210134626A1 (en) 2021-05-06
KR101432358B1 (ko) 2014-08-20
US9299596B2 (en) 2016-03-29
JP2009164254A (ja) 2009-07-23
KR20090072987A (ko) 2009-07-02
US20160163573A1 (en) 2016-06-09
US20090165712A1 (en) 2009-07-02

Similar Documents

Publication Publication Date Title
TWI394223B (zh) 基板處理裝置
TWI489584B (zh) 基板處理裝置
TWI498994B (zh) 基板處理裝置
JP5128918B2 (ja) 基板処理装置
JP5318403B2 (ja) 基板処理装置
JP2009135292A (ja) 基板処理装置
JP5442890B2 (ja) 基板処理装置
JP5572666B2 (ja) 基板処理装置
JP5608148B2 (ja) 基板処理装置
JP5964654B2 (ja) 基板処理方法
JP6557647B2 (ja) 基板処理装置
JP6049929B2 (ja) 基板処理方法
JP5442889B2 (ja) 基板処理装置
JP5466728B2 (ja) 基板処理装置
JP6209554B2 (ja) 基板処理方法