KR20150041755A - TDMAT 또는 TDEAT 를 사용하여 PEALD 에 의해 Ti 함유 막을 형성하는 방법 - Google Patents

TDMAT 또는 TDEAT 를 사용하여 PEALD 에 의해 Ti 함유 막을 형성하는 방법 Download PDF

Info

Publication number
KR20150041755A
KR20150041755A KR20140136089A KR20140136089A KR20150041755A KR 20150041755 A KR20150041755 A KR 20150041755A KR 20140136089 A KR20140136089 A KR 20140136089A KR 20140136089 A KR20140136089 A KR 20140136089A KR 20150041755 A KR20150041755 A KR 20150041755A
Authority
KR
South Korea
Prior art keywords
film
gas
oxygen
films
power
Prior art date
Application number
KR20140136089A
Other languages
English (en)
Other versions
KR102332870B1 (ko
Inventor
노부루 다카무레
다츠히로 오카베
Original Assignee
에이에스엠 아이피 홀딩 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠 아이피 홀딩 비.브이. filed Critical 에이에스엠 아이피 홀딩 비.브이.
Publication of KR20150041755A publication Critical patent/KR20150041755A/ko
Application granted granted Critical
Publication of KR102332870B1 publication Critical patent/KR102332870B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/308Oxynitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/36Carbonitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/4554Plasma being used non-continuously in between ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Electromagnetism (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

테트라키스(디메틸아미노)티타늄 (TDMAT) 또는 테트라키스(디에틸아미노)티타늄 (TDEAT) 을 사용하여 플라즈마 강화 원자층 증착 (PEALD) 에 의해 기판 상에 Ti 함유 막을 형성하는 방법으로서, 기판이 배치되는 반응 공간에 펄스로 TDMAT 및/또는 TDEAT 를 도입하는 단계; 반응 공간에 NH3 무함유 반응물 가스를 연속적으로 도입하는 단계; 반응 공간에 펄스로 RF 전력을 인가하는 단계로서, TDMAT 및/또는 TDEAT 의 펄스 그리고 RF 전력의 펄스는 오버랩되지 않는, 상기 인가하는 단계; 및 (iv) 기판 상에 Ti 함유 막을 성막하기 위하여 위의 단계들을 반복하는 단계를 포함한다.

Description

TDMAT 또는 TDEAT 를 사용하여 PEALD 에 의해 Ti 함유 막을 형성하는 방법{METHOD FOR FORMING TI-CONTAINING FILM BY PEALD USING TDMAT OR TDEAT}
본 발명은 일반적으로, 테트라키스(디메틸아미노)티타늄 (TDMAT) 및/또는 테트라키스(디에틸아미노)티타늄 (TDEAT) 을 사용하여 플라즈마 강화 원자 층 증착 (PEALD) 에 의해 Ti 함유 막을 형성하는 방법에 관한 것이다.
Ti 계 막들은 스퍼터링 방법, PVD 방법, 및 CVD 방법에 의해 오랫 동안 형성되어 왔고, 예를 들어, 낮은 시트 저항을 갖는 TiN 막들은 구리 확산 차단 막들로서 상업적으로 사용되어왔다. 또한, 최근의 디바이스 노드들의 축소에 따라, SDDP (spacer-defined double patterning) 는 점차적으로 소형화를 위한 기술로서 사용되기 시작했고, TiO 및 TiN 은 SDDP 용 하드 마스크들을 위한 양호한 후보들로 고려된다. SDDP 하드 마스크들을 위하여, 양호한 스텝 커버리지를 갖는 컨포멀 막 (conformal film) 들이 하드 마스크들의 성질의 관점에서 필요하고, 따라서 당업자들은, 일반적으로 양호한 스텝 커버리지를 제공하는 ALD 방법에 의해 형성된 TiO 및 TiN 계 컨포멀 막들을 사용 및 평가했다. 특히, TiN 은 일반적으로 응력을 제어하기 어렵고 그것은 강하게 압축성이 되기 쉽고, 따라서 응력을 제어하는 것은 난제들 중 하나이다. 게다가, 디바이스 노드들의 소형화에 기인하여, 스퍼터링 방법, PVD 방법, 및 CVD 방법과 같은 종래 방법들에 의해 양호한 스텝 커버리지를 갖는 컨포멀 막들을 형성하는 것은 어려웠고, 따라서, 대안의 방법으로서, 양호한 스텝 커버리지 및 낮은 시트 저항을 갖는 막들을 형성하는 방법이 요망된다.
본 발명의 개요
본 발명의 일부의 실시형태들에 따르면, 테트라키스(디메틸아미노)티타늄 (TDMAT) 또는 테트라키스(디에틸아미노)티타늄 (TDEAT) 을 플라즈마 강화 원자층 증착 (PEALD) 을 위한 전구체로서 사용함에 있어서, 단순히 반응물 가스를 변경함으로써, TiN, TiCN, TiO, TiON, 및 TiOCN 막들과 같은 다양한 타입의 막이 형성될 수 있고, 또한, 막에 통상적인 각 막의 막 품질이 제어될 수 있다. 일부 실시형태들에서, TDMAT 또는 TDEAT 와 동시에 암모니아가 사용되지 않아서, TDMAT 또는 TDEAT 가 암모니아에 매우 반응성일지라도, 전구체와 그 전구체와 함께 사용된 암모니아 사이의 반응이 회피될 수 있다.
다양한 타입의 막 중에서, TiN 막들 및 열적으로 어닐링된 TiO 막들이 결정질 성분들에 의해 구성될 수도 있다. TiCN 막들은 결정질 및 비정질 성분들의 혼합물에 의해 구성될 수도 있고, TiCN 막들의 탄소 함량이 높으면, 막들은 전체적으로 비정질 성분들에 의해 구성된다. 다른 타입의 막들이 비정질 성분들에 의해 구성된다. TiN 및 TiCN 막들을 형성함에 있어서, 질소 공급 가스가 종종 질화에 사용된다. 하지만, 일부 실시형태들에서, TiN 막들을 형성함에 있어서, 질소 공급 가스인 암모니아가 사용되는 것이 아니라, 수소가 반응물 가스로서 사용되며, 그에 의해 전구체 자체에 포함된 질소를 사용하여 TiN 막들을 형성한다. 일부 실시형태들에서, 수소 가스만이 TiN 막들을 형성하기 위한 반응물 가스로서 사용된다. 일부 실시형태들에서, 질소 가스가 사용될 수도 있지만; 질소 가스가 본질적으로 질소 공급 가스로서 사용되는 것이 아니라, 탄소 농도, 막 응력 및/또는 시트 저항을 제어하는데 사용된다. 따라서, 일부 실시형태들은, TiN 막들이 질소 티타늄 결합들을 갖는 메틸아민 종인 전구체를 사용하여 형성될 수 있고, 수소 흐름, 질소 흐름, 및/또는 RF 전력을 파라미터들로서 다른 막 형성 조건들과 조합함으로써, 막 품질이 효과적으로 제어될 수 있는 것을 특징으로 한다.
관련 기술에 수반된 문제 및 해법들에 대한 임의의 논의는 오로지 본 발명을 위한 맥락을 제공하는 목적을 위해서만 포함되고, 그 논의의 일부 또는 전부가 본 발명이 이루어진 당시에 알려져 있었다라는 것을 인정하는 것으로 받아들여져서는 안된다.
본 발명의 양태들 및 관련 기술에 비해 달성되는 이점들을 요약하는 목적으로, 본 발명의 특정 목적들 및 이점들이 본 개시에서 설명된다. 물론, 모든 그러한 목적들 또는 이점들이 반드시 본 발명의 임의의 특정 실시형태에 따라 달성되는 것은 아닐 수도 있다는 것이 이해되야 한다. 따라서, 예를 들어, 당업자는, 본 발명이 여기에 교시되거나 또는 시사될 수도 있는 바처럼 반드시 다른 목적들 또는 이점들을 달성하는 것은 아닌 여기에 교시된 바처럼 하나의 이점 또는 이점들의 군을 달성하거나 또는 최적화하는 방식으로 구체화되거나 또는 수행될 수도 있다는 것을 인식할 것이다.
본 발명의 추가 양태들, 특징들 및 이점들은 다음의 상세한 설명으로부터 분명해질 것이다.
본 발명의 이들 및 다른 특징들이 이제 본 발명을 제한하는 것이 아닌 본 발명을 예시하도록 의도된 바람직한 실시형태들의 도면들을 참조하여 설명될 것이다. 그 도면들은 예시적인 목적들로 대폭 단순화되고 반드시 스케일대로인 것은 아니다.
도 1은 본 발명의 실시형태에서 사용가능한, 유전체 막을 성막하기 위한 PEALD 장치의 개략도이다.
도 2(a)는 테트라키스(디메틸아미노)티타늄 (TDMAT) 의 화학식을 나타낸다.
도 2(b)는 본 발명의 실시형태에 따라 수소 플라즈마를 이용하여 형성된 결정질 TiN 막의 매트릭스 구조를 나타내는 개략적인 예시이고, 여기서 N-C 결합들이 끊어지고, 탄소들이 매트릭스에 들어가지 않는다.
도 2(c)는 수소 플라즈마를 사용하지 않고서 형성된 비정질 TiCN 막의 매트릭스 구조를 나타내는 개략적인 예시이고, 여기서 N-C 결합들이 유지되고, 탄소들이 매트릭스내에 들어가고 결정질 구조의 형성을 방해한다.
도 3은, 본 발명의 실시형태에 따른, 막이 아나타제 타입 결정들을 형성한다는 것을 나타내는, 어닐링된 막의 X-선 회절 분석의 결과를 나타낸다.
도 4는 본 발명의 실시형태에 따른 컨포멀 TiCN 막의 단면도의 투과 전자 현미경 (TEM) 사진이다.
도 5는 본 발명의 실시형태에 따른 반응물 가스로서 암모니아를 사용하여 유전체 막을 성막하기 위한 PEALD 방법의 프로세스 단계들을 나타낸다.
도 6은 본 발명의 일부 실시형태에 따른 유전체 막을 성막하기 위한 PEALD 방법의 프로세스 단계들을 나타낸다.
도 7은 본 발명의 실시형태에 따른 TiN 및 TiO 막들 또는 TiCN 및 TiO 막들로 이루어지는 TiON 또는 TiOCN 막의 라미네이트 구조를 나타내는 개략도이다.
도 8은 본 발명의 일부 실시형태들에 따른 TiN 막, TiO 막, 및 TiON 막의 건식 식각 레이트들을 나타내는 그래프이다.
도 9는 본 발명의 일부 실시형태들에 따른 TiN 막, TiO 막, 및 TiON 막의 습식 식각 레이트들을 나타내는 그래프이다.
도 10은 본 발명의 일부 실시형태들에 따른 TiCN 막들의 푸리에 변환 적외 (FT-IR) 스펙트럼들을 나타낸다.
도 11은 본 발명의 일부 실시형태들에 따른 TiCN 막들의 푸리에 변환 적외 (FT-IR) 스펙트럼들을 나타낸다.
도 12는 본 발명의 일부 실시형태들에 따른 TiN/TiCN 막의 결정질에 관한 막 응력의 범위를 나타내는 그래프이다.
도 13은 본 발명의 일부 실시형태들에 따른 TiCN 막들의 푸리에 변환 적외 (FT-IR) 스펙트럼들을 나타낸다.
도 14는: 본 발명의 일부 실시형태들에 따른 (a) TiCN 막 (탄소 함량: 6%) 의 X-선 회절 분석의 결과, 그리고 (b) TiCN 막 (탄소 함량: 16%) 의 X-선 회절 분석의 결과를 나타낸다.
도 15는: 본 발명의 일부 실시형태들에 따른 (a) TiCN 막들의 푸리에 변환 적외 (FT-IR) 스펙트럼들, 그리고 (b) TiCN 막들의 X-선 회절 분석의 결과들을 나타낸다.
도 16은: 본 발명의 일부 실시형태들에 따른 (a) TiCN 막들의 푸리에 변환 적외 (FT-IR) 스펙트럼들, 그리고 (b) TiCN 막들의 X-선 회절 (XRD) 분석의 결과들을 나타낸다.
도 17 은 본 발명의 일부 실시형태들에 따른, 막 응력과 수소 흐름 사이의 관계를 나타내는 그래프와, 막 응력과 RF 전력 사이의 관계를 나타내는 그래프를 도시한다.
본 개시에서, “가스” 는 증기화된 고체 및/또는 액체를 포함할 수도 있고 단일 가스 또는 가스들의 혼합물에 의해 구성될 수도 있다. 마찬가지로, 관사 "a" 또는 "an" 는 하나의 종을 지칭하거나 또는 다수의 종들을 포함하는 속 (genus) 을 지칭한다. 본 개시에서, 전구체 가스 및 반응물 가스 등의 프로세스 가스는 샤워헤드를 통해 반응 챔버에 도입되고 활성 가스 및 비활성 가스로 구성되거나, 본질적으로 이루어지거나, 또는 이루어질 수도 있다. 즉, 본 개시에서, "전구체 가스" 는 희가스 등의 캐리어 가스와 도입될 수도 있고, 마찬가지로 "반응물 가스" 는 희가스 등의 캐리어 가스와 도입될 수도 있다. 대안적으로, 전구체 또는 반응물 가스는 활성 가스로 이루어질 수도 있다. 희가스는 퍼지 가스로서 샤워헤드를 통해 간헐적으로 또는 연속적으로 도입될 수 있다. 프로세스 가스외의 가스, 즉 샤워헤드를 통과하지 않고서 도입된 가스는, 예를 들어 ,반응 공간을 실링하는데 사용될 수도 있고, 이는 희가스와 같은 시일 가스를 포함한다. 일부 실시형태들에서, "막" 은 실질적으로 핀홀들 없이 두께 방향에 수직한 방향으로 연속적으로 연장되어 전체 타겟 또는 관련 표면 (concerned surface) 을 커버하는 층, 또는 간단히 타겟 또는 관련 표면을 커버하는 층을 지칭한다. 일부 실시형태들에서, "층" 은, 표면 상에 형성된 특정 두께를 갖는 구조물 또는 막의 동의어를 지칭한다. 막 또는 층은, 특정 특성들을 갖는 별개 (discrete) 단일 막 또는 층, 또는 다수의 막들 또는 층들에 의해 구성될 수도 있고, 인접하는 막들 또는 층들 사이의 경계 (boundary) 는 명확 (clear) 하거나 또는 그렇지 않을 수도 있고, 물리적, 화학적 및/또는 임의의 다른 특성들, 형성 프로세스들 또는 시퀀스, 및/또는 인접하는 막들 또는 층들의 기능들 또는 목적들에 기초하여 확립될 수도 있다. 또한, 본 개시에서, 변수의 임의의 2개 수들은 변수의 작업가능한 범위를 구성할 수 있는데, 그 작업가능한 범위는 일상적인 작업 (routine work) 에 기초하여 결정될 수 있기 때문이고, 나타낸 임의의 범위들은 종점 (endpoint) 들을 포함하거나 또는 제외할 수도 있다. 또한, 표시된 변수들의 임의의 값들은 ("약" 으로 표시되든지 또는 그렇지 않든지에 상관 없이) 정확한 값들 또는 근사 값들을 지칭할 수도 있고 등가물 (equivalent) 들을 포함할 수도 있고, 일부의 실시형태들에서는, 평균, 중간 (median), 대표, 다수 (majority) 등을 지칭할 수도 있다.
본 개시에서 조건들 및/또는 구조들이 명시되지 않는 경우에, 당업자는, 일상적인 실험의 문제로서, 본 개시를 고려하여, 그러한 조건들 및/또는 구조들을 손쉽게 제공할 수 있다.
모든 개시된 실시형태들에서, 실시형태에 사용된 임의의 요소는 그와 동등한 임의의 요소에 의해 치환될 수 있고, 의도된 목적들을 위해 여기에서 명시적으로, 필요적으로, 또는 내재적으로 개시된 것들을 포함한다. 또한, 본 발명은 장치 및 방법들에 동일하게 적용될 수 있다.
본 개시에서, 임의의 정의된 의미들은 일부 실시형태들에서 보통 및 관습적인 의미들을 반드시 제외하는 것은 아니다.
일부 실시형태들에서, 테트라키스(디메틸아미노)티타늄 (TDMAT) 또는 테트라키스(디에틸아미노)티타늄 (TDEAT) 을 사용하여 플라즈마 강화 원자층 증착 (PEALD) 에 의해 기판 상에 Ti 함유 막을 형성하는 방법은 : (i) 기판이 배치되는 반응 공간에 펄스로 TDMAT 및/또는 TDEAT 를 도입하는 단계; (ii) 반응 공간에 NH3 무함유 반응물 가스를 연속적으로 도입하는 단계; (iii) 반응 공간에 펄스로 RF 전력을 인가하는 단계로서, TDMAT 및/또는 TDEAT 의 펄스 그리고 RF 전력의 펄스는 오버랩되지 않는, 상기 인가하는 단계; 및 (iv) 기판 상에 Ti 함유 막을 성막하기 위하여 (i) 내지 (iii) 단계들을 반복하는 단계를 포함한다.
일부 실시형태들에서, TDMAT 및 TDEAT 는 이들에 동등한 유도체들을 포함할 수도 있고, 여기에서 메틸 기들 및 에틸 기들은 교환가능하게 사용될 수 있다.
위에서, "연속적으로" 는, 진공 파괴 없이, 타임라인으로서 중단 없이, 처리 조건들을 변경함이 없이, 또는 일부의 실시형태들에서는 그 직후에를 지칭한다.
일부 실시형태들에서, NH3 무함유 반응물 가스는 H2 및/또는 N2이다. 일부 실시형태들에서, NH3 무함유 반응물 가스는, 질소, 산소 및 탄소 중 어느 것도 함유하지 않는다. 일부 실시형태들에서, NH3 무함유 반응물 가스는 H2 및 희가스 (예를 들어, He, Ar) 로 이루어지고, 이에 의해 (iv) 단계에서 Ti 함유 막으로서 TiN 결정질 막을 성막한다. 대안적으로, 일부 실시형태들에서, NH3 무함유 반응물 가스는 H2, N2, 및 희가스 (예를 들어, He, Ar) 로 이루어지고, 이에 의해 (iv) 단계에서 Ti 함유 막으로서 TiCN 비정질 막을 성막한다. 대안적으로, 일부 실시형태들에서, NH3 무함유 반응물 가스는 산소를 포함하고, 이에 의해 (iv) 단계에서 Ti 함유 막으로서 TiO 막을 형성한다. 일부 실시형태들에서, 그 방법은, (iv) 단계에서 Ti 함유 막으로서 아나타제 결정을 갖는 TiO 막을 형성하기 위하여, (iv) 단계 후에 산소의 분위기에서 기판 상에 Ti 함유 막을 어닐링하는 단계를 더 포함하고, 여기서 비정질 TiO 는 아나타제 결정들로 변화된다. 아나타제 결정을 갖는 TiO 막은 광촉매 활성을 나타낸다. 어닐링 후에, TiO 막의 표면은 친수성을 획득하고, 이는 TiO 광촉매에 고유한 초친수성이다.
일부 실시형태들에서, NH3 무함유 반응물 가스는 산소를 함유하지 않는 반응물 가스 그리고 산소를 함유하는 반응물 가스로 구성되고, (iv) 단계에서, (i) 내지 (iii) 단계들이 반복될 때, NH3 무함유 산소 무함유 반응물 가스 및 NH3 무함유 산소 함유 가스가 설정된 인터벌에서 교번하여 사용된다. 예를 들어, NH3 무함유 산소 무함유 반응물 가스는 질소 가스 없이 수소 가스이고, NH3 무함유 산소 함유 가스는 산소 가스이고, 이에 의해 설정된 인터벌에서 교번하여 성막된 TiO 막들과 TiN 막들로 이루어지는 TiON 막을 형성한다. 대안적으로, 일부 실시형태들에서, NH3 무함유 산소 무함유 반응물 가스는 수소 가스 및 질소 가스이고, NH3 무함유 산소 함유 가스는 산소 가스이고, 이에 의해 설정된 인터벌에서 교번하여 성막된 TiO 막들과 TiCN 막들로 이루어지는 TiOCN 막을 형성한다. 도 7은 본 발명의 실시형태에 따른 기판 (71) 상에 형성된 TiN 및 TiCN 막들 (72, 74, 76, 78) 및 TiO 막들 (73, 75, 77) 로 이루어지는 TiON 또는 TiOCN 막 (79) 의 라미네이트 구조를 나타내는 개략도이다. 본 실시형태에서, 먼저, TiN 또는 TiCN 서브 층을 형성하는 사이클은 5 내지 100번 수행되어 기판 (71) 상에 TiN 또는 TiCN 막 (72) 을 형성하고, 다음으로, TiO 서브 층을 형성하는 사이클은 한번 수행되어 TiN 또는 TiCN 막 (72) 상부에 TiO 막 (73) 을 형성한다. 마찬가지로, TiN 또는 TiCN 막 (74), TiO 막 (75), TiN 또는 TiCN 막 (76), TiO 막 (77), 및 TiN 또는 TiCN 막 (78) 이 이 순서대로 성막되어 라미네이트 (79) 를 형성한다. 일부 실시형태들에서, TiO 막을 형성하는 사이클의 수는 TiN 또는 TiCN 막을 형성하는 사이클들의 수보다 현저히 더 적다, 즉 TiO 막의 두께는 TiN 또는 TiCN 막의 두께보다 현저히 더 작다. 일부 실시형태들에서, 라미네이트들 (각 라미네이트는, 하나 이상의 TiN/TiCN 서브 층들로 구성될 수도 있는 단일 TiN/TiCN 막, 및 하나 이상의 TiO 서브 층들로 구성될 수도 있는 단일 TiO 막에 의해 구성됨) 의 수는 약 3 내지 200, 통상적으로 5 내지 100이다. 일부 실시형태들에서, 하나의 라미네이트에서 TiO 막을 형성하는 사이클들의 수 대 TiN/TiCN 막을 형성하는 사이클들의 수의 비는 1:1 내지 1:200, 통상적으로 1:3 내지 1:100, 보다 통상적으로는 1:5 내지 1:25 일 수도 있다. 또한, 도 5는 반응물 가스로서 암모니아를 사용하여 유전체 막을 성막하기 위한 PEALD 방법의 프로세스 단계들을 나타낸다. 도 6은 본 발명의 일부 실시형태에 따른 유전체 막을 성막하기 위한 PEALD 방법의 프로세스 단계들을 나타낸다. 도 6에 예시된 실시형태들에서, 암모니아가 반응물 가스로서 사용되지 않았기 때문에, 반응물 가스 (질소, 수소, 및/또는 산소) 가 연속적으로 공급될 수 있고, 또한 전구체 피드의 펄스와 RF 전력 인가의 펄스 사이의 퍼지 가스로서 기능할 수 있다. 위에서, 반응물 가스는 캐리어 가스로서 사용되는 희가스 (미도시) 와 함께 공급된다. 대조적으로, 도 5에서, 암모니아가 반응물 가스로서 사용되었기 때문에, 반응물 가스는 전구체 피드의 펄스와는 상이한 펄스로 공급되야 하고, 이는 서로 오버랩되지 않아야 하며, 더 복잡한 제어 시스템을 필요로 한다.
본 개시에서, TiN, TiON, TiCN, TiOCN, TiO 막들, 및 다른 Ti 함유 막은, 매트릭스들이 실질적으로 또는 대부분 위에 나타낸 원소들로 구성되지만, 불순물, 비실질적인 원소들 이를테면 수소, 그리고 비실질적인 양의 재료 원소들을 제외하지 않은 막들을 지칭하거나, 또는 주로 그 나타낸 원소들에 의해 특성화되는 막들, 그 나타낸 원소들에 의해 표현되는 막들, 또는 당업자가 그렇게 인식하는 막들을 지칭한다.
일부 실시형태들에서, TiN 막은 아래의 표 1에 나타낸 조건들하에서 형성될 수 있다. ALD 는 자기 제한 흡착 반응 프로세스이므로, 성막되는 전구체 분자들의 양은 반응 표면 사이트들의 수에 의해 결정되고, 포화 후의 전구체 노출과 관계 없고, 전구체의 공급은, 그에 의해 반응 표면 사이트들이 사이클마다 포화되도록 한다.
Figure pat00001
일부 실시형태들에서, TiCN 막은 아래의 표 2에 나타낸 조건들하에서 형성될 수 있다.
Figure pat00002
일부 실시형태들에서, TiON 막은 아래의 표 4에 나타낸 조건들하에서 형성될 수 있다.
Figure pat00003
일부 실시형태들에서, TiOCN 막은 아래의 표 5에 나타낸 조건들하에서 형성될 수 있다.
Figure pat00004
일부 실시형태들에서, Ti 함유 막의 두께는, 막의 조성, 막의 의도된 용도 등에 따라, 약 0.3nm 내지 약 60nm, 통상적으로 약 0.06nm 내지 약 300nm 의 범위에 있을 수도 있다.
일부 실시형태들에서, TiO 막은 아나타제 결정들을 형성하기 위하여 아래 표 6에 나타낸 조건들하에서 어닐링을 받는다.
Figure pat00005
어닐링을 수행하는 것에 의해, 아나타제 타입 결정들, 루틸 타입 (rutile-type) 결정들, 또는 브루카이트 (brookite-type) 타입 결정들이, 어닐링 온도에 따라, 형성될 수 있다. 예를 들어, 막이 약 600℃에서 어닐링될 때, 아나타제 타입 결정들이 형성된다. 어닐링을 위해, 산화 분위기를 제공하는 한, 임의의 가스가 사용될 수 있다.
일부 실시형태들에서, Ti 함유 막은 막 응력이 -2,500 MPa 내지 800 MPa 이다. 도 12는 본 발명의 일부 실시형태들에 따른 TiN/TiCN 막의 결정질에 관한 막 응력의 범위를 나타내는 그래프이다. 도 12에 나타낸 바처럼, 막이 매우 결정질일 때, 즉 낮은 탄소 함량을 가질 때, 막은 높은 압축 응력을 갖고, 막이 전체적으로 비정질일 때, 막은 압축 응력이 약 -400 MPa이다. 막의 탄소 함량이 약 4% 와 약 9% 사이일 때, 막은 막에 포함된 결정 구조의 타입들에 따라, 인장 응력을 가질 수 있다. 즉, 막 응력은 2개 이상의 타입의 결정 구조에 관련되는 것으로 보이고, 결정 구조들의 비율에 따라, 막은 높은 막 응력 내지 낮은 막 응력을 나타낸다. 하지만, 막의 탄소 함량이 약 4% 보다 적거나 또는 약 9% 보다 많을 때, 인장 응력을 나타내는 결정 구조들을 형성하기 어려운 것으로 보인다. 일부 실시형태들에서, 막의 탄소 함량이 약 6% 일 때, 막은 최고 인장 응력, 약 800 MPa 를 갖는다. 막의 최저 압축 응력은 약 -2,500 MPa 이하일 수도 있고, 막의 탄소 함량이 검출가능하지 않을 때 (ND), 막은 최저 압축 응력을 나타낼 수도 있다. 막의 탄소 함량이 약 6% 보다 많을 때, 막은 최저 압축 응력을 나타내지 않을 수도 있다.
막의 결정질은 막 형성 파라미터들을 변경하는 것에 의해 조정될 수 있다. 몇몇 실시형태들에서, 그 방법은 : (a) (ii) 단계에서 반응물 가스로서 사용된 H2 의 참조 유량 (reference flow rate), (iii) 단계에서 사용된 참조 RF 전력, 및 (i) 내지 (iii) 전체에 걸친 참조 성막 온도를 포함하는 성막 조건들하에서 (i) 내지 (iv) 단계들에 의해 성막되는 TiN 결정질 막의 막 응력보다 더 큰, Ti 함유 막을 위한 타겟 막 응력을 설정하는 단계; 및 (b) (ii) 단계에서 반응물 가스로서 사용되는 H2 의 유량, (iii) 단계에서 사용되는 RF 전력, 및 (i) 내지 (iii) 단계 전체에 걸친 성막 온도를 설정하는 단계로서, H2 의 유량, RF 전력 및 성막 온도 중 하나 이상만이 막 응력을 변경하기 위한 제어 파라미터로서 사용되고, H2 의 참조 유량, 참조 RF 전력, 및 참조 성막 온도와는 상이한, 상기 설정하는 단계, 다음으로 Ti 함유 막을 성막하기 위해 (i) 내지 (iv) 단계들을 수행하는 단계를 더 포함한다. 일반적으로, RF 전력이 더 높아질 수록, 막 응력이 더 낮아지고, 온도가 더 높아질 수록, 막 응력이 더 높아지며, 수소 함량이 더 높아질 수록, 막 응력이 더 높아진다. 제어 파라미터들의 참조 값들을 참조하여, 제어 파라미터들을 조정함으로써, Ti 함유 막이 인장 막 응력을 갖도록 구성될 수 있다. 예를 들어, 인장 막 응력을 제공하기 위하여, H2 의 유량은 TiN 결정질 막에 사용되는 H2 의 참조 유량보다 더 낮게 설정될 수 있거나, RF 전력은 TiN 결정질 막에 사용되는 참조 RF 전력보다 더 낮게 설정될 수 있거나, 및/또는 성막 온도는 TiN 결정질 막에 사용되는 참조 성막 온도보다 더 높게 설정될 수 있다. 일부 실시형태들에서, Ti 함유 막이 약 4% 내지 약 9% 탄소를 함유할 때, 막은 인장 막 응력을 갖는다.
막 응력은 막에서 결합 상태에 따라 달라진다. 일부 실시형태들에서, Ti 함유 막은 푸리에 변환 적외 분광 (FT-IR) 그래프에서 2,000 cm-1 및 1,400 cm-1 에서 피크들을 나타낸다. 일반적으로 2,000 cm-1 에서의 피크는 인장 응력을 나타내는 반면, 1,400 cm-1 에서의 피크는 압축 응력을 나타낸다. 이들 응력들의 합이 막의 응력을 나타낸다. 2,000 cm-1 및 1,400 cm-1 에서 피크가 없으면, 막은 강한 압축 응력을 나타내며, 이는 막이 TiN 결정들에 의해 구성됨을 나타낸다. 반응물 가스로서 사용된 수소 가스의 흐름이 증가될 때, 먼저, 1,400 cm-1에서의 피크가 사라지고, 막은 인장 응력을 갖는 막이 된다. 이 상태에서, RF 전력이 증가될 때, 2,000 cm-1에서의 피크가 사라지고, 이는 막의 결정질이 증가하고 막은 강한 압축 응력을 갖는 막이 됨을 나타낸다. 따라서, 2개 파라미터들 (수소 가스 흐름 및 RF 전력) 을 조정함으로써, FT-IR 그래프에서 피크들이 조절될 수 있다; 즉 막 응력이 제어될 수 있다. 어떤 결합이 각 피크에 대응하는지는 알려져 있지 않지만, 2,000 cm-1 및 1,400 cm-1 에서의 피크들 중 어느 하나가 감소될 때, 막에서 탄소 함량이 감소되기 때문에 그 피크들은 탄소에 관련되는 것으로 예상된다. 일부 실시형태들에서, FT-IR 그래프에서 1,400 cm-1 에서의 피크의 높이에 기초하여, 수소 흐름과 막 응력 사이의 관계가 결정될 수 있다. 수소 흐름 및 RF 전력을 조작함으로써 1,400 cm-1 에서의 피크가 2,000 cm-1 에서의 피크보다 더 용이하게 사라질 수 있다. 일부 실시형태들에서, 2,000 cm-1에서의 피크는 막 형성을 위한 온도에 의해 제어될 수 있다. 온도가 높을 때, 2,000 cm-1에서의 피크가 높아진다.
일부 실시형태들에서, 반응물 가스로서 수소를 증가하게 도입함으로써, 1,400 cm-1 에서의 피크가 낮아지고, 2,000 cm-1 에서의 피크만이 유지되고, 이에 의해 결과적인 막을 인장성으로 만든다. 이 상황에서, RF 전력을 증가시킴으로써, 2,000 cm-1 에서의 피크가 또한 사라지고, 이에 의해 결과적인 막을 압축성으로 만들고, 그 막은 TiN 결정들에 의해 구성된다. 수소 가스 흐름을 사용하지 않고서 RF 전력이 증가될 때, 1,400 cm-1 에서의 피크는 더 높아지고, 막 응력은 매우 압축성이 된다. 일부 실시형태들에서, 1,400 cm-1 에서의 피크는 다수의 결합들에 대응한다, 즉 압축 응력 및 인장 응력을 나타내는 다수의 피크들이 집합적으로 1,400 cm-1에서의 피크를 구성하고, 수소 가스 흐름이 증가될 때, 먼저 인장 응력을 나타내는 피크가 사라지고, 다음으로 압축 응력을 나타내는 피크가 사라지며, 이로써, 1,400 cm-1에서의 피크가 존재하지 않게 하고 인장 응력을 나타내는 2,000 cm-1 에서의 피크만을 남긴다. 결과적으로, 인장 응력을 갖는 막이 획득된다. 일부 실시형태들에서, RF 전력을 증가시키는 것은, RF 전력 인가의 지속시간을 늘리는 것과 대등하고, 그것들은 교환가능하게 사용될 수 있다.
TDMAT 및 TDEAT의 구조적으로 중요한 피쳐는, 티타늄 상의 Ti-C 및 Ti-H 와 같은 결합들이 있는 것이 아니라, Ti의 모든 4개 핸드 (hand) 들이 Ti-N 결합이라는 것이다. 도 2(a)는 테트라키스(디메틸아미노)티타늄 (TDMAT) 의 화학식을 나타낸다. 이 피쳐에 기인하여, 분자 구조에 포함된 질소들은 효율적으로 막에 포함될 수 있고, 디메틸아민의 형태로 존재하는 탄소들이 용이하게 수소 플라즈마에 의해 제거될 수 있고, 이에 의해 불순물인 탄소를 제거하고 TiN의 결정화를 증진시킨다. 도 2(b)는 본 발명의 실시형태에 따라 수소 플라즈마를 이용하여 형성된 결정질 TiN 막의 매트릭스 구조를 나타내는 개략적인 예시이고, 여기서 N-C 결합들은 끊어지고, 탄소들이 매트릭스내에 들어가지 않는다. 수소 플라즈마에 의한 탄소들의 감소 (reduction) 는 막의 매트릭스 구조를 결정질로 만들고, 매트릭스 구조를 형성하기 위한 질소들이, 반응물 가스로부터가 아니라, 전구체로부터 제공된다. 도 2(c) 는 수소 플라즈마를 사용하지 않고서 형성된 비정질 TiCN 막의 매트릭스 구조를 나타내는 개략적인 예시이고, 여기서 N-C 결합들이 유지되고, 탄소들이 매트릭스내에 들어가고 결정질 구조의 형성을 방해한다. 다른 한편, 수소 플라즈마의 효과를 약화시키고 반응물 가스로서 질소를 사용함으로써, 탄소들은 막에 존재할 수 있고, 이에 의해 막에서 불순물인 탄소를 남기고 따라서 TiCN 에 의해 구성되는 비정질 막을 형성한다.
또한, TDMAT 및/또는 TDEAT의 사용과 조합하여, 기판의 표면 상에 흡착된 재료가 처리되는 표면 플라즈마 처리 방법인 PEALD에 의해, 가스 상 (gas phase) 에서 Ti 와 디메틸아민 등의 해리된 리간드들의 재결합에 기인하여 Ti-C 및 Ti-H 결합들을 형성하는 것에 의해 막 품질을 열화시킬 위험성이 기상 반응 방법인 PECVD 와 비교하여 감소될 수 있고, 분자 구조에서 Ti-N 결합들을 자르지 않고서 탄소들이 효율적으로 제거될 수 있다. 상기한 바는 전구체로서 TDMAT 및 TDEAT 의 우월한 특징들이고, 다양한 타입의 막의 성막이 보다 용이해지는 이유이다.
또한, Ti의 특징으로서, 그것은 산소에 타이트하게 결합될 수 있고, 따라서, Ti 의 산화는 매우 용이하고, 이에 의해 TiO 막을 용이하게 형성한다. 하지만, Ti 와 산소 사이의 타이트한 결합은 탄소 및 질소 등의 다른 원소들의 제거에 기여하고, 따라서, 산소가 TiO 막을 형성하는데 사용될 때, 탄소 및 질소는 산소에 의해 제거되고 막 내에 존재하지 않는다. 따라서, 일부 실시형태들에서, 산소 그리고 질소 및/또는 탄소를 막에서 동시에 남길 때, 즉, TiON 또는 TiOCN 막을 형성할 때, 그것은, TiO 막들 및 TiN 또는 TiCN 막들이 교번하여 라미네이트되는 라미네이션 방법을 사용하는 것에 의해 형성될 수 있다.
일반적으로, TiN의 결정이 전도성일 때, 그리고 TiN의 결정질이 향상될 때, 시트 저항은 낮아진다. 탄소 또는 산소가 막에 포함될 때, 결정질이 열화되고, 그 구조를 비정질로 만든다. 일부 실시형태들에서, 수소 가스 흐름 및 RF 전력을 제어함으로써, 막 응력 및 탄소 농도가 제어될 수 있고, 그에 의해 막의 결정질을 제어하고 시트 저항을 제어한다. 탄소 농도와 막 응력 사이에 관찰되는 강한 상관이 없지만, 탄소 농도가 극히 낮고 결정질이 높을 때, 막은 압축성으로 특성화된다. 이것은, 탄소 농도의 감소에 기인하여 결정질이 향상되고, 그에 의해 막을 압축성으로 만들기 때문일 수도 있다.
막 응력을 제어함에 있어서, 인장 응력을 갖는 막을 획득하기 위하여, 수소 공급 및 RF 전력을 조합하여 제어하는 것이 극히 중요하다. 인장 응력을 갖는 막을 형성하기 위해 설정된 것과 비교하여 수소 흐름이 너무 낮거나 RF 전력이 너무 높을 때, 막 응력은 압축 응력으로 변화하고, 따라서, 수소 흐름 및 RF 전력 양자 모두를 제어하는 것이 매우 중요하다.
TDMAT 는 암모니아와 동시에 공급될 수 없기 때문에, 암모니아가 막을 성막하는데 사용될 때, 전구체를 공급한 후에, 전구체로부터 암모니아로 가스를 전환시키는 단계가 필요하다. 하지만, 일부 실시형태들에서, 암모니아가 사용되지 않고, 따라서, 전구체를 공급한 후에 전환 단계는 필요하지 않고, 그에 의해 막 성장 레이트를 향상시킨다.
TiON 막들을 평가하는 동안에, NF3 에 의한 건조 식각 레이트 및 불화 수소에 의한 습식 식각 레이트가, 교번하여 라미네이트된 TiO 층들과 TiN 층들 사이의 경계에서 변화되는 것이 발견되었다. 즉, 라미네이트가 TiO 층들과 TiN 층들 사이에 경계를 가질 때, TiO 또는 TiN 단일 층과 비교하여, 라미네이트의 NF3 에 의한 건식 식각에 대한 저항이 높고, 라미네이트의 불화 수소에 의한 습식 식각 레이트에 대한 저항이 저하된다. 위의 상관은 TiO 층들과 TiN 층들 사이의 경계의 수가 증가할 때 향상된다. 즉, 경계의 수가 더 높아질 때, 건식 식각에 대한 저항이 더 높아지고 습식 식각에 대한 저항이 더 낮아진다.
일부 실시형태들에서, 막의 스텝 커버리지는 약 90% 내지 약 105%, 통상적으로 약 95% 이상이다.
그 실시형태들은 바람직한 실시형태들을 참조하여 설명될 것이다. 하지만, 본 발명은 그 바람직한 실시형태들에 한정되지 않는다.
도 1은, 바람직하게는 이하의 시퀀스들을 수행하도록 프로그램된 제어들과 함께, 본 발명의 일부 실시형태들에서 사용가능한, PEALD 장치의 개략도이다. 동 도에서, 반응 챔버 (3) 의 내부 (11) 에서 서로 대향하고 평행한 한 쌍의 도전성 평판 전극들 (4, 2) 을 제공하고, HRF 전력 (13.56 MHz 또는 27 MHz) (5) 및 5MHz 이하의 LRF 전력 (400 kHz~500 kHz) (50) 을 일측에 인가하고, 타측에 대해 전기 접지 (12) 하는 것에 의해, 플라즈마가 전극들 사이에서 여기된다. 온도 조절기가 하부 스테이지 (2) (하부 전극) 에 제공되고, 그 위에 놓여진 기판 (1) 의 온도는 주어진 온도로 일정하게 유지된다. 상부 전극 (4) 은 또한 샤워 플레이트의 역할을 하고, 반응 가스 및 희가스가 가스 흐름 제어기 (23), 펄스 흐름 제어 밸브 (31) 및 샤워 플레이트를 통해 반응 챔버 (3) 내에 도입된다. 추가적으로, 반응 챔버 (3) 에서, 배기 파이프 (6) 가 제공되고, 이를 통해 반응 챔버 (3) 의 내부 (11) 에 있는 가스가 배기된다. 추가적으로, 반응 챔버에는 반응 챔버 (3) 의 내부 (11) 로 시일 가스를 도입하기 위하여 시일 가스 흐름 제어기 (24) 가 제공된다 (반응 챔버의 내부에서 반응 구역 및 이송 구역을 분리시키기 위한 분리 플레이트가 동 도로부터 생략되어 있다).
당업자는, 그 장치가, 본원의 다른 곳에 기재된 성막 및 반응기 세정 프로세스들로 하여금 수행되게 하도록 프로그램되거나 또는 다른 방법으로 구성된 하나 이상의 제어기(들) (미도시) 를 포함한다는 것을 인식할 것이다. 제어기(들) 은 다양한 전력 소스들, 가열 시스템들, 펌프들, 로보틱스 및 가스 흐름 제어기들 또는 반응기의 밸브들과 연통되는데, 이는 당업자에 의해 인식될 것이다.
실시예
예 1 내지 6
Ti 함유 막들이, 도 6에 예시된 시퀀스 및 도 1에 예시된 장치를 사용하여 이하의 표 7 및 8에 나타낸 조건들하에서 패턴들 (종횡비: 2:1) 을 갖는 기판들 상에 성막되었다.
Figure pat00006
Figure pat00007
이렇게 획득된 막들이 평가되었고, 그 결과들은 표 9에 나타나 있다.
Figure pat00008
표 9에 나타낸 바처럼, TiN 막들이, 질소 흐름 없이 상대적으로 높은 흐름의 수소를 사용하여 (예 5), 그리고 상대적으로 높은 RF 전력으로 상대적으로 높은 흐름의 수소를 사용하여 (예 4) 형성되었다. 수소 흐름에 상대적으로 질소 흐름이 증가되었을 때, 막에서 탄소 함량이 증가되었고, 그에 의해 TiCN 막들 (예 1 내지 3) 을 형성한다. 예 1 내지 5에서, 각 막의 스텝 커버리지는 95% 이상이었고, 막 응력은 -3,800 MPa 과 +620 MPa 사이에서 변화되었고, 또한, 각 막은 습식 식각에 대해 높은 저항을 나타냈다. 예 6에서, 높은 품질의 TiO 막이 형성되었다. 도 4는, 예 3에서 형성된 컨포멀 TiCN 막의 단면도의 투과 전자 현미경 (TEM) 사진이다.
예 7-10
Ti 함유 막들이, 도 6에 예시된 시퀀스 및 도 1에 예시된 장치를 사용하여 표 8 및 이하의 표 10에 나타낸 조건들하에서 패턴들 (종횡비: 2:1) 을 갖는 기판들 상에 성막되었다.
Figure pat00009
이렇게 획득된 막들이 평가되었고, 그 결과들은 표 11 내지 13에 나타나 있다.
Figure pat00010
Figure pat00011
Figure pat00012
예 7 내지 9 에서, 경향으로서, RF 전력이 더 낮을 때, 그리고 온도가 더 높을 때, 탄소 함량이 더 높아졌다. 표 11 내지 13에 나타낸 바처럼, RF 전력을 감소시키고 온도를 증가시킴으로써, 막의 탄소 함량이 감소되었고, 막 응력이 압축성이 되었다 (예 7 내지 9). 예 7 내지 9에서, 각 막의 스텝 커버리지는 약 100% 였고, 막 응력은 약 -4,500 MPa 과 +2,000 MPa 사이에서 변화되었고, 또한, 각 막은 습식 식각에 대해 높은 저항을 나타냈다. 예 10에서, 높은 품질의 TiO 막이 형성되었고, 이는 RF 전력 및 온도의 변화에 의해 현저히 영향받지 않았다.
예 11-16
라미네이트들에 의해 구성된 Ti 함유 막들이, 도 6에 예시된 시퀀스 및 도 1에 예시된 장치를 사용하여 이하의 표 14 및 15에 나타낸 조건들하에서 패턴들 (종횡비: 2:1) 을 갖는 기판들 상에 성막되었다.
Figure pat00013
Figure pat00014
예 16에서, 각 TiCN 층이 예 1에 있는 것과 같은 조건하에서 형성되었다.
이렇게 획득된 막들이 평가되었고, 그 결과들은 표 16에 나타나 있다.
Figure pat00015
표 16에 나타낸 바처럼, 예 13-16 에서 라미네이트들의 습식 및 건식 식각 레이트 성질들은 예 11 및 12에 있는 2개의 베이스 막들의 그러한 것들간의 범위내에 속하는 것이 아니라 그들의 양자 모두와는 상이했다. TiN 막과 TiO 막 사이의 경계의 수가 증가될 때, 건식 식각 레이트가 감소되는 반면에, 습식 식각 레이트는 증가되었다 (예 13-15).
예 17-19
막들은 예 11, 12, 및 14 에서와 동일한 조건들하에서 형성되었고, 건식 식각 레이트 및 습식 식각 레이트가 각 막에 대해 측정되었다. 도 8은 예 18에서 형성된 TiN 막, 예 17에서 형성된 TiO 막, 그리고 예 19에서 형성된 TiON 막의 건식 식각 레이트들을 나타내는 그래프이다. TiON 막의 건식 식각 레이트는 TiN 막의 그것 및 TiO 막의 그것보다 두드러지게 낮았다. 도 9는 예 18에서 형성된 TiN 막, 예 17에서 형성된 TiO 막, 그리고 예 19에서 형성된 TiON 막의 습식 식각 레이트들을 나타내는 그래프이다. TiON 막의 습식 식각 레이트는 TiN 막의 그것 및 TiO 막의 그것보다 두드러지게 더 높았다.
예 20
막 형성 프로세스 후에, 막이 약 2시간 동안 약 600℃ 에서 어닐링된 것을 제외하고는, 예 6에서와 동일한 조건하에서 형성되었다. 도 3은, 막이 아나타제 타입 결정들을 형성한다는 것을 나타내는, 어닐링된 막의 X-선 회절 분석의 결과를 나타낸다.
예 21-32
Ti 함유 막들이, 도 6에 예시된 시퀀스 및 도 1에 예시된 장치를 사용하여 표 8 및 이하의 표 17에 나타낸 조건들하에서 패턴들 (종횡비: 2:1) 을 갖는 기판들 상에 성막되었다.
Figure pat00016
이렇게 획득된 막들이 평가되었고, 그 결과들은 표 18에 나타나 있다.
Figure pat00017
도 10은 예 21 내지 25에서 형성된 TiCN 막들의 푸리에 변환 적외 (FT-IR) 스펙트럼들을 나타내고, 여기서, 예 21 은 참조예 (“POR”, process of record) 이다. 막 응력은 막에서 결합 상태에 따라 달라졌다. 일반적으로 2,000 cm-1 에서의 피크는 인장 응력을 나타내는 반면, 1,400 cm-1 에서의 피크는 압축 응력을 나타낸다. 이들 응력들의 합이 막의 응력을 나타낸다. 반응물 가스로서 사용된 수소 가스의 흐름이 예 21 내지 23에서 감소되었을 때, 1,400 cm-1에서의 피크는 증가되었고, 막은 압축성이 되었다. 예 21에서, RF 전력이 예 24에서 증가되었을 때, 2,000 cm-1 에서의 피크는 감소되었고, 이는 막의 결정질이 증가되었고, 막이 매우 압축성이 되었음을 나타낸다.
도 11은 예 26 내지 29에서 형성된 TiCN 막들의 푸리에 변환 적외 (FT-IR) 스펙트럼들을 나타낸다. 온도가 예 26 내지 29에서 더 높았을 때, 2,000 cm-1에서의 피크가 더 높았다. 2,000 cm-1에서의 피크는 막 형성을 위한 온도에 의해 제어될 수 있다.
도 13은 예 30 내지 32에서 형성된 TiCN 막들의 푸리에 변환 적외 (FT-IR) 스펙트럼들을 나타낸다. RF 전력이 예 30 내지 32에서 수소 가스 흐름을 사용하지 않고서 증가되었을 때, 1,400 cm-1에서의 피크는 더 높아졌고, 2,000 cm-1에서의 피크는 더 낮아졌고, 막 응력이 매우 압축성이 되었다.
도 14는 : (a) 예 21에서 획득된 TiCN 막 (탄소 함량: 6%) 의 X-선 회절 분석의 결과, 그리고 (b) 예 23에서 획득된 TiCN 막 (탄소 함량: 16%) 의 X-선 회절 분석의 결과를 나타낸다. 도 14에서 (a) 에 나타낸 바처럼, 낮은 탄소 함량 (6%) 을 갖는 막은 결정질 (예 21) 인 반면에, 도 14에서 (b) 에 나타낸 바처럼, 높은 탄소 함량 (16%) 을 갖는 막은 비정질이었다 (예 23). 막을 구성하는 결정들은, 실질적으로 NaCl 과 동일한 fcc (면심 입방) 구조를 갖는 오스보나이트 TiN 이었다. 막은 다수의 결정들을 포함하지만, 그것들은 주로 (111) 면에서 성장했다.
도 15는 : (a) 예 21 내지 23에서 형성된 TiCN 막들의 푸리에 변환 적외 (FT-IR) 스펙트럼들, 및 (b) 예 21 내지 23에서 형성된 TiCN 막들의 X-선 회절 (XRD) 분석의 결과들을 나타낸다. 도 15에 있는 (a) 및 (b) 에 나타낸 바처럼, 1,400 cm-1에서의 피크가 감소되었을 때, XRD 에서 (111) 의 피크는 증가되었고, 이는 1,400 cm-1 에서의 피크가 (111) 결정면 상의 원자 배열과 연관되었다는 것을 나타낸다.
도 16은 : (a) 예 21 및 24에서 형성된 TiCN 막들의 푸리에 변환 적외 (FT-IR) 스펙트럼들, 및 (b) 예 21 및 24에서 형성된 TiCN 막들의 X-선 회절 (XRD) 분석의 결과들을 나타낸다. 도 16에 있는 (a) 및 (b) 에 나타낸 바처럼, 2,000 cm-1에서의 피크가 감소되었을 때, XRD 에서 (200) 의 피크는 증가되었고, 이는 2,000 cm-1 에서의 피크가 (200) 결정면 상의 원자 배열과 연관되었다는 것을 나타낸다. 일반적으로, (1,400 cm-1 및 2,000 cm-1 에서의) FT-IR 피크들이 감소되고, 막의 결정질은 향상된다.
예 33-40
Ti 함유 막들이, 도 6에 예시된 시퀀스 및 도 1에 예시된 장치를 사용하여 표 8 및 이하의 표 19에 나타낸 조건들하에서 패턴들 (종횡비: 2:1) 을 갖는 기판들 상에 성막되었다.
Figure pat00018
이렇게 획득된 막들이 평가되었고, 그 결과들은 도 17에 나타나 있다. 도 17 은 예 33 내지 36에서 막 응력과 수소 흐름 사이의 관계를 나타내는 그래프와, 예 37 내지 40에서 막 응력과 RF 전력 사이의 관계를 나타내는 그래프를 도시한다. 도 17에 나타낸 바처럼, 막 응력은 수소 흐름에 의해 제어되었고, 수소 흐름이 증가되었을 때, 막 응력은 인장성이 되었다 (예 35 및 36). 또한, RF 전력이 증가되었을 때, 막 응력이 압축성이 되었다 (예 40). 제어 파라미터들과 조합하여 수소 흐름 및 RF 전력을 사용함으로써, 막 응력이 약 -2,500 MPa 과 약 +1,000 MPa 사이에서 조정될 수 있다.
예 41-44
Ti 함유 막들이, 도 6에 예시된 시퀀스 및 도 1에 예시된 장치를 사용하여 표 8 및 이하의 표 20에 나타낸 조건들하에서 패턴들 (종횡비: 2:1) 을 갖는 기판들 상에 성막되었다.
Figure pat00019
이렇게 획득된 막들이 평가되었고, 그 결과들은 표 21에 나타나 있다.
Figure pat00020
예 41 내지 44에서, TDEAT 가 TDMAT 대신에 사용되었다. 표 21에 나타낸 바처럼, TDEAT 으로부터 도출된 막들에 대한 RF 전력의 효과는 TDMAT 으로부터 도출된 막들에 대한 그것과는 현저히 상이했다. 질소가 사용되었을 때 (예 41), RF 전력이 더 낮았을 때, 탄소 함량이 더 낮은 반면, 수소가 사용되거나 포함되었을 때 (예 42 및 43), RF 전력이 더 낮았을 때, 탄소 함량이 더 높았다. 산소가 사용되었을 때 (예 44), RF 전력은 탄소 함량에 영향을 미치지 않았다. 예 41 내지 44에서, 각 막의 스텝 커버리지는 약 100% 였고, 막 응력은 약 -5,000 MPa 과 +2,000 MPa 사이에서 변화되었고, 또한, 각 막은 습식 식각에 대해 높은 저항을 나타냈다. 예 41 내지 44에서, 막 응력은 400 W 과 600 W 사이의 RF 전력에서 극적으로 변화했고, 이는 결정질 상태가 RF 전력의 함수로서 변화했음을 나타낸다.
본 발명은 위의 언급된 실시형태들 및 다음을 포함하는 다른 다양한 실시형태들을 포함한다:
1) TDMAT 또는 TDEAT 를 사용하여 ALD 에 의해 Ti 함유 막을 형성하는 방법에 있어서, 그 방법은, 성막 온도가 50℃ 내지 250℃ (예를 들어, 150℃ 미만) 의 범위에 있고, 오로지 반응물 가스를 변경하는 것에 의해서, 95% 이상의 스텝 커버리지를 갖는 TiO, TiON, TiN, TiCN, 및 TiOCN 막들로부터 선택된 다양한 막들이 기판 상에 성막될 수 있는 것을 특징으로 한다. 특히, 그 방법은, TiN 막이 수소 함유 반응물 그리고 전구체 자체에 포함된 질소를 사용하여, 암모니아 및 질소 등의 질소 함유 반응물 없이, 성막될 수 있는 것을 특징으로 한다. 그 방법은 또한, 인장 응력을 갖는 TiN 막이, 막 응력을 제어하는 목적을 위해 RF 전력 제어 및 시트 저항 제어와 조합하여 반응물 가스로서 수소를 사용하여 성막될 수 있는 것을 특징으로 한다.
2) 1) 에 따른 방법은, TiCN 막이 반응물로서 질소 또는 수소와 조합한 질소일 때 성막되는 것을 특징으로 한다.
3) 1) 에 따른 방법에서, TiON 막 및 TiOCN 막이 각각 TiN 및 TiCN 에 의해 주로 구성되는 막들에 산소를 첨가하는 것에 의해 성막될 수 있고, 여기서 라미네이트 구조는 TiO 층 및 TiN 또는 TiCN 층을 교번하여 성막하는 것에 의해 형성된다. 특히, 그 방법은, TiON 막이 형성될 때, NF3를 사용한 건식 식각 레이트, 불화 수소를 사용한 습식 식각 레이트, 및 시트 저항이 제어될 수 있는 것을 특징으로 한다.
4) 1) 에 따른 방법은 TiO 막이 산소 뿐만 아니라 TDMAT 또는 TDEAT 를 사용하여 성막될 수 있는 것을 특징으로 한다.
5) 1)에 따른 방법에서, 반응물 가스는 TiO, TiON, TiN, TiCN, 또는 TiOCN 막을 형성하기 위하여 He, Ar, H2, N2, O2, N2O, 및 H2O 로 이루어지는 군으로부터 선택된 하나의 가스 또는 혼합된 가스이고, 여기에서 He의 유량은 0 내지 8000 sccm이고, Ar의 유량은 0 내지 8000 sccm이고, H2 의 유량은 0 내지 5000 sccm이고, N2 의 유량은 0 내지 500 sccm이고, O2 의 유량은 0 내지 5000 sccm이고, N2O 의 유량은 0 내지 5000 sccm이고, H2O 의 유량은 0 내지 5000 sccm이다.
6) 1)에 따른 방법에서, 성막되는 막의 조성들이 다음과 같이 제어될 수 있다: C: 0 원자% 내지 25 원자%; Ti: 10 원자% 내지 40 원자%; N: 0 원자% 내지 50 원자%; O: 0 원자% 내지 70 원자%; H: 3 원자% 내지 25 원자%.
7) 1) 에 따른 방법은, 막 응력이 다음 중 적어도 어느 하나를 변경함으로써 제어될 수 있다: 처리 온도, 반응물 가스로서 사용되는 H2 의 유량, 및 RF 전력.
8) 7) 에 따른 방법에서, 막 응력이 -5,000 MPa 내지 +1,500 MPa의 범위에서 제어될 수 있다.
9) 7)에 따른 방법에서, 기판 온도가 70℃ 내지 250℃ 이고, H2 의 유량이 50 sccm 내지 2,000 sccm이고, RF 전력이 150 W 내지 500 W인 조건들하에서 인장 응력이 획득될 수 있다.
10) 1) 에 따른 방법에서, 시트 저항이 10Ω/sq. 내지 5,000Ω/sq.의 범위에서 제어될 수 있다.
11) 3) 에 따른 방법에서, TiO 및 TiN 또는 TiCN 의 각 층의 두께는 0.06 nm 내지 10 nm의 범위에 있다.
12) 3) 에 따른 방법에서, TiO 층들의 수 대 TiN 또는 TiCN 층들의 수의 비는 예를 들어 두께가 20 nm 인 라미네이트에 대해 1:333 내지 333:1의 범위에 있다.
13) 3) 에 따른 방법은 전체 산소 농도가 TiO 층들의 두께를 감소 또는 증가시키는 것에 의해, 즉, TiN 또는 TiCN 층들의 두께를 상대적으로 증가 또는 감소시키는 것에 의해 제어될 수 있는 것을 특징으로 한다. 위의 방법은 또한, 탄소 농도 및 질소 농도를 제어하는데 사용될 수 있다.
14) 3) 에 따른 방법에서, TiON 막이 형성되고, NF3 를 사용한 그의 건식 식각 레이트 및 불화 수소를 사용한 습식 식각 레이트는, 라미네이트될 TiO 층들 및 TiN 층들의 수를 변경함으로써 제어될 수 있다.
15) 14) 에 따른 방법에서, NF3를 사용한 건식 식각 레이트는 TiO 단일 막의 그것의 0.03 배 내지 1 배로 제어될 수 있고, 라미네이트를 구성하는 층들의 수가 증가할 때, 건식 식각에 대한 저항이 향상, 즉, 증가된다.
16) 14) 에 따른 방법에서, 불화 수소를 사용한 습식 식각 레이트는 TiO 단일 막의 그것의 1 배 내지 10 배로 제어될 수 있고, 라미네이트를 구성하는 층들의 수가 증가할 때, 습식 식각에 대한 저항이 저하, 즉, 감소된다.
17) 1) 에 따른 방법은, 비정질 TiO 막이, 용이하게 산화되는 Ti의 특성에 기인하여 PEALD 에 의해서 뿐만 아니라 열적 ALD 에 의해서도 형성될 수 있는 것을 특징으로 한다.
18) 1)에 따른 방법은 TiO 막을 형성한 후에 400℃내지 1,000℃의 온도에서 열적 어닐링을 수행하는 것에 의해, 아나타제 타입 TiO2 결정들, 루틸 타입 TiO2 결정들, 또는 브루카이트 타입 TiO2 결정들이 어닐링 온도에 따라 형성될 수 있는 것을 특징으로 한다.
19) 18) 에 따른 방법은 아나타제 타입 TiO2 결정들 또는 루틸 타입 TiO2 결정들이 광촉매 활성을 나타내고, 또한 아나타제 타입 TiO2 결정들이 초친수성을 나타내는 것을 특징으로 한다.
20) 1) 에 따른 방법에서, RF 전력이 10 W 내지 2,000 W의 범위에 있다.
21) 1) 에 따른 방법은 막의 스텝 커버리지가 95% 이상인 것을 특징으로 한다.
22) 1) 에 따른 방법은 실리콘 기판을 유지하는 서셉터의 온도가 0℃ 내지 600℃의 범위에 있고, 장치에 설치된 플라즈마 생성기는 1 MHz 과 60 MHz 사이의 임의의 주파수를 갖는 것을 특징으로 한다.
당업자는, 본 발명의 사상으로부터 이탈함이 없이 수많은 그리고 다양한 변경들이 이루어질 수 있다는 것을 이해할 것이다. 그러므로, 본 발명의 형태들은 단지 예시적이고 본 발명의 범위를 제한하도록 의도된 것이 아니라는 것이 분명히 이해되야 한다.

Claims (18)

  1. 테트라키스(디메틸아미노)티타늄 (TDMAT) 또는 테트라키스(디에틸아미노)티타늄 (TDEAT) 을 사용하여 플라즈마 강화 원자층 증착 (PEALD) 에 의해 기판 상에 Ti 함유 막을 형성하는 방법으로서,
    (i) 기판이 배치되는 반응 공간에 펄스로 TDMAT 및/또는 TDEAT 를 도입하는 단계;
    (ii) 상기 반응 공간에 NH3 무함유 반응물 가스를 연속적으로 도입하는 단계;
    (iii) 상기 반응 공간에 펄스로 RF 전력을 인가하는 단계로서, TDMAT 및/또는 TDEAT 의 펄스 그리고 RF 전력의 펄스는 오버랩되지 않는, 상기 인가하는 단계; 및
    (iv) 상기 기판 상에 Ti 함유 막을 성막하기 위하여 (i) 내지 (iii) 단계들을 반복하는 단계를 포함하는, Ti 함유 막을 형성하는 방법.
  2. 제 1 항에 있어서,
    상기 NH3 무함유 반응물 가스는 H2 및/또는 N2인, Ti 함유 막을 형성하는 방법.
  3. 제 1 항에 있어서,
    상기 NH3 무함유 반응물 가스는 질소를 함유하지 않거나 또는 산소를 함유하지 않는, Ti 함유 막을 형성하는 방법.
  4. 제 1 항에 있어서,
    상기 NH3 무함유 반응물 가스는 산소를 포함하는, Ti 함유 막을 형성하는 방법.
  5. 제 2 항에 있어서,
    상기 NH3 무함유 반응물 가스가 H2 및 희가스로 이루어짐으로써, (iv) 단계에서 상기 Ti 함유 막으로서 TiN 결정질 막을 성막하는, Ti 함유 막을 형성하는 방법.
  6. 제 2 항에 있어서,
    상기 NH3 무함유 반응물 가스가 H2, N2 및 희가스로 이루어짐으로써, (iv) 단계에서 상기 Ti 함유 막으로서 TiCN 비정질 막을 성막하는, Ti 함유 막을 형성하는 방법.
  7. 제 1 항에 있어서,
    상기 Ti 함유 막은 막 응력이 -2,500 MPa 내지 800 MPa 인, Ti 함유 막을 형성하는 방법.
  8. 제 1 항에 있어서,
    (ii) 단계에서 상기 반응물 가스로서 사용된 H2 의 참조 유량 (reference flow rate), (iii) 단계에서 사용된 참조 RF 전력, 및 (i) 내지 (iii) 전체에 걸친 참조 성막 온도를 포함하는 성막 조건들하에서 (i) 내지 (iv) 단계들에 의해 성막되는 TiN 결정질 막의 막 응력보다 더 큰, 상기 Ti 함유 막을 위한 타겟 막 응력을 설정하는 단계; 및
    (ii) 단계에서 상기 반응물 가스로서 사용되는 H2 의 유량, (iii) 단계에서 사용되는 RF 전력, 및 (i) 내지 (iii) 단계 전체에 걸친 성막 온도를 설정하는 단계로서, 상기 H2 의 유량, 상기 RF 전력 및 상기 성막 온도 중 하나 이상만이 상기 막 응력을 변경하기 위한 제어 파라미터로서 사용되고, 상기 H2 의 참조 유량, 상기 참조 RF 전력, 및 상기 참조 성막 온도와는 상이한, 상기 설정하는 단계,
    다음으로 상기 Ti 함유 막을 성막하기 위해 (i) 내지 (iv) 단계들을 수행하는 단계를 더 포함하는, Ti 함유 막을 형성하는 방법.
  9. 제 8 항에 있어서,
    상기 Ti 함유 막은 인장 막 응력을 갖는, Ti 함유 막을 형성하는 방법.
  10. 제 9 항에 있어서,
    설정된 상기 H2 의 유량은 상기 TiN 결정질 막에 사용된 상기 H2 의 참조 유량보다 더 작은, Ti 함유 막을 형성하는 방법.
  11. 제 9 항에 있어서,
    설정된 상기 RF 전력은 상기 TiN 결정질 막에 사용된 상기 참조 RF 전력보다 더 작은, Ti 함유 막을 형성하는 방법.
  12. 제 9 항에 있어서,
    설정된 상기 성막 온도는 상기 TiN 결정질 막에 사용된 상기 참조 성막 온도보다 더 높은, Ti 함유 막을 형성하는 방법.
  13. 제 9 항에 있어서,
    상기 Ti 함유 막은 약 4% 내지 약 9% 탄소를 함유하는, Ti 함유 막을 형성하는 방법.
  14. 제 9 항에 있어서,
    상기 Ti 함유 막은 푸리에 변환 적외 분광 (FT-IR) 그래프에서 2,000 cm-1 에서 피크를 나타내고 1,400 cm-1 에서 실질적으로 피크들을 나타내지 않는, Ti 함유 막을 형성하는 방법.
  15. 제 1 항에 있어서,
    상기 NH3 무함유 반응물 가스는 산소를 함유하지 않는 반응물 가스 그리고 산소를 함유하는 반응물 가스로 구성되고, (iv) 단계에서, (i) 내지 (iii) 단계들이 반복될 때, NH3 무함유 산소 무함유 반응물 가스 및 NH3 무함유 산소 함유 가스가 설정된 인터벌에서 교번하여 사용되는, Ti 함유 막을 형성하는 방법.
  16. 제 15 항에 있어서,
    상기 NH3 무함유 산소 무함유 반응물 가스가 질소 가스 없이 수소 가스이고, 상기 NH3 무함유 산소 함유 가스가 산소 가스임으로써, 설정된 인터벌에서 교번하여 성막된 TiO 막들과 TiN 막들로 이루어지는 TiON 막을 형성하는, Ti 함유 막을 형성하는 방법.
  17. 제 15 항에 있어서,
    상기 NH3 무함유 산소 무함유 반응물 가스가 수소 가스 및 질소 가스이고, 상기 NH3 무함유 산소 함유 가스가 산소 가스임으로써, 설정된 인터벌에서 교번하여 성막된 TiO 막들과 TiCN 막들로 이루어지는 TiOCN 막을 형성하는, Ti 함유 막을 형성하는 방법.
  18. 제 1 항에 있어서,
    (iv) 단계에서 상기 Ti 함유 막으로서 아나타제 결정을 갖는 TiO 막을 형성하기 위하여 (iv) 단계 후에 산소의 분위기에서 상기 기판 상에 상기 Ti 함유 막을 어닐링하는 단계를 더 포함하는, Ti 함유 막을 형성하는 방법.
KR1020140136089A 2013-10-09 2014-10-08 TDMAT 또는 TDEAT 를 사용하여 PEALD 에 의해 Ti 함유 막을 형성하는 방법 KR102332870B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/050,150 2013-10-09
US14/050,150 US9556516B2 (en) 2013-10-09 2013-10-09 Method for forming Ti-containing film by PEALD using TDMAT or TDEAT

Publications (2)

Publication Number Publication Date
KR20150041755A true KR20150041755A (ko) 2015-04-17
KR102332870B1 KR102332870B1 (ko) 2021-11-29

Family

ID=52777161

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020140136089A KR102332870B1 (ko) 2013-10-09 2014-10-08 TDMAT 또는 TDEAT 를 사용하여 PEALD 에 의해 Ti 함유 막을 형성하는 방법

Country Status (4)

Country Link
US (1) US9556516B2 (ko)
JP (1) JP6472203B2 (ko)
KR (1) KR102332870B1 (ko)
TW (1) TWI625414B (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20180010323A (ko) * 2015-06-18 2018-01-30 어플라이드 머티어리얼스, 인코포레이티드 균일하고 컨포멀한 하이브리드 티타늄 산화물 필름들을 위한 증착 방법들
WO2021030332A1 (en) * 2019-08-13 2021-02-18 Applied Materials, Inc. Peald titanium nitride with direct microwave plasma

Families Citing this family (359)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
KR20130107001A (ko) * 2012-03-21 2013-10-01 엘지이노텍 주식회사 증착 장치
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US20150175467A1 (en) * 2013-12-23 2015-06-25 Infineon Technologies Austria Ag Mold, method for producing a mold, and method for forming a mold article
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
JP6416031B2 (ja) * 2015-03-30 2018-10-31 株式会社Kokusai Electric 半導体デバイスの製造方法、基板処理装置およびプログラム
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
SG10201607880PA (en) * 2015-09-25 2017-04-27 Tokyo Electron Ltd METHOD FOR FORMING TiON FILM
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR102700194B1 (ko) 2016-12-19 2024-08-28 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
TWI839906B (zh) * 2017-08-30 2024-04-21 荷蘭商Asm Ip私人控股有限公司 層形成方法
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
WO2019071215A1 (en) * 2017-10-06 2019-04-11 Applied Materials, Inc. METHODS AND PRECURSORS FOR SELECTIVE DEPOSITION OF METALLIC FILMS
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10366879B2 (en) 2017-11-14 2019-07-30 International Business Machines Corporation Dry and wet etch resistance for atomic layer deposited TiO2 for SIT spacer application
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR102695659B1 (ko) 2018-01-19 2024-08-14 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
TWI843623B (zh) 2018-05-08 2024-05-21 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TWI840362B (zh) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 水氣降低的晶圓處置腔室
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
JP7094154B2 (ja) * 2018-06-13 2022-07-01 東京エレクトロン株式会社 成膜装置および成膜方法
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR102686758B1 (ko) 2018-06-29 2024-07-18 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) * 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) * 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11152207B2 (en) * 2018-07-26 2021-10-19 Tokyo Electron Limited Method of forming titanium nitride films with (200) crystallographic texture
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
TWI728456B (zh) 2018-09-11 2021-05-21 荷蘭商Asm Ip私人控股有限公司 相對於基板的薄膜沉積方法
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US10734219B2 (en) * 2018-09-26 2020-08-04 Asm Ip Holdings B.V. Plasma film forming method
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
JP2020066764A (ja) * 2018-10-23 2020-04-30 東京エレクトロン株式会社 成膜装置および成膜方法
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TWI838458B (zh) 2019-02-20 2024-04-11 荷蘭商Asm Ip私人控股有限公司 用於3d nand應用中之插塞填充沉積之設備及方法
TWI845607B (zh) 2019-02-20 2024-06-21 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN118422165A (zh) 2019-08-05 2024-08-02 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
CN110459468A (zh) * 2019-08-29 2019-11-15 上海华力集成电路制造有限公司 TiN薄膜的刻蚀方法
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112626501A (zh) * 2019-10-09 2021-04-09 长鑫存储技术有限公司 等离子体沉积薄膜杂质含量的改善方法及控制装置
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021111783A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー チャネル付きリフトピン
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210128343A (ko) 2020-04-15 2021-10-26 에이에스엠 아이피 홀딩 비.브이. 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
JP2021177545A (ja) 2020-05-04 2021-11-11 エーエスエム・アイピー・ホールディング・ベー・フェー 基板を処理するための基板処理システム
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
TW202229601A (zh) 2020-08-27 2022-08-01 荷蘭商Asm Ip私人控股有限公司 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020064598A1 (en) * 1999-06-11 2002-05-30 Shulin Wang Method of depositing a thick titanium nitride film
US20030143328A1 (en) * 2002-01-26 2003-07-31 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US20060032443A1 (en) * 2004-07-28 2006-02-16 Kazuhide Hasebe Film formation method and apparatus for semiconductor process
US20100032842A1 (en) * 2008-08-07 2010-02-11 Texas Instruments Incorporated MODULATED DEPOSITION PROCESS FOR STRESS CONTROL IN THICK TiN FILMS
KR20100015073A (ko) * 2008-08-04 2010-02-12 한국과학기술원 산화티타늄을 활성층으로 갖는 박막 트랜지스터의 제조방법 및 이에 의해 제조된 박막 트랜지스터
JP2010258413A (ja) * 2009-04-01 2010-11-11 Elpida Memory Inc キャパシタ用容量絶縁膜の製造方法

Family Cites Families (1187)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3089507A (en) 1963-05-14 Air eject system control valve
US2161626A (en) 1937-09-25 1939-06-06 Walworth Patents Inc Locking device
US2745640A (en) 1953-09-24 1956-05-15 American Viscose Corp Heat exchanging apparatus
US3094396A (en) 1959-07-07 1963-06-18 Continental Can Co Method of and apparatus for curing internal coatings on can bodies
US2990045A (en) 1959-09-18 1961-06-27 Lipe Rollway Corp Thermally responsive transmission for automobile fan
US3232437A (en) 1963-03-13 1966-02-01 Champlon Lab Inc Spin-on filter cartridge
US4393013A (en) 1970-05-20 1983-07-12 J. C. Schumacher Company Vapor mass flow control system
US3833492A (en) 1971-09-22 1974-09-03 Pollution Control Ind Inc Method of producing ozone
US3862397A (en) 1972-03-24 1975-01-21 Applied Materials Tech Cool wall radiantly heated reactor
US3854443A (en) 1973-12-19 1974-12-17 Intel Corp Gas reactor for depositing thin films
US3887790A (en) 1974-10-07 1975-06-03 Vernon H Ferguson Wrap-around electric resistance heater
SE393967B (sv) 1974-11-29 1977-05-31 Sateko Oy Forfarande och for utforande av stroleggning mellan lagren i ett virkespaket
US4054071A (en) 1975-06-17 1977-10-18 Aetna-Standard Engineering Company Flying saw with movable work shifter
DE2610556C2 (de) 1976-03-12 1978-02-02 Siemens AG, 1000 Berlin und 8000 München Vorrichtung zum Verteilen strömender Medien über einen Strömungsquerschnitt
US4194536A (en) 1976-12-09 1980-03-25 Eaton Corporation Composite tubing product
US4181330A (en) 1977-03-22 1980-01-01 Noriatsu Kojima Horn shaped multi-inlet pipe fitting
US4176630A (en) 1977-06-01 1979-12-04 Dynair Limited Automatic control valves
US4145699A (en) 1977-12-07 1979-03-20 Bell Telephone Laboratories, Incorporated Superconducting junctions utilizing a binary semiconductor barrier
US4389973A (en) 1980-03-18 1983-06-28 Oy Lohja Ab Apparatus for performing growth of compound thin films
US4322592A (en) 1980-08-22 1982-03-30 Rca Corporation Susceptor for heating semiconductor substrates
US4479831A (en) 1980-09-15 1984-10-30 Burroughs Corporation Method of making low resistance polysilicon gate transistors and low resistance interconnections therefor via gas deposited in-situ doped amorphous layer and heat-treatment
US4436674A (en) 1981-07-30 1984-03-13 J.C. Schumacher Co. Vapor mass flow control system
US4414492A (en) 1982-02-02 1983-11-08 Intent Patent A.G. Electronic ballast system
US4401507A (en) 1982-07-14 1983-08-30 Advanced Semiconductor Materials/Am. Method and apparatus for achieving spatially uniform externally excited non-thermal chemical reactions
US4512113A (en) 1982-09-23 1985-04-23 Budinger William D Workpiece holder for polishing operation
US4499354A (en) 1982-10-06 1985-02-12 General Instrument Corp. Susceptor for radiant absorption heater system
US4570328A (en) 1983-03-07 1986-02-18 Motorola, Inc. Method of producing titanium nitride MOS device gate electrode
JPS6050923A (ja) 1983-08-31 1985-03-22 Hitachi Ltd プラズマ表面処理方法
USD288556S (en) 1984-02-21 1987-03-03 Pace, Incorporated Ornamental design for a frame of circuit elements utilized to replace damaged elements on printed circuit boards
US4735259A (en) 1984-02-21 1988-04-05 Hewlett-Packard Company Heated transfer line for capillary tubing
US4811684A (en) 1984-11-26 1989-03-14 Semiconductor Energy Laboratory Co., Ltd. Photo CVD apparatus, with deposition prevention in light source chamber
JPH0752718B2 (ja) 1984-11-26 1995-06-05 株式会社半導体エネルギー研究所 薄膜形成方法
US4653541A (en) 1985-06-26 1987-03-31 Parker Hannifin Corporation Dual wall safety tube
US4789294A (en) 1985-08-30 1988-12-06 Canon Kabushiki Kaisha Wafer handling apparatus and method
US4654226A (en) 1986-03-03 1987-03-31 The University Of Delaware Apparatus and method for photochemical vapor deposition
US4722298A (en) 1986-05-19 1988-02-02 Machine Technology, Inc. Modular processing apparatus for processing semiconductor wafers
US4718637A (en) 1986-07-02 1988-01-12 Mdc Vacuum Products Corporation High vacuum gate valve having improved metal vacuum joint
US4681134A (en) 1986-07-23 1987-07-21 Paris Sr Raymond L Valve lock
US5183511A (en) 1986-07-23 1993-02-02 Semiconductor Energy Laboratory Co., Ltd. Photo CVD apparatus with a glow discharge system
US4882199A (en) 1986-08-15 1989-11-21 Massachusetts Institute Of Technology Method of forming a metal coating on a substrate
US4753192A (en) 1987-01-08 1988-06-28 Btu Engineering Corporation Movable core fast cool-down furnace
JPH0344472Y2 (ko) 1987-02-10 1991-09-18
US4976996A (en) 1987-02-17 1990-12-11 Lam Research Corporation Chemical vapor deposition reactor and method of use thereof
US4821674A (en) 1987-03-31 1989-04-18 Deboer Wiebe B Rotatable substrate supporting mechanism with temperature sensing device for use in chemical vapor deposition equipment
US4827430A (en) 1987-05-11 1989-05-02 Baxter International Inc. Flow measurement system
US4780169A (en) 1987-05-11 1988-10-25 Tegal Corporation Non-uniform gas inlet for dry etching apparatus
US5221556A (en) 1987-06-24 1993-06-22 Epsilon Technology, Inc. Gas injectors for reaction chambers in CVD systems
NO161941C (no) 1987-06-25 1991-04-30 Kvaerner Eng Fremgangsmaate ved og anlegg for transport av hydrokarboner over lang avstand fra en hydrokarbonkilde til havs.
US5062386A (en) 1987-07-27 1991-11-05 Epitaxy Systems, Inc. Induction heated pancake epitaxial reactor
USD327534S (en) 1987-07-30 1992-06-30 CLM Investments, Inc. Floor drain strainer
US4854263B1 (en) 1987-08-14 1997-06-17 Applied Materials Inc Inlet manifold and methods for increasing gas dissociation and for PECVD of dielectric films
US4857504A (en) 1987-08-25 1989-08-15 University Of Arkansas Melt-produced high temperature rare earth barium copper oxide superconductor and processes for making same
US4756794A (en) 1987-08-31 1988-07-12 The United States Of America As Represented By The Secretary Of The Navy Atomic layer etching
JPH0648217B2 (ja) 1987-12-24 1994-06-22 川惣電機工業株式会社 溶融金属の連続測温装置
US5028366A (en) 1988-01-12 1991-07-02 Air Products And Chemicals, Inc. Water based mold release compositions for making molded polyurethane foam
FR2628985B1 (fr) 1988-03-22 1990-12-28 Labo Electronique Physique Reacteur d'epitaxie a paroi protegee contre les depots
US4978567A (en) 1988-03-31 1990-12-18 Materials Technology Corporation, Subsidiary Of The Carbon/Graphite Group, Inc. Wafer holding fixture for chemical reaction processes in rapid thermal processing equipment and method for making same
JP2859632B2 (ja) 1988-04-14 1999-02-17 キヤノン株式会社 成膜装置及び成膜方法
US5178682A (en) 1988-06-21 1993-01-12 Mitsubishi Denki Kabushiki Kaisha Method for forming a thin layer on a semiconductor substrate and apparatus therefor
US4986215A (en) 1988-09-01 1991-01-22 Kyushu Electronic Metal Co., Ltd. Susceptor for vapor-phase growth system
JP2918892B2 (ja) 1988-10-14 1999-07-12 株式会社日立製作所 プラズマエッチング処理方法
US4837185A (en) 1988-10-26 1989-06-06 Intel Corporation Pulsed dual radio frequency CVD process
US5119760A (en) 1988-12-27 1992-06-09 Symetrix Corporation Methods and apparatus for material deposition
JPH0834187B2 (ja) 1989-01-13 1996-03-29 東芝セラミックス株式会社 サセプタ
US5194401A (en) 1989-04-18 1993-03-16 Applied Materials, Inc. Thermally processing semiconductor wafers at non-ambient pressures
JP2543224B2 (ja) 1989-04-25 1996-10-16 松下電子工業株式会社 半導体装置とその製造方法
US5192717A (en) 1989-04-28 1993-03-09 Canon Kabushiki Kaisha Process for the formation of a polycrystalline semiconductor film by microwave plasma chemical vapor deposition method
US5360269A (en) 1989-05-10 1994-11-01 Tokyo Kogyo Kabushiki Kaisha Immersion-type temperature measuring apparatus using thermocouple
US4987856A (en) 1989-05-22 1991-01-29 Advanced Semiconductor Materials America, Inc. High throughput multi station processor for multiple single wafers
US5060322A (en) 1989-07-27 1991-10-29 Delepine Jean C Shower room and ceiling element, especially for a shower room
US5013691A (en) 1989-07-31 1991-05-07 At&T Bell Laboratories Anisotropic deposition of silicon dioxide
JPH0734936Y2 (ja) 1990-01-16 1995-08-09 大日本スクリーン製造株式会社 処理液蒸気供給装置
JPH03277774A (ja) 1990-03-27 1991-12-09 Semiconductor Energy Lab Co Ltd 光気相反応装置
DE4011933C2 (de) 1990-04-12 1996-11-21 Balzers Hochvakuum Verfahren zur reaktiven Oberflächenbehandlung eines Werkstückes sowie Behandlungskammer hierfür
US5167716A (en) 1990-09-28 1992-12-01 Gasonics, Inc. Method and apparatus for batch processing a semiconductor wafer
JP2780866B2 (ja) 1990-10-11 1998-07-30 大日本スクリーン製造 株式会社 光照射加熱基板の温度測定装置
TW214599B (ko) 1990-10-15 1993-10-11 Seiko Epson Corp
JPH04115531U (ja) 1991-03-25 1992-10-14 ノリタケダイヤ株式会社 ワイヤーソー用ジヨイントパイプ
JP3323530B2 (ja) 1991-04-04 2002-09-09 株式会社日立製作所 半導体装置の製造方法
US5116018A (en) 1991-04-12 1992-05-26 Automax, Inc. Lockout modules
US5243195A (en) 1991-04-25 1993-09-07 Nikon Corporation Projection exposure apparatus having an off-axis alignment system and method of alignment therefor
US5104514A (en) 1991-05-16 1992-04-14 The United States Of America As Represented By The Secretary Of The Navy Protective coating system for aluminum
JP3040212B2 (ja) 1991-09-05 2000-05-15 株式会社東芝 気相成長装置
EP0533568A1 (en) 1991-09-17 1993-03-24 Sumitomo Electric Industries, Ltd. Superconducting thin film formed of oxide superconductor material, superconducting device utilizing the superconducting thin film and method for manufacturing thereof
JP3140111B2 (ja) 1991-11-19 2001-03-05 オリンパス光学工業株式会社 高倍率顕微鏡対物レンズ
US5199603A (en) 1991-11-26 1993-04-06 Prescott Norman F Delivery system for organometallic compounds
US5455069A (en) 1992-06-01 1995-10-03 Motorola, Inc. Method of improving layer uniformity in a CVD reactor
EP0647163B1 (en) 1992-06-22 1998-09-09 Lam Research Corporation A plasma cleaning method for removing residues in a plasma treatment chamber
US5534072A (en) 1992-06-24 1996-07-09 Anelva Corporation Integrated module multi-chamber CVD processing system and its method for processing subtrates
JP3148004B2 (ja) 1992-07-06 2001-03-19 株式会社東芝 光cvd装置及びこれを用いた半導体装置の製造方法
US5601641A (en) 1992-07-21 1997-02-11 Tse Industries, Inc. Mold release composition with polybutadiene and method of coating a mold core
KR100304127B1 (ko) 1992-07-29 2001-11-30 이노마다 시게오 가반식 밀폐 컨테이너를 사용한 전자기판 처리시스템과 그의 장치
US5271967A (en) 1992-08-21 1993-12-21 General Motors Corporation Method and apparatus for application of thermal spray coatings to engine blocks
US5326427A (en) 1992-09-11 1994-07-05 Lsi Logic Corporation Method of selectively etching titanium-containing materials on a semiconductor wafer using remote plasma generation
JPH06295862A (ja) 1992-11-20 1994-10-21 Mitsubishi Electric Corp 化合物半導体製造装置及び有機金属材料容器
IT1257434B (it) 1992-12-04 1996-01-17 Cselt Centro Studi Lab Telecom Generatore di vapori per impianti di deposizione chimica da fase vapore
KR100238629B1 (ko) 1992-12-17 2000-01-15 히가시 데쓰로 정전척을 가지는 재치대 및 이것을 이용한 플라즈마 처리장치
JPH0653210U (ja) 1992-12-28 1994-07-19 株式会社三田 脱輪救助プレート
US5421893A (en) 1993-02-26 1995-06-06 Applied Materials, Inc. Susceptor drive and wafer displacement mechanism
DE4311197A1 (de) 1993-04-05 1994-10-06 Patent Treuhand Ges Fuer Elektrische Gluehlampen Mbh Verfahren zum Betreiben einer inkohärent strahlenden Lichtquelle
US5404082A (en) 1993-04-23 1995-04-04 North American Philips Corporation High frequency inverter with power-line-controlled frequency modulation
US5354580A (en) 1993-06-08 1994-10-11 Cvd Incorporated Triangular deposition chamber for a vapor deposition system
JPH0799162A (ja) 1993-06-21 1995-04-11 Hitachi Ltd Cvdリアクタ装置
DE69415408T2 (de) 1993-06-28 1999-06-10 Canon K.K., Tokio/Tokyo Wärmeerzeugender, TaNO.8 enthaltender Widerstand, Substrat mit diesem wärmeerzeugenden Widerstand für Flüssigkeitsstrahlkopf, Flüssigkeitsstrahlkopf mit diesem Substrat, und Gerät für einen Flüssigkeitsstrahl mit diesem Flüssigkeitsstrahlkopf
US5997768A (en) 1993-06-29 1999-12-07 Ciba Specialty Chemicals Corporation Pelletization of metal soap powders
US5415753A (en) 1993-07-22 1995-05-16 Materials Research Corporation Stationary aperture plate for reactive sputter deposition
US6122036A (en) 1993-10-21 2000-09-19 Nikon Corporation Projection exposure apparatus and method
JP2682403B2 (ja) 1993-10-29 1997-11-26 日本電気株式会社 半導体装置の製造方法
US5413813A (en) 1993-11-23 1995-05-09 Enichem S.P.A. CVD of silicon-based ceramic materials on internal surface of a reactor
US5616947A (en) 1994-02-01 1997-04-01 Matsushita Electric Industrial Co., Ltd. Semiconductor device having an MIS structure
US5681779A (en) 1994-02-04 1997-10-28 Lsi Logic Corporation Method of doping metal layers for electromigration resistance
US5589002A (en) 1994-03-24 1996-12-31 Applied Materials, Inc. Gas distribution plate for semiconductor wafer processing apparatus with means for inhibiting arcing
JP3211548B2 (ja) 1994-03-30 2001-09-25 ウシオ電機株式会社 誘電体バリア放電蛍光ランプ
JPH07283149A (ja) 1994-04-04 1995-10-27 Nissin Electric Co Ltd 薄膜気相成長装置
US5531835A (en) 1994-05-18 1996-07-02 Applied Materials, Inc. Patterned susceptor to reduce electrostatic force in a CVD chamber
KR100327086B1 (ko) 1994-06-15 2002-03-06 구사마 사부로 박막 반도체 장치의 제조방법, 박막 반도체 장치,액정표시장치 및 전자기기
US5504042A (en) 1994-06-23 1996-04-02 Texas Instruments Incorporated Porous dielectric material with improved pore surface properties for electronics applications
JP2709568B2 (ja) 1994-06-30 1998-02-04 日本プレシジョン・サーキッツ株式会社 ダウンフロー型スピンドライヤ
US5838029A (en) 1994-08-22 1998-11-17 Rohm Co., Ltd. GaN-type light emitting device formed on a silicon substrate
US5730801A (en) 1994-08-23 1998-03-24 Applied Materials, Inc. Compartnetalized substrate processing chamber
JP3632256B2 (ja) 1994-09-30 2005-03-23 株式会社デンソー 窒化シリコン膜を有する半導体装置の製造方法
JP2845163B2 (ja) 1994-10-27 1999-01-13 日本電気株式会社 プラズマ処理方法及びその装置
US6699530B2 (en) * 1995-07-06 2004-03-02 Applied Materials, Inc. Method for constructing a film on a semiconductor wafer
FI97730C (fi) 1994-11-28 1997-02-10 Mikrokemia Oy Laitteisto ohutkalvojen valmistamiseksi
JPH08181135A (ja) 1994-12-22 1996-07-12 Sharp Corp 半導体装置の製造方法
JP3151118B2 (ja) 1995-03-01 2001-04-03 東京エレクトロン株式会社 熱処理装置
US5518549A (en) 1995-04-18 1996-05-21 Memc Electronic Materials, Inc. Susceptor and baffle therefor
JP3360098B2 (ja) 1995-04-20 2002-12-24 東京エレクトロン株式会社 処理装置のシャワーヘッド構造
US5852879A (en) 1995-04-26 1998-12-29 Schumaier; Daniel R. Moisture sensitive item drying appliance
SE506163C2 (sv) 1995-04-27 1997-11-17 Ericsson Telefon Ab L M Anordning vid ett kiselsubstrat med ett urtag för upptagande av ett element jämte förfarande för framställande av en dylik anordning
US6190634B1 (en) 1995-06-07 2001-02-20 President And Fellows Of Harvard College Carbide nanomaterials
US5683517A (en) 1995-06-07 1997-11-04 Applied Materials, Inc. Plasma reactor with programmable reactant gas distribution
JPH08335558A (ja) 1995-06-08 1996-12-17 Nissin Electric Co Ltd 薄膜気相成長装置
JP3380091B2 (ja) 1995-06-09 2003-02-24 株式会社荏原製作所 反応ガス噴射ヘッド及び薄膜気相成長装置
US20020114886A1 (en) 1995-07-06 2002-08-22 Applied Materials, Inc. Method of tisin deposition using a chemical vapor deposition process
NO953217L (no) 1995-08-16 1997-02-17 Aker Eng As Metode og innretning ved rörbunter
US6113702A (en) 1995-09-01 2000-09-05 Asm America, Inc. Wafer support system
US5997588A (en) 1995-10-13 1999-12-07 Advanced Semiconductor Materials America, Inc. Semiconductor processing system with gas curtain
US5801104A (en) 1995-10-24 1998-09-01 Micron Technology, Inc. Uniform dielectric film deposition on textured surfaces
KR100201386B1 (ko) 1995-10-28 1999-06-15 구본준 화학기상증착장비의 반응가스 분사장치
US5736314A (en) 1995-11-16 1998-04-07 Microfab Technologies, Inc. Inline thermo-cycler
US5796074A (en) 1995-11-28 1998-08-18 Applied Materials, Inc. Wafer heater assembly
JPH09172055A (ja) 1995-12-19 1997-06-30 Fujitsu Ltd 静電チャック及びウエハの吸着方法
KR100267418B1 (ko) 1995-12-28 2000-10-16 엔도 마코토 플라스마처리방법및플라스마처리장치
US5679215A (en) 1996-01-02 1997-10-21 Lam Research Corporation Method of in situ cleaning a vacuum plasma processing chamber
US6017818A (en) * 1996-01-22 2000-01-25 Texas Instruments Incorporated Process for fabricating conformal Ti-Si-N and Ti-B-N based barrier films with low defect density
US5632919A (en) 1996-01-25 1997-05-27 T.G.M., Inc. Temperature controlled insulation system
SE9600705D0 (sv) 1996-02-26 1996-02-26 Abb Research Ltd A susceptor for a device for epitaxially growing objects and such a device
US5837320A (en) 1996-02-27 1998-11-17 The University Of New Mexico Chemical vapor deposition of metal sulfide films from metal thiocarboxylate complexes with monodenate or multidentate ligands
US5732744A (en) 1996-03-08 1998-03-31 Control Systems, Inc. Method and apparatus for aligning and supporting semiconductor process gas delivery and regulation components
DE19609678C2 (de) 1996-03-12 2003-04-17 Infineon Technologies Ag Speicherzellenanordnung mit streifenförmigen, parallel verlaufenden Gräben und vertikalen MOS-Transistoren und Verfahren zu deren Herstellung
USD380527S (en) 1996-03-19 1997-07-01 Cherle Velez Sink drain shield
US5819434A (en) 1996-04-25 1998-10-13 Applied Materials, Inc. Etch enhancement using an improved gas distribution plate
US5920798A (en) 1996-05-28 1999-07-06 Matsushita Battery Industrial Co., Ltd. Method of preparing a semiconductor layer for an optical transforming device
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5993916A (en) 1996-07-12 1999-11-30 Applied Materials, Inc. Method for substrate processing with improved throughput and yield
US5827757A (en) 1996-07-16 1998-10-27 Direct Radiography Corp. Fabrication of large area x-ray image capturing element
US5781693A (en) 1996-07-24 1998-07-14 Applied Materials, Inc. Gas introduction showerhead for an RTP chamber with upper and lower transparent plates and gas flow therebetween
US5724748A (en) 1996-07-24 1998-03-10 Brooks; Ray G. Apparatus for packaging contaminant-sensitive articles and resulting package
KR0183912B1 (ko) 1996-08-08 1999-05-01 김광호 다중 반응 챔버에 연결된 펌핑 설비 및 이를 사용하는 방법
US5916365A (en) 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
JP3122618B2 (ja) 1996-08-23 2001-01-09 東京エレクトロン株式会社 プラズマ処理装置
US5928389A (en) 1996-10-21 1999-07-27 Applied Materials, Inc. Method and apparatus for priority based scheduling of wafer processing within a multiple chamber semiconductor wafer processing tool
US6347636B1 (en) 1996-11-13 2002-02-19 Applied Materials, Inc. Methods and apparatus for gettering fluorine from chamber material surfaces
US5855681A (en) 1996-11-18 1999-01-05 Applied Materials, Inc. Ultra high throughput wafer vacuum processing system
US5836483A (en) 1997-02-05 1998-11-17 Aerotech Dental Systems, Inc. Self-regulating fluid dispensing cap with safety pressure relief valve for dental/medical unit fluid bottles
US6367410B1 (en) 1996-12-16 2002-04-09 Applied Materials, Inc. Closed-loop dome thermal control apparatus for a semiconductor wafer processing system
US5953635A (en) 1996-12-19 1999-09-14 Intel Corporation Interlayer dielectric with a composite dielectric stack
US6035101A (en) 1997-02-12 2000-03-07 Applied Materials, Inc. High temperature multi-layered alloy heater assembly and related methods
US6461982B2 (en) 1997-02-27 2002-10-08 Micron Technology, Inc. Methods for forming a dielectric film
JP3752578B2 (ja) 1997-04-21 2006-03-08 株式会社フジキン 流体制御器用加熱装置
US6026762A (en) 1997-04-23 2000-02-22 Applied Materials, Inc. Apparatus for improved remote microwave plasma source for use with substrate processing systems
JP3230051B2 (ja) 1997-05-16 2001-11-19 東京エレクトロン株式会社 乾燥処理方法及びその装置
JPH1144799A (ja) 1997-05-27 1999-02-16 Ushio Inc 光路分割型紫外線照射装置
US6201999B1 (en) 1997-06-09 2001-03-13 Applied Materials, Inc. Method and apparatus for automatically generating schedules for wafer processing within a multichamber semiconductor wafer processing tool
US5968275A (en) 1997-06-25 1999-10-19 Lam Research Corporation Methods and apparatus for passivating a substrate in a plasma reactor
US6531193B2 (en) 1997-07-07 2003-03-11 The Penn State Research Foundation Low temperature, high quality silicon dioxide thin films deposited using tetramethylsilane (TMS) for stress control and coverage applications
US6576064B2 (en) 1997-07-10 2003-06-10 Sandia Corporation Support apparatus for semiconductor wafer processing
US6312525B1 (en) 1997-07-11 2001-11-06 Applied Materials, Inc. Modular architecture for semiconductor wafer fabrication equipment
US6024799A (en) 1997-07-11 2000-02-15 Applied Materials, Inc. Chemical vapor deposition manifold
US6083321A (en) 1997-07-11 2000-07-04 Applied Materials, Inc. Fluid delivery system and method
US5975492A (en) 1997-07-14 1999-11-02 Brenes; Arthur Bellows driver slot valve
US6099596A (en) 1997-07-23 2000-08-08 Applied Materials, Inc. Wafer out-of-pocket detection tool
US6013553A (en) 1997-07-24 2000-01-11 Texas Instruments Incorporated Zirconium and/or hafnium oxynitride gate dielectric
KR100385946B1 (ko) 1999-12-08 2003-06-02 삼성전자주식회사 원자층 증착법을 이용한 금속층 형성방법 및 그 금속층을장벽금속층, 커패시터의 상부전극, 또는 하부전극으로구비한 반도체 소자
US6287965B1 (en) 1997-07-28 2001-09-11 Samsung Electronics Co, Ltd. Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
US7393561B2 (en) 1997-08-11 2008-07-01 Applied Materials, Inc. Method and apparatus for layer by layer deposition of thin films
JP3317209B2 (ja) 1997-08-12 2002-08-26 東京エレクトロンエイ・ティー株式会社 プラズマ処理装置及びプラズマ処理方法
US6161500A (en) 1997-09-30 2000-12-19 Tokyo Electron Limited Apparatus and method for preventing the premature mixture of reactant gases in CVD and PECVD reactions
US5908672A (en) 1997-10-15 1999-06-01 Applied Materials, Inc. Method and apparatus for depositing a planarized passivation layer
US6325858B1 (en) 1997-11-03 2001-12-04 Asm America, Inc. Long life high temperature process chamber
JP3050193B2 (ja) 1997-11-12 2000-06-12 日本電気株式会社 半導体装置及びその製造方法
JP2002504744A (ja) 1997-11-28 2002-02-12 マットソン テクノロジイ インコーポレイテッド 真空処理を行う非加工物を、低汚染かつ高処理能力で取扱うためのシステムおよび方法
US6248168B1 (en) 1997-12-15 2001-06-19 Tokyo Electron Limited Spin coating apparatus including aging unit and solvent replacement unit
KR100249391B1 (ko) 1997-12-30 2000-03-15 김영환 가열장치
USD409894S (en) 1997-12-30 1999-05-18 Mcclurg Ben B Sheet rock plug
US6125789A (en) 1998-01-30 2000-10-03 Applied Materials, Inc. Increasing the sensitivity of an in-situ particle monitor
US6383955B1 (en) 1998-02-05 2002-05-07 Asm Japan K.K. Silicone polymer insulation film on semiconductor substrate and method for forming the film
TW437017B (en) 1998-02-05 2001-05-28 Asm Japan Kk Silicone polymer insulation film on semiconductor substrate and method for formation thereof
US6303523B2 (en) 1998-02-11 2001-10-16 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
US6413583B1 (en) 1998-02-11 2002-07-02 Applied Materials, Inc. Formation of a liquid-like silica layer by reaction of an organosilicon compound and a hydroxyl forming compound
US6050506A (en) 1998-02-13 2000-04-18 Applied Materials, Inc. Pattern of apertures in a showerhead for chemical vapor deposition
US6015465A (en) 1998-04-08 2000-01-18 Applied Materials, Inc. Temperature control system for semiconductor process chamber
US6218288B1 (en) 1998-05-11 2001-04-17 Micron Technology, Inc. Multiple step methods for forming conformal layers
KR100309918B1 (ko) 1998-05-16 2001-12-17 윤종용 광시야각액정표시장치및그제조방법
JP3208376B2 (ja) 1998-05-20 2001-09-10 株式会社半導体プロセス研究所 成膜方法及び半導体装置の製造方法
JPH11343571A (ja) 1998-05-29 1999-12-14 Ngk Insulators Ltd サセプター
JPH11354637A (ja) 1998-06-11 1999-12-24 Oki Electric Ind Co Ltd 配線の接続構造及び配線の接続部の形成方法
US6302964B1 (en) 1998-06-16 2001-10-16 Applied Materials, Inc. One-piece dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6148761A (en) 1998-06-16 2000-11-21 Applied Materials, Inc. Dual channel gas distribution plate
US6086677A (en) 1998-06-16 2000-07-11 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
JP2963443B1 (ja) 1998-06-19 1999-10-18 キヤノン販売株式会社 半導体装置の製造装置
KR20000002833A (ko) 1998-06-23 2000-01-15 윤종용 반도체 웨이퍼 보트
US6232248B1 (en) 1998-07-03 2001-05-15 Tokyo Electron Limited Single-substrate-heat-processing method for performing reformation and crystallization
JP2000040728A (ja) 1998-07-22 2000-02-08 Nippon Asm Kk ウェハ搬送機構
US20010001384A1 (en) 1998-07-29 2001-05-24 Takeshi Arai Silicon epitaxial wafer and production method therefor
US6190732B1 (en) 1998-09-03 2001-02-20 Cvc Products, Inc. Method and system for dispensing process gas for fabricating a device on a substrate
USD451893S1 (en) 1998-10-15 2001-12-11 Meto International Gmbh Arrangement of aluminum foil coils forming an inductor of a resonant frequency identification element
US6454860B2 (en) 1998-10-27 2002-09-24 Applied Materials, Inc. Deposition reactor having vaporizing, mixing and cleaning capabilities
JP2000150617A (ja) 1998-11-17 2000-05-30 Tokyo Electron Ltd 搬送装置
JP2000183346A (ja) 1998-12-15 2000-06-30 Toshiba Corp 半導体装置及びその製造方法
US6129954A (en) 1998-12-22 2000-10-10 General Electric Company Method for thermally spraying crack-free mullite coatings on ceramic-based substrates
US6137240A (en) 1998-12-31 2000-10-24 Lumion Corporation Universal ballast control circuit
KR100331544B1 (ko) 1999-01-18 2002-04-06 윤종용 반응챔버에 가스를 유입하는 방법 및 이에 사용되는 샤워헤드
TW455912B (en) 1999-01-22 2001-09-21 Sony Corp Method and apparatus for film deposition
US6044860A (en) 1999-02-01 2000-04-04 Spx Corporation Adjustable lockout device for knife gate valves
US6374831B1 (en) 1999-02-04 2002-04-23 Applied Materials, Inc. Accelerated plasma clean
IT1308606B1 (it) 1999-02-12 2002-01-08 Lpe Spa Dispositivo per maneggiare substrati mediante un istema autolivellante a depressione in reattori epistassiali ad induzione con suscettore
DE10080457T1 (de) 1999-02-12 2001-04-26 Gelest Inc CVD-Abscheidung von Wolframnitrid
US6250250B1 (en) 1999-03-18 2001-06-26 Yuri Maishev Multiple-cell source of uniform plasma
JP3398936B2 (ja) 1999-04-09 2003-04-21 日本エー・エス・エム株式会社 半導体処理装置
US6326597B1 (en) 1999-04-15 2001-12-04 Applied Materials, Inc. Temperature control system for process chamber
KR100347379B1 (ko) 1999-05-01 2002-08-07 주식회사 피케이엘 복수매 기판의 박막 증착 공정이 가능한 원자층 증착장치
JP3072989B1 (ja) 1999-05-14 2000-08-07 日本エー・エス・エム株式会社 半導体基板上に薄膜を形成する成膜装置における成膜方法
JP4294791B2 (ja) 1999-05-17 2009-07-15 アプライド マテリアルズ インコーポレイテッド 半導体製造装置
JP3668079B2 (ja) 1999-05-31 2005-07-06 忠弘 大見 プラズマプロセス装置
US6555183B2 (en) * 1999-06-11 2003-04-29 Applied Materials, Inc. Plasma treatment of a titanium nitride film formed by chemical vapor deposition
US6281098B1 (en) 1999-06-15 2001-08-28 Midwest Research Institute Process for Polycrystalline film silicon growth
US6821571B2 (en) 1999-06-18 2004-11-23 Applied Materials Inc. Plasma treatment to enhance adhesion and to minimize oxidation of carbon-containing layers
FR2795745B1 (fr) 1999-06-30 2001-08-03 Saint Gobain Vitrage Procede de depot d'une couche a base de tungstene et/ou de molybdene sur un substrat verrier, ceramique ou vitroceramique, et substrat ainsi revetu
JP3252835B2 (ja) 1999-07-02 2002-02-04 松下電器産業株式会社 半導体装置およびその製造方法
JP2001023955A (ja) 1999-07-07 2001-01-26 Mitsubishi Electric Corp プラズマ処理装置
EP1077479A1 (en) 1999-08-17 2001-02-21 Applied Materials, Inc. Post-deposition treatment to enchance properties of Si-O-C low K film
US6579833B1 (en) 1999-09-01 2003-06-17 The Board Of Trustees Of The University Of Illinois Process for converting a metal carbide to carbon by etching in halogens
US6429146B2 (en) 1999-09-02 2002-08-06 Micron Technology, Inc. Wafer planarization using a uniform layer of material and method and apparatus for forming uniform layer of material used in semiconductor processing
JP2001077088A (ja) 1999-09-02 2001-03-23 Tokyo Electron Ltd プラズマ処理装置
US6511539B1 (en) 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
US6355153B1 (en) 1999-09-17 2002-03-12 Nutool, Inc. Chip interconnect and packaging deposition methods and structures
US6420792B1 (en) 1999-09-24 2002-07-16 Texas Instruments Incorporated Semiconductor wafer edge marking
US6740853B1 (en) 1999-09-29 2004-05-25 Tokyo Electron Limited Multi-zone resistance heater
US6475276B1 (en) 1999-10-15 2002-11-05 Asm Microchemistry Oy Production of elemental thin films using a boron-containing reducing agent
JP4209057B2 (ja) 1999-12-01 2009-01-14 東京エレクトロン株式会社 セラミックスヒーターならびにそれを用いた基板処理装置および基板処理方法
KR100369324B1 (ko) 1999-12-02 2003-01-24 한국전자통신연구원 평면형 마이크로 공동구조 제조 방법
FI118804B (fi) 1999-12-03 2008-03-31 Asm Int Menetelmä oksidikalvojen kasvattamiseksi
JP3810604B2 (ja) 1999-12-21 2006-08-16 Smc株式会社 ゲートバルブ
JP2001176952A (ja) 1999-12-21 2001-06-29 Toshiba Mach Co Ltd ウェーハ位置ずれ検出装置
US6576062B2 (en) 2000-01-06 2003-06-10 Tokyo Electron Limited Film forming apparatus and film forming method
US6541367B1 (en) 2000-01-18 2003-04-01 Applied Materials, Inc. Very low dielectric constant plasma-enhanced CVD films
KR100767762B1 (ko) 2000-01-18 2007-10-17 에이에스엠 저펜 가부시기가이샤 자가 세정을 위한 원격 플라즈마 소스를 구비한 cvd 반도체 공정장치
US6475930B1 (en) 2000-01-31 2002-11-05 Motorola, Inc. UV cure process and tool for low k film formation
US6436819B1 (en) 2000-02-01 2002-08-20 Applied Materials, Inc. Nitrogen treatment of a metal nitride/metal stack
US6521046B2 (en) 2000-02-04 2003-02-18 Kabushiki Kaisha Kobe Seiko Sho Chamber material made of Al alloy and heater block
US6372583B1 (en) 2000-02-09 2002-04-16 Intel Corporation Process for making semiconductor device with epitaxially grown source and drain
US6407435B1 (en) 2000-02-11 2002-06-18 Sharp Laboratories Of America, Inc. Multilayer dielectric stack and method
US6573030B1 (en) 2000-02-17 2003-06-03 Applied Materials, Inc. Method for depositing an amorphous carbon layer
TW476996B (en) 2000-02-28 2002-02-21 Mitsubishi Material Silicon Semiconductor manufacturing method and semiconductor manufacturing apparatus
EP1266054B1 (en) 2000-03-07 2006-12-20 Asm International N.V. Graded thin films
US6558755B2 (en) 2000-03-20 2003-05-06 Dow Corning Corporation Plasma curing process for porous silica thin film
US6913796B2 (en) 2000-03-20 2005-07-05 Axcelis Technologies, Inc. Plasma curing process for porous low-k materials
US6759098B2 (en) 2000-03-20 2004-07-06 Axcelis Technologies, Inc. Plasma curing of MSQ-based porous low-k film materials
US6576300B1 (en) 2000-03-20 2003-06-10 Dow Corning Corporation High modulus, low dielectric constant coatings
US6598559B1 (en) 2000-03-24 2003-07-29 Applied Materials, Inc. Temperature controlled chamber
AU2001247685A1 (en) 2000-03-30 2001-10-15 Tokyo Electron Limited Method of and apparatus for tunable gas injection in a plasma processing system
JP2001342570A (ja) 2000-03-30 2001-12-14 Hitachi Kokusai Electric Inc 半導体装置の製造方法および半導体製造装置
JP2001345263A (ja) 2000-03-31 2001-12-14 Nikon Corp 露光装置及び露光方法、並びにデバイス製造方法
FI117979B (fi) 2000-04-14 2007-05-15 Asm Int Menetelmä oksidiohutkalvojen valmistamiseksi
US6329297B1 (en) 2000-04-21 2001-12-11 Applied Materials, Inc. Dilute remote plasma clean
US6635117B1 (en) 2000-04-26 2003-10-21 Axcelis Technologies, Inc. Actively-cooled distribution plate for reducing reactive gas temperature in a plasma processing system
US6387207B1 (en) 2000-04-28 2002-05-14 Applied Materials, Inc. Integration of remote plasma generator with semiconductor processing chamber
KR100367662B1 (ko) 2000-05-02 2003-01-10 주식회사 셈테크놀러지 하이퍼서멀 중성입자 발생 장치 및 이를 채용하는 중성입자 처리 장치
DE10021871A1 (de) 2000-05-05 2001-11-15 Infineon Technologies Ag Verfahren zum Herstellen einer Barriereschicht in einem elektronischen Bauelement und Verfahren zum Herstellen eines elektronischen Bauelements mit einer Barriereschicht
JP3448737B2 (ja) 2000-05-25 2003-09-22 住友重機械工業株式会社 ウエハーチャック用冷却板及びウエハーチャック
EP1292970B1 (en) * 2000-06-08 2011-09-28 Genitech Inc. Thin film forming method
US6863019B2 (en) 2000-06-13 2005-03-08 Applied Materials, Inc. Semiconductor device fabrication chamber cleaning method and apparatus with recirculation of cleaning gas
KR100406173B1 (ko) 2000-06-13 2003-11-19 주식회사 하이닉스반도체 촉매 분사 수단을 구비한 히터 블록
US6461435B1 (en) 2000-06-22 2002-10-08 Applied Materials, Inc. Showerhead with reduced contact area
KR100467366B1 (ko) 2000-06-30 2005-01-24 주식회사 하이닉스반도체 원자층 증착법을 이용한 지르코늄산화막 형성방법
US6874480B1 (en) 2000-07-03 2005-04-05 Combustion Dynamics Corp. Flow meter
WO2002008487A1 (en) 2000-07-24 2002-01-31 The University Of Maryland, College Park Spatially programmable microelectronics process equipment using segmented gas injection showerhead with exhaust gas recirculation
US7223676B2 (en) 2002-06-05 2007-05-29 Applied Materials, Inc. Very low temperature CVD process with independently variable conformality, stress and composition of the CVD layer
US7166524B2 (en) 2000-08-11 2007-01-23 Applied Materials, Inc. Method for ion implanting insulator material to reduce dielectric constant
US6784108B1 (en) 2000-08-31 2004-08-31 Micron Technology, Inc. Gas pulsing for etch profile control
USD449873S1 (en) 2000-09-22 2001-10-30 James Bronson Garbage disposal strainer and splash guard
US6494065B2 (en) 2000-09-26 2002-12-17 Babbitt Steam Specialty Company Valve lockout/tag out system
AU146326S (en) 2000-09-29 2001-12-18 American Standard Int Inc Faucet
US6370796B1 (en) 2000-09-29 2002-04-16 Sony Corporation Heater block cooling system for wafer processing apparatus
US6578893B2 (en) 2000-10-02 2003-06-17 Ajs Automation, Inc. Apparatus and methods for handling semiconductor wafers
US6660660B2 (en) 2000-10-10 2003-12-09 Asm International, Nv. Methods for making a dielectric stack in an integrated circuit
US7204887B2 (en) 2000-10-16 2007-04-17 Nippon Steel Corporation Wafer holding, wafer support member, wafer boat and heat treatment furnace
JP4156788B2 (ja) 2000-10-23 2008-09-24 日本碍子株式会社 半導体製造装置用サセプター
TW548239B (en) 2000-10-23 2003-08-21 Asm Microchemistry Oy Process for producing aluminium oxide films at low temperatures
US6688784B1 (en) 2000-10-25 2004-02-10 Advanced Micro Devices, Inc. Parallel plate development with multiple holes in top plate for control of developer flow and pressure
US6824665B2 (en) 2000-10-25 2004-11-30 Shipley Company, L.L.C. Seed layer deposition
US6445574B1 (en) 2000-10-30 2002-09-03 Motorola, Inc. Electronic device
JP2002158178A (ja) 2000-11-21 2002-05-31 Hitachi Kokusai Electric Inc 基板処理装置および半導体装置の製造方法
US6689220B1 (en) * 2000-11-22 2004-02-10 Simplus Systems Corporation Plasma enhanced pulsed layer deposition
US6613695B2 (en) 2000-11-24 2003-09-02 Asm America, Inc. Surface preparation prior to deposition
US20020064592A1 (en) 2000-11-29 2002-05-30 Madhav Datta Electroless method of seed layer depostion, repair, and fabrication of Cu interconnects
KR100886997B1 (ko) 2000-12-05 2009-03-04 도쿄엘렉트론가부시키가이샤 피처리체의 처리방법 및 처리장치
KR100385947B1 (ko) 2000-12-06 2003-06-02 삼성전자주식회사 원자층 증착 방법에 의한 박막 형성 방법
US6413321B1 (en) 2000-12-07 2002-07-02 Applied Materials, Inc. Method and apparatus for reducing particle contamination on wafer backside during CVD process
US20020076507A1 (en) 2000-12-15 2002-06-20 Chiang Tony P. Process sequence for atomic layer deposition
JP5068402B2 (ja) 2000-12-28 2012-11-07 公益財団法人国際科学振興財団 誘電体膜およびその形成方法、半導体装置、不揮発性半導体メモリ装置、および半導体装置の製造方法
US6398184B1 (en) 2000-12-29 2002-06-04 General Signal Corporation Lock device and lock method for knife gate valves
US7172497B2 (en) 2001-01-05 2007-02-06 Asm Nutool, Inc. Fabrication of semiconductor interconnect structures
US6583048B2 (en) 2001-01-17 2003-06-24 Air Products And Chemicals, Inc. Organosilicon precursors for interlayer dielectric films with low dielectric constants
US7087482B2 (en) 2001-01-19 2006-08-08 Samsung Electronics Co., Ltd. Method of forming material using atomic layer deposition and method of forming capacitor of semiconductor device using the same
JP4644943B2 (ja) 2001-01-23 2011-03-09 東京エレクトロン株式会社 処理装置
JP3626933B2 (ja) 2001-02-08 2005-03-09 東京エレクトロン株式会社 基板載置台の製造方法
US20020108670A1 (en) 2001-02-12 2002-08-15 Baker John Eric High purity chemical container with external level sensor and removable dip tube
WO2002080244A2 (en) 2001-02-12 2002-10-10 Asm America, Inc. Improved process for deposition of semiconductor films
US6613656B2 (en) 2001-02-13 2003-09-02 Micron Technology, Inc. Sequential pulse deposition
US6632478B2 (en) 2001-02-22 2003-10-14 Applied Materials, Inc. Process for forming a low dielectric constant carbon-containing film
JP4487135B2 (ja) 2001-03-05 2010-06-23 東京エレクトロン株式会社 流体制御装置
US6716571B2 (en) 2001-03-28 2004-04-06 Advanced Micro Devices, Inc. Selective photoresist hardening to facilitate lateral trimming
US6521295B1 (en) 2001-04-17 2003-02-18 Pilkington North America, Inc. Chemical vapor deposition of antimony-doped metal oxide and the coated article made thereby
US6482331B2 (en) 2001-04-18 2002-11-19 Taiwan Semiconductor Manufacturing Co., Ltd. Method for preventing contamination in a plasma process chamber
KR100798179B1 (ko) 2001-04-27 2008-01-24 교세라 가부시키가이샤 웨이퍼 가열장치
US20030019428A1 (en) 2001-04-28 2003-01-30 Applied Materials, Inc. Chemical vapor deposition chamber
US6847014B1 (en) 2001-04-30 2005-01-25 Lam Research Corporation Method and apparatus for controlling the spatial temperature distribution across the surface of a workpiece support
US6528430B2 (en) 2001-05-01 2003-03-04 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing Si2C16 and NH3
US6864041B2 (en) 2001-05-02 2005-03-08 International Business Machines Corporation Gate linewidth tailoring and critical dimension control for sub-100 nm devices using plasma etching
US6627268B1 (en) 2001-05-03 2003-09-30 Novellus Systems, Inc. Sequential ion, UV, and electron induced chemical vapor deposition
JP2002343790A (ja) 2001-05-21 2002-11-29 Nec Corp 金属化合物薄膜の気相堆積方法及び半導体装置の製造方法
US6528767B2 (en) 2001-05-22 2003-03-04 Applied Materials, Inc. Pre-heating and load lock pedestal material for high temperature CVD liquid crystal and flat panel display applications
US7037574B2 (en) 2001-05-23 2006-05-02 Veeco Instruments, Inc. Atomic layer deposition for fabricating thin films
US6472266B1 (en) 2001-06-18 2002-10-29 Taiwan Semiconductor Manufacturing Company Method to reduce bit line capacitance in cub drams
US6391803B1 (en) 2001-06-20 2002-05-21 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane
US6709989B2 (en) 2001-06-21 2004-03-23 Motorola, Inc. Method for fabricating a semiconductor structure including a metal oxide interface with silicon
US6420279B1 (en) 2001-06-28 2002-07-16 Sharp Laboratories Of America, Inc. Methods of using atomic layer deposition to deposit a high dielectric constant material on a substrate
JP3708031B2 (ja) 2001-06-29 2005-10-19 株式会社日立製作所 プラズマ処理装置および処理方法
TW539822B (en) 2001-07-03 2003-07-01 Asm Inc Source chemical container assembly
US7179752B2 (en) 2001-07-10 2007-02-20 Tokyo Electron Limited Dry etching method
KR100400044B1 (ko) 2001-07-16 2003-09-29 삼성전자주식회사 간격 조절 장치를 가지는 웨이퍼 처리 장치의 샤워 헤드
US6677254B2 (en) 2001-07-23 2004-01-13 Applied Materials, Inc. Processes for making a barrier between a dielectric and a conductor and products produced therefrom
US6638839B2 (en) 2001-07-26 2003-10-28 The University Of Toledo Hot-filament chemical vapor deposition chamber and process with multiple gas inlets
EP1460678A4 (en) 2001-07-31 2010-01-06 Air Liquide CLEANING METHOD AND APPARATUS AND METHOD AND APPARATUS FOR ETCHING
US6531412B2 (en) 2001-08-10 2003-03-11 International Business Machines Corporation Method for low temperature chemical vapor deposition of low-k films using selected cyclosiloxane and ozone gases for semiconductor applications
JP2003059999A (ja) 2001-08-14 2003-02-28 Tokyo Electron Ltd 処理システム
US6820570B2 (en) 2001-08-15 2004-11-23 Nobel Biocare Services Ag Atomic layer deposition reactor
JP2003060076A (ja) 2001-08-21 2003-02-28 Nec Corp 半導体装置及びその製造方法
US6521547B1 (en) 2001-09-07 2003-02-18 United Microelectronics Corp. Method of repairing a low dielectric constant material layer
US6756318B2 (en) 2001-09-10 2004-06-29 Tegal Corporation Nanolayer thick film processing system and method
US6541370B1 (en) 2001-09-17 2003-04-01 Taiwan Semiconductor Manufacturing Co., Ltd. Composite microelectronic dielectric layer with inhibited crack susceptibility
US6607976B2 (en) 2001-09-25 2003-08-19 Applied Materials, Inc. Copper interconnect barrier layer structure and formation method
US20030059535A1 (en) 2001-09-25 2003-03-27 Lee Luo Cycling deposition of low temperature films in a cold wall single wafer process chamber
US6960537B2 (en) 2001-10-02 2005-11-01 Asm America, Inc. Incorporation of nitrogen into high k dielectric film
US6720259B2 (en) 2001-10-02 2004-04-13 Genus, Inc. Passivation method for improved uniformity and repeatability for atomic layer deposition and chemical vapor deposition
KR100431658B1 (ko) 2001-10-05 2004-05-17 삼성전자주식회사 기판 가열 장치 및 이를 갖는 장치
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
DE60203413T2 (de) 2001-10-26 2006-04-20 Applied Materials, Inc., Santa Clara Gaszuführvorrichtung zur abscheidung von atomaren schichten
WO2003038145A2 (en) 2001-10-29 2003-05-08 Genus, Inc. Chemical vapor deposition system
KR100760291B1 (ko) 2001-11-08 2007-09-19 에이에스엠지니텍코리아 주식회사 박막 형성 방법
KR20030039247A (ko) 2001-11-12 2003-05-17 주성엔지니어링(주) 서셉터
GB2395493B (en) 2001-11-16 2005-03-09 Trikon Holdings Ltd Forming low K dielectric layers
US6926774B2 (en) 2001-11-21 2005-08-09 Applied Materials, Inc. Piezoelectric vaporizer
USD461233S1 (en) 2001-11-29 2002-08-06 James Michael Whalen Marine deck drain strainer
KR100446619B1 (ko) 2001-12-14 2004-09-04 삼성전자주식회사 유도 결합 플라즈마 장치
JP3891267B2 (ja) 2001-12-25 2007-03-14 キヤノンアネルバ株式会社 シリコン酸化膜作製方法
US7125812B2 (en) 2002-01-15 2006-10-24 Tokyo Electron Limited CVD method and device for forming silicon-containing insulation film
EP1466034A1 (en) 2002-01-17 2004-10-13 Sundew Technologies, LLC Ald apparatus and method
US6760981B2 (en) 2002-01-18 2004-07-13 Speedline Technologies, Inc. Compact convection drying chamber for drying printed circuit boards and other electronic assemblies by enhanced evaporation
US20030141820A1 (en) 2002-01-30 2003-07-31 Applied Materials, Inc. Method and apparatus for substrate processing
US6899507B2 (en) 2002-02-08 2005-05-31 Asm Japan K.K. Semiconductor processing apparatus comprising chamber partitioned into reaction and transfer sections
US6734090B2 (en) 2002-02-20 2004-05-11 International Business Machines Corporation Method of making an edge seal for a semiconductor device
DE10207131B4 (de) 2002-02-20 2007-12-20 Infineon Technologies Ag Verfahren zur Bildung einer Hartmaske in einer Schicht auf einer flachen Scheibe
US20030170583A1 (en) 2002-03-01 2003-09-11 Hitachi Kokusai Electric Inc. Heat treatment apparatus and a method for fabricating substrates
KR100449028B1 (ko) 2002-03-05 2004-09-16 삼성전자주식회사 원자층 증착법을 이용한 박막 형성방법
WO2003076678A2 (en) 2002-03-08 2003-09-18 Sundew Technologies, Llc Ald method and apparatus
JP2003264186A (ja) 2002-03-11 2003-09-19 Asm Japan Kk Cvd装置処理室のクリーニング方法
US6825134B2 (en) 2002-03-26 2004-11-30 Applied Materials, Inc. Deposition of film layers by alternately pulsing a precursor and high frequency power in a continuous gas flow
JP4099092B2 (ja) 2002-03-26 2008-06-11 東京エレクトロン株式会社 基板処理装置および基板処理方法、高速ロータリバルブ
US6800134B2 (en) 2002-03-26 2004-10-05 Micron Technology, Inc. Chemical vapor deposition methods and atomic layer deposition methods
JP4128383B2 (ja) 2002-03-27 2008-07-30 東京エレクトロン株式会社 処理装置及び処理方法
JP4959921B2 (ja) 2002-03-28 2012-06-27 プレジデント アンド フェロウズ オブ ハーバード カレッジ 二酸化珪素ナノラミネートの蒸着
JP4106948B2 (ja) 2002-03-29 2008-06-25 東京エレクトロン株式会社 被処理体の跳上り検出装置、被処理体の跳上り検出方法、プラズマ処理装置及びプラズマ処理方法
US6594550B1 (en) 2002-03-29 2003-07-15 Asm America, Inc. Method and system for using a buffer to track robotic movement
US6710312B2 (en) 2002-04-12 2004-03-23 B H Thermal Corporation Heating jacket assembly with field replaceable thermostat
US7988833B2 (en) 2002-04-12 2011-08-02 Schneider Electric USA, Inc. System and method for detecting non-cathode arcing in a plasma generation apparatus
US6846515B2 (en) 2002-04-17 2005-01-25 Air Products And Chemicals, Inc. Methods for using porogens and/or porogenated precursors to provide porous organosilica glass films with low dielectric constants
US8293001B2 (en) 2002-04-17 2012-10-23 Air Products And Chemicals, Inc. Porogens, porogenated precursors and methods for using the same to provide porous organosilica glass films with low dielectric constants
US7045430B2 (en) 2002-05-02 2006-05-16 Micron Technology Inc. Atomic layer-deposited LaAlO3 films for gate dielectrics
US7589029B2 (en) 2002-05-02 2009-09-15 Micron Technology, Inc. Atomic layer deposition and conversion
JP2003324072A (ja) 2002-05-07 2003-11-14 Nec Electronics Corp 半導体製造装置
US7122844B2 (en) 2002-05-13 2006-10-17 Cree, Inc. Susceptor for MOCVD reactor
US6682973B1 (en) 2002-05-16 2004-01-27 Advanced Micro Devices, Inc. Formation of well-controlled thin SiO, SiN, SiON layer for multilayer high-K dielectric applications
KR100466818B1 (ko) 2002-05-17 2005-01-24 주식회사 하이닉스반도체 반도체 소자의 절연막 형성 방법
US6902656B2 (en) * 2002-05-24 2005-06-07 Dalsa Semiconductor Inc. Fabrication of microstructures with vacuum-sealed cavity
US20060014384A1 (en) 2002-06-05 2006-01-19 Jong-Cheol Lee Method of forming a layer and forming a capacitor of a semiconductor device having the same layer
US7195693B2 (en) 2002-06-05 2007-03-27 Advanced Thermal Sciences Lateral temperature equalizing system for large area surfaces during processing
US7135421B2 (en) 2002-06-05 2006-11-14 Micron Technology, Inc. Atomic layer-deposited hafnium aluminum oxide
JP2004014952A (ja) 2002-06-10 2004-01-15 Tokyo Electron Ltd 処理装置および処理方法
US6858547B2 (en) 2002-06-14 2005-02-22 Applied Materials, Inc. System and method for forming a gate dielectric
US7067439B2 (en) 2002-06-14 2006-06-27 Applied Materials, Inc. ALD metal oxide deposition process using direct oxidation
US7601225B2 (en) 2002-06-17 2009-10-13 Asm International N.V. System for controlling the sublimation of reactants
US20040018750A1 (en) 2002-07-02 2004-01-29 Sophie Auguste J.L. Method for deposition of nitrogen doped silicon carbide films
US6821347B2 (en) 2002-07-08 2004-11-23 Micron Technology, Inc. Apparatus and method for depositing materials onto microelectronic workpieces
US6976822B2 (en) 2002-07-16 2005-12-20 Semitool, Inc. End-effectors and transfer devices for handling microelectronic workpieces
US7186385B2 (en) 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
US7357138B2 (en) 2002-07-18 2008-04-15 Air Products And Chemicals, Inc. Method for etching high dielectric constant materials and for cleaning deposition chambers for high dielectric constant materials
US7294582B2 (en) 2002-07-19 2007-11-13 Asm International, N.V. Low temperature silicon compound deposition
KR100447284B1 (ko) 2002-07-19 2004-09-07 삼성전자주식회사 화학기상증착 챔버의 세정 방법
EP1540705A4 (en) 2002-07-19 2009-12-16 Entegris Inc LIQUID FLOW CONTROL AND PRECISION DELIVERY DEVICE AND SYSTEM
JP2005534179A (ja) 2002-07-19 2005-11-10 アヴィザ テクノロジー インコーポレイテッド アミノシランとオゾンを用いる低温誘電体蒸着法
JP5005170B2 (ja) 2002-07-19 2012-08-22 エーエスエム アメリカ インコーポレイテッド 超高品質シリコン含有化合物層の形成方法
TW200427858A (en) 2002-07-19 2004-12-16 Asml Us Inc Atomic layer deposition of high k dielectric films
US6921062B2 (en) 2002-07-23 2005-07-26 Advanced Technology Materials, Inc. Vaporizer delivery ampoule
US7223323B2 (en) 2002-07-24 2007-05-29 Applied Materials, Inc. Multi-chemistry plating system
KR100464855B1 (ko) 2002-07-26 2005-01-06 삼성전자주식회사 박막 형성 방법과, 이를 이용한 커패시터 형성 방법 및트랜지스터 형성 방법
EP1525337A2 (en) 2002-07-30 2005-04-27 ASM America, Inc. Sublimation system employing carrier gas
DE10235427A1 (de) 2002-08-02 2004-02-12 Eos Gmbh Electro Optical Systems Vorrichtung und Verfahren zum Herstellen von dreidimensionalen Objekten mittels eines generativen Fertigungsverfahrens
US7153542B2 (en) 2002-08-06 2006-12-26 Tegal Corporation Assembly line processing method
JP4034145B2 (ja) 2002-08-09 2008-01-16 住友大阪セメント株式会社 サセプタ装置
KR100480610B1 (ko) 2002-08-09 2005-03-31 삼성전자주식회사 실리콘 산화막을 이용한 미세 패턴 형성방법
TW200408323A (en) 2002-08-18 2004-05-16 Asml Us Inc Atomic layer deposition of high k metal oxides
TW200408015A (en) 2002-08-18 2004-05-16 Asml Us Inc Atomic layer deposition of high K metal silicates
US6649921B1 (en) 2002-08-19 2003-11-18 Fusion Uv Systems, Inc. Apparatus and method providing substantially two-dimensionally uniform irradiation
US20040036129A1 (en) 2002-08-22 2004-02-26 Micron Technology, Inc. Atomic layer deposition of CMOS gates with variable work functions
US6967154B2 (en) 2002-08-26 2005-11-22 Micron Technology, Inc. Enhanced atomic layer deposition
US6794284B2 (en) 2002-08-28 2004-09-21 Micron Technology, Inc. Systems and methods for forming refractory metal nitride layers using disilazanes
JP2004091848A (ja) 2002-08-30 2004-03-25 Tokyo Electron Ltd 薄膜形成装置の原料ガス供給系および薄膜形成装置
JP2005538564A (ja) 2002-09-10 2005-12-15 エフエスアイ インターナショナル インコーポレイテッド 加熱蓋体を備えた熱処理ステーション
US7011299B2 (en) 2002-09-16 2006-03-14 Matheson Tri-Gas, Inc. Liquid vapor delivery system and method of maintaining a constant level of fluid therein
KR100497748B1 (ko) 2002-09-17 2005-06-29 주식회사 무한 반도체소자 제조용 원자층 증착 장치 및 원자층 증착 방법
US7411352B2 (en) 2002-09-19 2008-08-12 Applied Process Technologies, Inc. Dual plasma beam sources and method
JP2004128019A (ja) 2002-09-30 2004-04-22 Applied Materials Inc プラズマ処理方法及び装置
JP2004127957A (ja) 2002-09-30 2004-04-22 Fujitsu Ltd 半導体装置の製造方法と半導体装置
JP2004134553A (ja) 2002-10-10 2004-04-30 Sony Corp レジストパターンの形成方法及び半導体装置の製造方法
EP1408140A1 (en) 2002-10-11 2004-04-14 STMicroelectronics S.r.l. A high-density plasma process for depositing a layer of Silicon Nitride
US6905737B2 (en) 2002-10-11 2005-06-14 Applied Materials, Inc. Method of delivering activated species for rapid cyclical deposition
KR100460841B1 (ko) 2002-10-22 2004-12-09 한국전자통신연구원 플라즈마 인가 원자층 증착법을 통한 질소첨가 산화물박막의 형성방법
KR100520902B1 (ko) 2002-11-20 2005-10-12 주식회사 아이피에스 알루미늄 화합물을 이용한 박막증착방법
KR100496265B1 (ko) 2002-11-29 2005-06-17 한국전자통신연구원 반도체 소자의 박막 형성방법
TW200410337A (en) 2002-12-02 2004-06-16 Au Optronics Corp Dry cleaning method for plasma reaction chamber
US7122414B2 (en) 2002-12-03 2006-10-17 Asm International, Inc. Method to fabricate dual metal CMOS devices
US6858524B2 (en) 2002-12-03 2005-02-22 Asm International, Nv Method of depositing barrier layer for metal gates
US6895158B2 (en) 2002-12-09 2005-05-17 Eastman Kodak Company Waveguide and method of smoothing optical surfaces
US7092287B2 (en) 2002-12-18 2006-08-15 Asm International N.V. Method of fabricating silicon nitride nanodots
WO2004059699A2 (en) 2002-12-20 2004-07-15 Brooks Automation, Inc. System and method for on-the-fly eccentricity recognition
USD486891S1 (en) 2003-01-21 2004-02-17 Richard W. Cronce, Jr. Vent pipe protective cover
USD497977S1 (en) 2003-01-22 2004-11-02 Tour & Andersson Ab Sealing ring membrane
US7122222B2 (en) 2003-01-23 2006-10-17 Air Products And Chemicals, Inc. Precursors for depositing silicon containing films and processes thereof
US20040144980A1 (en) 2003-01-27 2004-07-29 Ahn Kie Y. Atomic layer deposition of metal oxynitride layers as gate dielectrics and semiconductor device structures utilizing metal oxynitride layers
US7129165B2 (en) 2003-02-04 2006-10-31 Asm Nutool, Inc. Method and structure to improve reliability of copper interconnects
US7163721B2 (en) 2003-02-04 2007-01-16 Tegal Corporation Method to plasma deposit on organic polymer dielectric film
WO2004070816A1 (ja) 2003-02-06 2004-08-19 Tokyo Electron Limited プラズマ処理方法,半導体基板及びプラズマ処理装置
US6876017B2 (en) 2003-02-08 2005-04-05 Intel Corporation Polymer sacrificial light absorbing structure and method
TWI338323B (en) 2003-02-17 2011-03-01 Nikon Corp Stage device, exposure device and manufacguring method of devices
US7091453B2 (en) 2003-02-27 2006-08-15 Dainippon Screen Mfg. Co., Ltd. Heat treatment apparatus by means of light irradiation
US6930059B2 (en) 2003-02-27 2005-08-16 Sharp Laboratories Of America, Inc. Method for depositing a nanolaminate film by atomic layer deposition
US20040168627A1 (en) 2003-02-27 2004-09-02 Sharp Laboratories Of America, Inc. Atomic layer deposition of oxide film
US7192892B2 (en) 2003-03-04 2007-03-20 Micron Technology, Inc. Atomic layer deposited dielectric layers
US7098149B2 (en) 2003-03-04 2006-08-29 Air Products And Chemicals, Inc. Mechanical enhancement of dense and porous organosilicate materials by UV exposure
JP2004273766A (ja) 2003-03-07 2004-09-30 Watanabe Shoko:Kk 気化装置及びそれを用いた成膜装置並びに気化方法及び成膜方法
JP4369203B2 (ja) 2003-03-24 2009-11-18 信越化学工業株式会社 反射防止膜材料、反射防止膜を有する基板及びパターン形成方法
KR100877129B1 (ko) 2003-03-26 2009-01-07 신에쯔 한도타이 가부시키가이샤 열처리용 웨이퍼 지지구 및 열처리 장치
JP2004294638A (ja) 2003-03-26 2004-10-21 Tokyo Ohka Kogyo Co Ltd ネガ型レジスト材料およびレジストパターン形成方法
US7208389B1 (en) 2003-03-31 2007-04-24 Novellus Systems, Inc. Method of porogen removal from porous low-k films using UV radiation
US20040198069A1 (en) 2003-04-04 2004-10-07 Applied Materials, Inc. Method for hafnium nitride deposition
US7037376B2 (en) 2003-04-11 2006-05-02 Applied Materials Inc. Backflush chamber clean
US7077973B2 (en) 2003-04-18 2006-07-18 Applied Materials, Inc. Methods for substrate orientation
TW200506093A (en) 2003-04-21 2005-02-16 Aviza Tech Inc System and method for forming multi-component films
US7221553B2 (en) 2003-04-22 2007-05-22 Applied Materials, Inc. Substrate support having heat transfer system
US20040261712A1 (en) 2003-04-25 2004-12-30 Daisuke Hayashi Plasma processing apparatus
US7601223B2 (en) 2003-04-29 2009-10-13 Asm International N.V. Showerhead assembly and ALD methods
US7033113B2 (en) 2003-05-01 2006-04-25 Shell Oil Company Mid-line connector and method for pipe-in-pipe electrical heating
US6939817B2 (en) 2003-05-08 2005-09-06 Micron Technology, Inc. Removal of carbon from an insulative layer using ozone
EP1623454A2 (en) 2003-05-09 2006-02-08 ASM America, Inc. Reactor surface passivation through chemical deactivation
US7265061B1 (en) 2003-05-09 2007-09-04 Novellus Systems, Inc. Method and apparatus for UV exposure of low dielectric constant materials for porogen removal and improved mechanical properties
US8512798B2 (en) 2003-06-05 2013-08-20 Superpower, Inc. Plasma assisted metalorganic chemical vapor deposition (MOCVD) system
US7141500B2 (en) 2003-06-05 2006-11-28 American Air Liquide, Inc. Methods for forming aluminum containing films utilizing amino aluminum precursors
US7238596B2 (en) 2003-06-13 2007-07-03 Arizona Board of Regenta, a body corporate of the State of Arizona acting for and on behalf of Arizona State University Method for preparing Ge1-x-ySnxEy (E=P, As, Sb) semiconductors and related Si-Ge-Sn-E and Si-Ge-E analogs
US7598513B2 (en) 2003-06-13 2009-10-06 Arizona Board Of Regents, Acting For And On Behalf Of Arizona State University, A Corporate Body Organized Under Arizona Law SixSnyGe1-x-y and related alloy heterostructures based on Si, Ge and Sn
US7589003B2 (en) 2003-06-13 2009-09-15 Arizona Board Of Regents, Acting For And On Behalf Of Arizona State University, A Corporate Body Organized Under Arizona Law GeSn alloys and ordered phases with direct tunable bandgaps grown directly on silicon
US7192824B2 (en) 2003-06-24 2007-03-20 Micron Technology, Inc. Lanthanide oxide / hafnium oxide dielectric layers
KR20050001793A (ko) 2003-06-26 2005-01-07 삼성전자주식회사 단원자층 증착 공정의 실시간 분석 방법
US7547363B2 (en) 2003-07-08 2009-06-16 Tosoh Finechem Corporation Solid organometallic compound-filled container and filling method thereof
US7055875B2 (en) 2003-07-11 2006-06-06 Asyst Technologies, Inc. Ultra low contact area end effector
US6909839B2 (en) 2003-07-23 2005-06-21 Advanced Technology Materials, Inc. Delivery systems for efficient vaporization of precursor source material
JP4298421B2 (ja) 2003-07-23 2009-07-22 エスペック株式会社 サーマルプレートおよび試験装置
US7399388B2 (en) 2003-07-25 2008-07-15 Applied Materials, Inc. Sequential gas flow oxide deposition technique
US7122481B2 (en) 2003-07-25 2006-10-17 Intel Corporation Sealing porous dielectrics with silane coupling reagents
JP2007516599A (ja) 2003-08-04 2007-06-21 エーエスエム アメリカ インコーポレイテッド ゲルマニウム上の堆積前の表面調製
JP2005072405A (ja) 2003-08-27 2005-03-17 Sony Corp 薄膜の形成方法および半導体装置の製造方法
KR20060064067A (ko) 2003-09-03 2006-06-12 동경 엘렉트론 주식회사 가스 처리 장치 및 처리 가스 토출 기구의 방열 방법
US7235482B2 (en) 2003-09-08 2007-06-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing a contact interconnection layer containing a metal and nitrogen by atomic layer deposition for deep sub-micron semiconductor technology
US7335277B2 (en) 2003-09-08 2008-02-26 Hitachi High-Technologies Corporation Vacuum processing apparatus
KR100551138B1 (ko) 2003-09-09 2006-02-10 어댑티브프라즈마테크놀로지 주식회사 균일한 플라즈마 발생을 위한 적응형 플라즈마 소스
US7414281B1 (en) 2003-09-09 2008-08-19 Spansion Llc Flash memory with high-K dielectric material between substrate and gate
US7132201B2 (en) 2003-09-12 2006-11-07 Micron Technology, Inc. Transparent amorphous carbon structure in semiconductor devices
US6911399B2 (en) 2003-09-19 2005-06-28 Applied Materials, Inc. Method of controlling critical dimension microloading of photoresist trimming process by selective sidewall polymer deposition
WO2005029566A1 (ja) 2003-09-19 2005-03-31 Hitachi Kokusai Electric Inc. 半導体装置の製造方法および基板処理装置
JP4524554B2 (ja) 2003-09-25 2010-08-18 信越化学工業株式会社 γ,δ−不飽和カルボン酸及びそのシリルエステルの製造方法、カルボキシル基を有する有機ケイ素化合物及びその製造方法
US7156380B2 (en) 2003-09-29 2007-01-02 Asm International, N.V. Safe liquid source containers
US6875677B1 (en) 2003-09-30 2005-04-05 Sharp Laboratories Of America, Inc. Method to control the interfacial layer for deposition of high dielectric constant films
US7205247B2 (en) 2003-09-30 2007-04-17 Aviza Technology, Inc. Atomic layer deposition of hafnium-based high-k dielectric
US20050069651A1 (en) 2003-09-30 2005-03-31 Tokyo Electron Limited Plasma processing system
US6974781B2 (en) 2003-10-20 2005-12-13 Asm International N.V. Reactor precoating for reduced stress and uniform CVD
WO2005042160A2 (en) 2003-10-29 2005-05-12 Asm America, Inc. Reaction system for growing a thin film
US7329947B2 (en) 2003-11-07 2008-02-12 Sumitomo Mitsubishi Silicon Corporation Heat treatment jig for semiconductor substrate
US8313277B2 (en) 2003-11-10 2012-11-20 Brooks Automation, Inc. Semiconductor manufacturing process modules
US7071118B2 (en) 2003-11-12 2006-07-04 Veeco Instruments, Inc. Method and apparatus for fabricating a conformal thin film on a substrate
US20050153571A1 (en) 2003-11-17 2005-07-14 Yoshihide Senzaki Nitridation of high-k dielectric films
KR100550641B1 (ko) 2003-11-22 2006-02-09 주식회사 하이닉스반도체 산화하프늄과 산화알루미늄이 혼합된 유전막 및 그 제조방법
JP4725085B2 (ja) 2003-12-04 2011-07-13 株式会社豊田中央研究所 非晶質炭素、非晶質炭素被膜部材および非晶質炭素膜の成膜方法
US7143897B1 (en) 2003-12-09 2006-12-05 H20 International, Inc. Water filter
US7431966B2 (en) 2003-12-09 2008-10-07 Micron Technology, Inc. Atomic layer deposition method of depositing an oxide on a substrate
KR100549273B1 (ko) 2004-01-15 2006-02-03 주식회사 테라세미콘 반도체 제조장치의 기판홀더
JP4513329B2 (ja) 2004-01-16 2010-07-28 東京エレクトロン株式会社 処理装置
US7071051B1 (en) 2004-01-20 2006-07-04 Advanced Micro Devices, Inc. Method for forming a thin, high quality buffer layer in a field effect transistor and related structure
US7354847B2 (en) 2004-01-26 2008-04-08 Taiwan Semiconductor Manufacturing Company Method of trimming technology
CN100452306C (zh) 2004-01-30 2009-01-14 东京毅力科创株式会社 具有流体间隙的衬底保持器和制造衬底保持器的方法
DE102004005385A1 (de) 2004-02-03 2005-10-20 Infineon Technologies Ag Verwendung von gelösten Hafniumalkoxiden bzw. Zirkoniumalkoxiden als Precursoren für Hafniumoxid- und Hafniumoxynitridschichten bzw. Zirkoniumoxid- und Zirkoniumoxynitridschichten
US20050181535A1 (en) 2004-02-17 2005-08-18 Yun Sun J. Method of fabricating passivation layer for organic devices
US20050187647A1 (en) 2004-02-19 2005-08-25 Kuo-Hua Wang Intelligent full automation controlled flow for a semiconductor furnace tool
US7088003B2 (en) 2004-02-19 2006-08-08 International Business Machines Corporation Structures and methods for integration of ultralow-k dielectrics with improved reliability
US20060062910A1 (en) 2004-03-01 2006-03-23 Meiere Scott H Low zirconium, hafnium-containing compositions, processes for the preparation thereof and methods of use thereof
US20050214458A1 (en) 2004-03-01 2005-09-29 Meiere Scott H Low zirconium hafnium halide compositions
JP4879159B2 (ja) 2004-03-05 2012-02-22 アプライド マテリアルズ インコーポレイテッド アモルファス炭素膜堆積のためのcvdプロセス
US7079740B2 (en) 2004-03-12 2006-07-18 Applied Materials, Inc. Use of amorphous carbon film as a hardmask in the fabrication of optical waveguides
KR100538096B1 (ko) 2004-03-16 2005-12-21 삼성전자주식회사 원자층 증착 방법을 이용하는 커패시터 형성 방법
US7582555B1 (en) 2005-12-29 2009-09-01 Novellus Systems, Inc. CVD flowable gap fill
US7524735B1 (en) 2004-03-25 2009-04-28 Novellus Systems, Inc Flowable film dielectric gap fill process
US20050214457A1 (en) 2004-03-29 2005-09-29 Applied Materials, Inc. Deposition of low dielectric constant films by N2O addition
US20050221618A1 (en) 2004-03-31 2005-10-06 Amrhein Frederick J System for controlling a plenum output flow geometry
CN1292092C (zh) 2004-04-01 2006-12-27 南昌大学 用于金属有机化学气相沉积设备的双层进气喷头
US7585371B2 (en) 2004-04-08 2009-09-08 Micron Technology, Inc. Substrate susceptors for receiving semiconductor substrates to be deposited upon
US20050227502A1 (en) 2004-04-12 2005-10-13 Applied Materials, Inc. Method for forming an ultra low dielectric film by forming an organosilicon matrix and large porogens as a template for increased porosity
US7273526B2 (en) 2004-04-15 2007-09-25 Asm Japan K.K. Thin-film deposition apparatus
US7785672B2 (en) 2004-04-20 2010-08-31 Applied Materials, Inc. Method of controlling the film properties of PECVD-deposited thin films
US8083853B2 (en) 2004-05-12 2011-12-27 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
US20060019502A1 (en) 2004-07-23 2006-01-26 Park Beom S Method of controlling the film properties of a CVD-deposited silicon nitride film
WO2005104204A1 (ja) 2004-04-21 2005-11-03 Hitachi Kokusai Electric Inc. 熱処理装置
USD553104S1 (en) 2004-04-21 2007-10-16 Tokyo Electron Limited Absorption board for an electric chuck used in semiconductor manufacture
US7708859B2 (en) 2004-04-30 2010-05-04 Lam Research Corporation Gas distribution system having fast gas switching capabilities
US7049247B2 (en) 2004-05-03 2006-05-23 International Business Machines Corporation Method for fabricating an ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device and electronic device made
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
US20060019033A1 (en) 2004-05-21 2006-01-26 Applied Materials, Inc. Plasma treatment of hafnium-containing materials
JP2005340251A (ja) 2004-05-24 2005-12-08 Shin Etsu Chem Co Ltd プラズマ処理装置用のシャワープレート及びプラズマ処理装置
US7271093B2 (en) 2004-05-24 2007-09-18 Asm Japan K.K. Low-carbon-doped silicon oxide film and damascene structure using same
US20050266173A1 (en) 2004-05-26 2005-12-01 Tokyo Electron Limited Method and apparatus of distributed plasma processing system for conformal ion stimulated nanoscale deposition process
US7580388B2 (en) 2004-06-01 2009-08-25 Lg Electronics Inc. Method and apparatus for providing enhanced messages on common control channel in wireless communication system
US7651583B2 (en) 2004-06-04 2010-01-26 Tokyo Electron Limited Processing system and method for treating a substrate
US7132360B2 (en) 2004-06-10 2006-11-07 Freescale Semiconductor, Inc. Method for treating a semiconductor surface to form a metal-containing layer
KR100589062B1 (ko) 2004-06-10 2006-06-12 삼성전자주식회사 원자층 적층 방식의 박막 형성방법 및 이를 이용한 반도체소자의 커패시터 형성방법
US7396743B2 (en) 2004-06-10 2008-07-08 Singh Kaushal K Low temperature epitaxial growth of silicon-containing films using UV radiation
JP4534619B2 (ja) 2004-06-21 2010-09-01 株式会社Sumco 半導体シリコン基板用熱処理治具
EP1769522B1 (en) 2004-07-09 2016-11-23 Philips Lighting Holding B.V. Uvc/vuv dielectric barrier discharge lamp with reflector
US7422653B2 (en) 2004-07-13 2008-09-09 Applied Materials, Inc. Single-sided inflatable vertical slit valve
US7094442B2 (en) 2004-07-13 2006-08-22 Applied Materials, Inc. Methods for the reduction and elimination of particulate contamination with CVD of amorphous carbon
KR100578819B1 (ko) 2004-07-15 2006-05-11 삼성전자주식회사 원자층 적층 방법과 이를 이용한 게이트 구조물의 제조방법 및 커패시터의 제조 방법
US20060016783A1 (en) 2004-07-22 2006-01-26 Dingjun Wu Process for titanium nitride removal
US20060021703A1 (en) 2004-07-29 2006-02-02 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
CN1997770A (zh) 2004-07-30 2007-07-11 Lpe公司 具有控制定位的衬托器的外延反应器
US7470633B2 (en) 2004-08-09 2008-12-30 Asm Japan K.K. Method of forming a carbon polymer film using plasma CVD
KR101071136B1 (ko) 2004-08-27 2011-10-10 엘지디스플레이 주식회사 평판표시장치의 제조를 위한 기판의 박막처리장치
ITMI20041677A1 (it) 2004-08-30 2004-11-30 E T C Epitaxial Technology Ct Processo di pulitura e processo operativo per un reattore cvd.
DE102004042431B4 (de) 2004-08-31 2008-07-03 Schott Ag Verfahren und Vorrichtung zur Plasmabeschichtung von Werkstücken mit spektraler Auswertung der Prozessparameter und Verwendung der Vorrichtung
US8158488B2 (en) 2004-08-31 2012-04-17 Micron Technology, Inc. Method of increasing deposition rate of silicon dioxide on a catalyst
US7910288B2 (en) 2004-09-01 2011-03-22 Micron Technology, Inc. Mask material conversion
US7253084B2 (en) 2004-09-03 2007-08-07 Asm America, Inc. Deposition from liquid sources
US20060137609A1 (en) 2004-09-13 2006-06-29 Puchacz Jerzy P Multi-single wafer processing apparatus
US20060060930A1 (en) 2004-09-17 2006-03-23 Metz Matthew V Atomic layer deposition of high dielectric constant gate dielectrics
DE102005045081B4 (de) 2004-09-29 2011-07-07 Covalent Materials Corp. Suszeptor
US7241475B2 (en) 2004-09-30 2007-07-10 The Aerospace Corporation Method for producing carbon surface films by plasma exposure of a carbide compound
US6874247B1 (en) 2004-10-12 2005-04-05 Tsang-Hung Hsu Toothbrush dryer
US20060257563A1 (en) 2004-10-13 2006-11-16 Seok-Joo Doh Method of fabricating silicon-doped metal oxide layer using atomic layer deposition technique
CN101645394A (zh) 2004-10-19 2010-02-10 佳能安内华股份有限公司 基板支撑、运送用托盘
US7790633B1 (en) 2004-10-26 2010-09-07 Novellus Systems, Inc. Sequential deposition/anneal film densification method
US7163900B2 (en) 2004-11-01 2007-01-16 Infineon Technologies Ag Using polydentate ligands for sealing pores in low-k dielectrics
JP2006135161A (ja) 2004-11-08 2006-05-25 Canon Inc 絶縁膜の形成方法及び装置
JP4435666B2 (ja) 2004-11-09 2010-03-24 東京エレクトロン株式会社 プラズマ処理方法、成膜方法
US7678682B2 (en) 2004-11-12 2010-03-16 Axcelis Technologies, Inc. Ultraviolet assisted pore sealing of porous low k dielectric films
TWI536429B (zh) 2004-11-18 2016-06-01 尼康股份有限公司 A position measuring method, a position control method, a measuring method, a loading method, an exposure method and an exposure apparatus, and a device manufacturing method
KR100773755B1 (ko) 2004-11-18 2007-11-09 주식회사 아이피에스 플라즈마 ald 박막증착방법
EP1953794B1 (en) 2004-11-24 2012-02-01 Oerlikon Solar AG, Trübbach Vacuum processing chamber for very large area substrates
US20060113806A1 (en) 2004-11-29 2006-06-01 Asm Japan K.K. Wafer transfer mechanism
US20060113675A1 (en) 2004-12-01 2006-06-01 Chung-Liang Chang Barrier material and process for Cu interconnect
US7235501B2 (en) 2004-12-13 2007-06-26 Micron Technology, Inc. Lanthanum hafnium oxide dielectrics
US7290813B2 (en) 2004-12-16 2007-11-06 Asyst Technologies, Inc. Active edge grip rest pad
US7396732B2 (en) 2004-12-17 2008-07-08 Interuniversitair Microelektronica Centrum Vzw (Imec) Formation of deep trench airgaps and related applications
US7396412B2 (en) 2004-12-22 2008-07-08 Sokudo Co., Ltd. Coat/develop module with shared dispense
JP4560681B2 (ja) 2004-12-24 2010-10-13 ミネベア株式会社 多灯式放電灯点灯装置
JP2006186271A (ja) 2004-12-28 2006-07-13 Sharp Corp 気相成長装置および成膜済基板の製造方法
KR20070107017A (ko) 2004-12-30 2007-11-06 어플라이드 머티어리얼스, 인코포레이티드 트리밍과 호환되는 라인 에지 조도 감소 방법
US7846499B2 (en) 2004-12-30 2010-12-07 Asm International N.V. Method of pulsing vapor precursors in an ALD reactor
US7560395B2 (en) 2005-01-05 2009-07-14 Micron Technology, Inc. Atomic layer deposited hafnium tantalum oxide dielectrics
US7598516B2 (en) 2005-01-07 2009-10-06 International Business Machines Corporation Self-aligned process for nanotube/nanowire FETs
KR101463581B1 (ko) 2005-01-18 2014-11-20 에이에스엠 아메리카, 인코포레이티드 박막 성장용 반응 시스템
KR100640550B1 (ko) 2005-01-26 2006-10-31 주식회사 아이피에스 플라즈마 ald 박막증착방법
US7135402B2 (en) 2005-02-01 2006-11-14 Taiwan Semiconductor Manufacturing Company, Ltd. Sealing pores of low-k dielectrics using CxHy
US7298009B2 (en) 2005-02-01 2007-11-20 Infineon Technologies Ag Semiconductor method and device with mixed orientation substrate
TW200634982A (en) 2005-02-22 2006-10-01 Asm Inc Plasma pre-treating surfaces for atomic layer deposition
JP4764028B2 (ja) 2005-02-28 2011-08-31 株式会社日立ハイテクノロジーズ プラズマ処理方法
US6972478B1 (en) 2005-03-07 2005-12-06 Advanced Micro Devices, Inc. Integrated circuit and method for its manufacture
US7629267B2 (en) 2005-03-07 2009-12-08 Asm International N.V. High stress nitride film and method for formation thereof
US7314835B2 (en) 2005-03-21 2008-01-01 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US7422636B2 (en) 2005-03-25 2008-09-09 Tokyo Electron Limited Plasma enhanced atomic layer deposition system having reduced contamination
US20060226117A1 (en) 2005-03-29 2006-10-12 Bertram Ronald T Phase change based heating element system and method
US7479198B2 (en) 2005-04-07 2009-01-20 Timothy D'Annunzio Methods for forming nanofiber adhesive structures
KR20080003387A (ko) 2005-04-07 2008-01-07 에비자 테크놀로지, 인크. 다중층, 다중성분 높은-k 막들 및 이들의 증착 방법
KR100640640B1 (ko) 2005-04-19 2006-10-31 삼성전자주식회사 미세 피치의 하드마스크를 이용한 반도체 소자의 미세 패턴형성 방법
JP4694878B2 (ja) 2005-04-20 2011-06-08 Okiセミコンダクタ株式会社 半導体製造装置および半導体装置の製造方法
US7160819B2 (en) 2005-04-25 2007-01-09 Sharp Laboratories Of America, Inc. Method to perform selective atomic layer deposition of zinc oxide
US8137465B1 (en) 2005-04-26 2012-03-20 Novellus Systems, Inc. Single-chamber sequential curing of semiconductor wafers
US20060251827A1 (en) 2005-05-09 2006-11-09 Applied Materials, Inc. Tandem uv chamber for curing dielectric materials
US7875556B2 (en) 2005-05-16 2011-01-25 Air Products And Chemicals, Inc. Precursors for CVD silicon carbo-nitride and silicon nitride films
US7109098B1 (en) 2005-05-17 2006-09-19 Applied Materials, Inc. Semiconductor junction formation process including low temperature plasma deposition of an optical absorption layer and high speed optical annealing
US7312162B2 (en) 2005-05-17 2007-12-25 Applied Materials, Inc. Low temperature plasma deposition process for carbon layer deposition
US7422775B2 (en) 2005-05-17 2008-09-09 Applied Materials, Inc. Process for low temperature plasma deposition of an optical absorption layer and high speed optical annealing
US20060260545A1 (en) 2005-05-17 2006-11-23 Kartik Ramaswamy Low temperature absorption layer deposition and high speed optical annealing system
US20070155138A1 (en) 2005-05-24 2007-07-05 Pierre Tomasini Apparatus and method for depositing silicon germanium films
US7732342B2 (en) 2005-05-26 2010-06-08 Applied Materials, Inc. Method to increase the compressive stress of PECVD silicon nitride films
KR100997868B1 (ko) 2005-05-31 2010-12-01 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치 및 플라즈마 처리 방법
US8435905B2 (en) 2005-06-13 2013-05-07 Hitachi Kokusai Electric Inc. Manufacturing method of semiconductor device, and substrate processing apparatus
US20060278524A1 (en) 2005-06-14 2006-12-14 Stowell Michael W System and method for modulating power signals to control sputtering
JP4853857B2 (ja) 2005-06-15 2012-01-11 東京エレクトロン株式会社 基板の処理方法,コンピュータ読み取り可能な記録媒体及び基板処理装置
JP4753173B2 (ja) 2005-06-17 2011-08-24 株式会社フジキン 流体制御装置
JP2007005582A (ja) 2005-06-24 2007-01-11 Asm Japan Kk 基板搬送装置及びそれを搭載した半導体基板製造装置
US7575990B2 (en) 2005-07-01 2009-08-18 Macronix International Co., Ltd. Method of forming self-aligned contacts and local interconnects
JP2009500857A (ja) 2005-07-08 2009-01-08 アヴィザ テクノロジー インコーポレイテッド シリコン含有膜の堆積方法
US8771806B2 (en) 2005-07-09 2014-07-08 Bang-Kwon Kang Surface coating method for hydrophobic and superhydrophobic treatment in atmospheric pressure plasma
US20070010072A1 (en) 2005-07-09 2007-01-11 Aviza Technology, Inc. Uniform batch film deposition process and films so produced
US7925378B2 (en) 2005-07-11 2011-04-12 Brooks Automation, Inc. Process apparatus with on-the-fly workpiece centering
US7762755B2 (en) 2005-07-11 2010-07-27 Brooks Automation, Inc. Equipment storage for substrate processing apparatus
US7314838B2 (en) 2005-07-21 2008-01-01 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming a high density dielectric film by chemical vapor deposition
JP2007035747A (ja) 2005-07-25 2007-02-08 Sumitomo Electric Ind Ltd ウェハ保持体およびそれを搭載したウェハプローバ
JP2007035899A (ja) 2005-07-27 2007-02-08 Sumitomo Electric Ind Ltd ウエハプローバ用ウエハ保持体及びそれを搭載したウエハプローバ
TWI313486B (en) 2005-07-28 2009-08-11 Nuflare Technology Inc Position measurement apparatus and method and writing apparatus and method
US20070028842A1 (en) 2005-08-02 2007-02-08 Makoto Inagawa Vacuum chamber bottom
US20090045829A1 (en) 2005-08-04 2009-02-19 Sumitomo Electric Industries, Ltd. Wafer holder for wafer prober and wafer prober equipped with same
US20070037412A1 (en) 2005-08-05 2007-02-15 Tokyo Electron Limited In-situ atomic layer deposition
US7323401B2 (en) 2005-08-08 2008-01-29 Applied Materials, Inc. Semiconductor substrate process using a low temperature deposited carbon-containing hard mask
US7335611B2 (en) 2005-08-08 2008-02-26 Applied Materials, Inc. Copper conductor annealing process employing high speed optical annealing with a low temperature-deposited optical absorber layer
US7312148B2 (en) 2005-08-08 2007-12-25 Applied Materials, Inc. Copper barrier reflow process employing high speed optical annealing
US7429532B2 (en) 2005-08-08 2008-09-30 Applied Materials, Inc. Semiconductor substrate process using an optically writable carbon-containing mask
WO2007020874A1 (ja) * 2005-08-16 2007-02-22 Hitachi Kokusai Electric Inc. 薄膜形成方法および半導体デバイスの製造方法
US7718225B2 (en) 2005-08-17 2010-05-18 Applied Materials, Inc. Method to control semiconductor film deposition characteristics
USD557226S1 (en) 2005-08-25 2007-12-11 Hitachi High-Technologies Corporation Electrode cover for a plasma processing apparatus
US7402534B2 (en) 2005-08-26 2008-07-22 Applied Materials, Inc. Pretreatment processes within a batch ALD reactor
US7393736B2 (en) 2005-08-29 2008-07-01 Micron Technology, Inc. Atomic layer deposition of Zrx Hfy Sn1-x-y O2 films as high k gate dielectrics
JP4815600B2 (ja) 2005-09-06 2011-11-16 株式会社テラセミコン 多結晶シリコン薄膜製造方法及びその製造装置
JP2007088113A (ja) 2005-09-21 2007-04-05 Sony Corp 半導体装置の製造方法
US20070065578A1 (en) 2005-09-21 2007-03-22 Applied Materials, Inc. Treatment processes for a batch ALD reactor
US7691204B2 (en) 2005-09-30 2010-04-06 Applied Materials, Inc. Film formation apparatus and methods including temperature and emissivity/pattern compensation
US7785658B2 (en) 2005-10-07 2010-08-31 Asm Japan K.K. Method for forming metal wiring structure
US7691205B2 (en) 2005-10-18 2010-04-06 Asm Japan K.K. Substrate-supporting device
US7994721B2 (en) 2005-10-27 2011-08-09 Luxim Corporation Plasma lamp and methods using a waveguide body and protruding bulb
US7906910B2 (en) 2005-10-27 2011-03-15 Luxim Corporation Plasma lamp with conductive material positioned relative to RF feed
US7638951B2 (en) 2005-10-27 2009-12-29 Luxim Corporation Plasma lamp with stable feedback amplification and method therefor
DE102005051994B4 (de) 2005-10-31 2011-12-01 Globalfoundries Inc. Verformungsverfahrenstechnik in Transistoren auf Siliziumbasis unter Anwendung eingebetteter Halbleiterschichten mit Atomen mit einem großen kovalenten Radius
JP4940635B2 (ja) 2005-11-14 2012-05-30 東京エレクトロン株式会社 加熱装置、熱処理装置及び記憶媒体
KR100660890B1 (ko) 2005-11-16 2006-12-26 삼성전자주식회사 Ald를 이용한 이산화실리콘막 형성 방법
GB2432363B (en) 2005-11-16 2010-06-23 Epichem Ltd Hafnocene and zirconocene precursors, and use thereof in atomic layer deposition
US20070116873A1 (en) 2005-11-18 2007-05-24 Tokyo Electron Limited Apparatus for thermal and plasma enhanced vapor deposition and method of operating
US7897217B2 (en) * 2005-11-18 2011-03-01 Tokyo Electron Limited Method and system for performing plasma enhanced atomic layer deposition
US7629277B2 (en) 2005-11-23 2009-12-08 Honeywell International Inc. Frag shield
US20070125762A1 (en) 2005-12-01 2007-06-07 Applied Materials, Inc. Multi-zone resistive heater
JP4666496B2 (ja) 2005-12-07 2011-04-06 大日本スクリーン製造株式会社 基板熱処理装置
US7592251B2 (en) 2005-12-08 2009-09-22 Micron Technology, Inc. Hafnium tantalum titanium oxide films
US7381644B1 (en) 2005-12-23 2008-06-03 Novellus Systems, Inc. Pulsed PECVD method for modulating hydrogen content in hard mask
JP4629574B2 (ja) 2005-12-27 2011-02-09 日本発條株式会社 基板支持装置と、その製造方法
KR101296911B1 (ko) 2005-12-28 2013-08-14 엘지디스플레이 주식회사 평판표시소자의 제조장치 및 그의 정전기량 검출장치 및검출방법
TWI284390B (en) 2006-01-10 2007-07-21 Ind Tech Res Inst Manufacturing method of charge store device
US8088248B2 (en) 2006-01-11 2012-01-03 Lam Research Corporation Gas switching section including valves having different flow coefficients for gas distribution system
JP2007191792A (ja) 2006-01-19 2007-08-02 Atto Co Ltd ガス分離型シャワーヘッド
US20080254220A1 (en) 2006-01-20 2008-10-16 Tokyo Electron Limited Plasma processing apparatus
US20070173071A1 (en) 2006-01-20 2007-07-26 International Business Machines Corporation SiCOH dielectric
US8673413B2 (en) 2006-01-27 2014-03-18 Tosoh Finechem Corporation Method for packing solid organometallic compound and packed container
JP4854317B2 (ja) 2006-01-31 2012-01-18 東京エレクトロン株式会社 基板処理方法
US8057603B2 (en) 2006-02-13 2011-11-15 Tokyo Electron Limited Method of cleaning substrate processing chamber, storage medium, and substrate processing chamber
US20070207275A1 (en) 2006-02-21 2007-09-06 Applied Materials, Inc. Enhancement of remote plasma source clean for dielectric films
US7740705B2 (en) 2006-03-08 2010-06-22 Tokyo Electron Limited Exhaust apparatus configured to reduce particle contamination in a deposition system
US7794546B2 (en) 2006-03-08 2010-09-14 Tokyo Electron Limited Sealing device and method for a processing system
US7460003B2 (en) 2006-03-09 2008-12-02 International Business Machines Corporation Electronic fuse with conformal fuse element formed over a freestanding dielectric spacer
US7494882B2 (en) 2006-03-10 2009-02-24 Texas Instruments Incorporated Manufacturing a semiconductive device using a controlled atomic layer removal process
KR20070093493A (ko) 2006-03-14 2007-09-19 엘지이노텍 주식회사 서셉터 및 반도체 제조장치
US20070218200A1 (en) 2006-03-16 2007-09-20 Kenji Suzuki Method and apparatus for reducing particle formation in a vapor distribution system
US8268078B2 (en) 2006-03-16 2012-09-18 Tokyo Electron Limited Method and apparatus for reducing particle contamination in a deposition system
US7566891B2 (en) 2006-03-17 2009-07-28 Applied Materials, Inc. Apparatus and method for treating a substrate with UV radiation using primary and secondary reflectors
US7410915B2 (en) 2006-03-23 2008-08-12 Asm Japan K.K. Method of forming carbon polymer film using plasma CVD
JP2007266464A (ja) 2006-03-29 2007-10-11 Hitachi Ltd 半導体集積回路装置の製造方法
US20070234955A1 (en) 2006-03-29 2007-10-11 Tokyo Electron Limited Method and apparatus for reducing carbon monoxide poisoning at the peripheral edge of a substrate in a thin film deposition system
US8951478B2 (en) 2006-03-30 2015-02-10 Applied Materials, Inc. Ampoule with a thermally conductive coating
US20070237697A1 (en) 2006-03-31 2007-10-11 Tokyo Electron Limited Method of forming mixed rare earth oxide and aluminate films by atomic layer deposition
US7780865B2 (en) 2006-03-31 2010-08-24 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
US20070287301A1 (en) 2006-03-31 2007-12-13 Huiwen Xu Method to minimize wet etch undercuts and provide pore sealing of extreme low k (k<2.5) dielectrics
US7396491B2 (en) 2006-04-06 2008-07-08 Osram Sylvania Inc. UV-emitting phosphor and lamp containing same
US7902074B2 (en) 2006-04-07 2011-03-08 Micron Technology, Inc. Simplified pitch doubling process flow
US20070248767A1 (en) 2006-04-19 2007-10-25 Asm Japan K.K. Method of self-cleaning of carbon-based film
US7410852B2 (en) 2006-04-21 2008-08-12 International Business Machines Corporation Opto-thermal annealing methods for forming metal gate and fully silicided gate field effect transistors
FR2900276B1 (fr) 2006-04-25 2008-09-12 St Microelectronics Sa Depot peald d'un materiau a base de silicium
US8231799B2 (en) 2006-04-28 2012-07-31 Applied Materials, Inc. Plasma reactor apparatus with multiple gas injection zones having time-changing separate configurable gas compositions for each zone
US7537804B2 (en) 2006-04-28 2009-05-26 Micron Technology, Inc. ALD methods in which two or more different precursors are utilized with one or more reactants to form materials over substrates
US7875312B2 (en) 2006-05-23 2011-01-25 Air Products And Chemicals, Inc. Process for producing silicon oxide films for organoaminosilane precursors
US7825038B2 (en) 2006-05-30 2010-11-02 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
US7790634B2 (en) 2006-05-30 2010-09-07 Applied Materials, Inc Method for depositing and curing low-k films for gapfill and conformal film applications
US20070277735A1 (en) 2006-06-02 2007-12-06 Nima Mokhlesi Systems for Atomic Layer Deposition of Oxides Using Krypton as an Ion Generating Feeding Gas
EP2029790A1 (en) 2006-06-02 2009-03-04 L'AIR LIQUIDE, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Method of forming high-k dielectric films based on novel titanium, zirconium, and hafnium precursors and their use for semiconductor manufacturing
US8278176B2 (en) 2006-06-07 2012-10-02 Asm America, Inc. Selective epitaxial formation of semiconductor films
US7691757B2 (en) 2006-06-22 2010-04-06 Asm International N.V. Deposition of complex nitride films
US7554103B2 (en) 2006-06-26 2009-06-30 Applied Materials, Inc. Increased tool utilization/reduction in MWBC for UV curing chamber
US20080153311A1 (en) 2006-06-28 2008-06-26 Deenesh Padhi Method for depositing an amorphous carbon film with improved density and step coverage
US7867578B2 (en) 2006-06-28 2011-01-11 Applied Materials, Inc. Method for depositing an amorphous carbon film with improved density and step coverage
US7416989B1 (en) 2006-06-30 2008-08-26 Novellus Systems, Inc. Adsorption based material removal process
WO2008004278A1 (fr) 2006-07-04 2008-01-10 Toshiba Mitsubishi-Electric Industrial Systems Corporation Procédé et dispositif de concentration / dilution de gaz spécifique
JP4193883B2 (ja) 2006-07-05 2008-12-10 住友電気工業株式会社 有機金属気相成長装置
KR100799735B1 (ko) 2006-07-10 2008-02-01 삼성전자주식회사 금속 산화물 형성 방법 및 이를 수행하기 위한 장치
KR100791334B1 (ko) 2006-07-26 2008-01-07 삼성전자주식회사 원자층 증착법을 이용한 금속 산화막 형성 방법
FR2904328B1 (fr) 2006-07-27 2008-10-24 St Microelectronics Sa Depot par adsorption sous un champ electrique
US7749879B2 (en) 2006-08-03 2010-07-06 Micron Technology, Inc. ALD of silicon films on germanium
US8080282B2 (en) 2006-08-08 2011-12-20 Asm Japan K.K. Method for forming silicon carbide film containing oxygen
US7514375B1 (en) 2006-08-08 2009-04-07 Novellus Systems, Inc. Pulsed bias having high pulse frequency for filling gaps with dielectric material
GB0615722D0 (en) 2006-08-08 2006-09-20 Boc Group Plc Apparatus for conveying a waste stream
TW200814131A (en) 2006-08-11 2008-03-16 Schott Ag External electrode fluorescent lamp with optimized operating efficiency
WO2008020267A2 (en) 2006-08-16 2008-02-21 Freescale Semiconductor, Inc. Etch method in the manufacture of an integrated circuit
US7690881B2 (en) 2006-08-30 2010-04-06 Asm Japan K.K. Substrate-processing apparatus with buffer mechanism and substrate-transferring apparatus
KR100753020B1 (ko) 2006-08-30 2007-08-30 한국화학연구원 원자층 증착법을 이용한 비휘발성 부유 게이트 메모리소자를 위한 나노적층체의 제조방법
US7611980B2 (en) 2006-08-30 2009-11-03 Micron Technology, Inc. Single spacer process for multiplying pitch by a factor greater than two and related intermediate IC structures
JP4943780B2 (ja) 2006-08-31 2012-05-30 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
US7544604B2 (en) 2006-08-31 2009-06-09 Micron Technology, Inc. Tantalum lanthanide oxynitride films
US7605030B2 (en) 2006-08-31 2009-10-20 Micron Technology, Inc. Hafnium tantalum oxynitride high-k dielectric and metal gates
US20080241805A1 (en) 2006-08-31 2008-10-02 Q-Track Corporation System and method for simulated dosimetry using a real time locating system
US20080057659A1 (en) 2006-08-31 2008-03-06 Micron Technology, Inc. Hafnium aluminium oxynitride high-K dielectric and metal gates
JP4762835B2 (ja) 2006-09-07 2011-08-31 東京エレクトロン株式会社 基板処理方法、基板処理装置、プログラムおよびプログラム記録媒体
USD613829S1 (en) 2006-09-13 2010-04-13 Hayward Industries, Inc. Circular suction outlet assembly cover
US7789965B2 (en) 2006-09-19 2010-09-07 Asm Japan K.K. Method of cleaning UV irradiation chamber
US7976898B2 (en) 2006-09-20 2011-07-12 Asm Genitech Korea Ltd. Atomic layer deposition apparatus
US7718553B2 (en) 2006-09-21 2010-05-18 Asm Japan K.K. Method for forming insulation film having high density
JP2008074963A (ja) 2006-09-21 2008-04-03 Fujifilm Corp 組成物、膜、およびその製造方法
US7723648B2 (en) 2006-09-25 2010-05-25 Tokyo Electron Limited Temperature controlled substrate holder with non-uniform insulation layer for a substrate processing system
WO2008039943A2 (en) 2006-09-27 2008-04-03 Vserv Tech Wafer processing system with dual wafer robots capable of asynchronous motion
TWI462179B (zh) 2006-09-28 2014-11-21 Tokyo Electron Ltd 用以形成氧化矽膜之成膜方法與裝置
US7476291B2 (en) 2006-09-28 2009-01-13 Lam Research Corporation High chamber temperature process and chamber design for photo-resist stripping and post-metal etch passivation
DE102006046374B4 (de) 2006-09-29 2010-11-11 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Reduzieren der Lackvergiftung während des Strukturierens von Siliziumnitridschichten in einem Halbleiterbauelement
US7767262B2 (en) 2006-09-29 2010-08-03 Tokyo Electron Limited Nitrogen profile engineering in nitrided high dielectric constant films
USD593969S1 (en) 2006-10-10 2009-06-09 Tokyo Electron Limited Processing chamber for manufacturing semiconductors
US8986456B2 (en) 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
WO2008045972A2 (en) 2006-10-10 2008-04-17 Asm America, Inc. Precursor delivery system
CN100451163C (zh) 2006-10-18 2009-01-14 中微半导体设备(上海)有限公司 用于半导体工艺件处理反应器的气体分布装置及其反应器
JP2008108991A (ja) 2006-10-27 2008-05-08 Daihen Corp ワーク保持機構
US7851232B2 (en) 2006-10-30 2010-12-14 Novellus Systems, Inc. UV treatment for carbon-containing low-k dielectric repair in semiconductor processing
US7611751B2 (en) 2006-11-01 2009-11-03 Asm America, Inc. Vapor deposition of metal carbide films
US7888273B1 (en) 2006-11-01 2011-02-15 Novellus Systems, Inc. Density gradient-free gap fill
US7727864B2 (en) 2006-11-01 2010-06-01 Asm America, Inc. Controlled composition using plasma-enhanced atomic layer deposition
JP2008117903A (ja) 2006-11-02 2008-05-22 Toshiba Corp 半導体装置の製造方法
US7955516B2 (en) 2006-11-02 2011-06-07 Applied Materials, Inc. Etching of nano-imprint templates using an etch reactor
US20100001409A1 (en) 2006-11-09 2010-01-07 Nxp, B.V. Semiconductor device and method of manufacturing thereof
US7776395B2 (en) 2006-11-14 2010-08-17 Applied Materials, Inc. Method of depositing catalyst assisted silicates of high-k materials
US7749574B2 (en) 2006-11-14 2010-07-06 Applied Materials, Inc. Low temperature ALD SiO2
US7671134B2 (en) 2006-11-15 2010-03-02 Brady Worldwide, Inc. Compositions with improved adhesion to low surface energy substrates
US7976634B2 (en) 2006-11-21 2011-07-12 Applied Materials, Inc. Independent radiant gas preheating for precursor disassociation control and gas reaction kinetics in low temperature CVD systems
US20080124946A1 (en) 2006-11-28 2008-05-29 Air Products And Chemicals, Inc. Organosilane compounds for modifying dielectrical properties of silicon oxide and silicon nitride films
US7807575B2 (en) 2006-11-29 2010-10-05 Micron Technology, Inc. Methods to reduce the critical dimension of semiconductor devices
US20080178805A1 (en) 2006-12-05 2008-07-31 Applied Materials, Inc. Mid-chamber gas distribution plate, tuned plasma flow control grid and electrode
US7521340B2 (en) 2006-12-07 2009-04-21 Innovalight, Inc. Methods for creating a densified group IV semiconductor nanoparticle thin film
US7960236B2 (en) 2006-12-12 2011-06-14 Applied Materials, Inc. Phosphorus containing Si epitaxial layers in N-type source/drain junctions
DE102007003416A1 (de) 2007-01-16 2008-07-17 Hansgrohe Ag Duschvorrichtung
DE102007002962B3 (de) 2007-01-19 2008-07-31 Qimonda Ag Verfahren zum Herstellen einer dielektrischen Schicht und zum Herstellen eines Kondensators
JP5109376B2 (ja) 2007-01-22 2012-12-26 東京エレクトロン株式会社 加熱装置、加熱方法及び記憶媒体
US20080191193A1 (en) 2007-01-22 2008-08-14 Xuegeng Li In situ modification of group iv nanoparticles using gas phase nanoparticle reactors
US7550090B2 (en) 2007-01-23 2009-06-23 Applied Materials, Inc. Oxygen plasma clean to remove carbon species deposited on a glass dome surface
US7833353B2 (en) 2007-01-24 2010-11-16 Asm Japan K.K. Liquid material vaporization apparatus for semiconductor processing apparatus
US20080173239A1 (en) 2007-01-24 2008-07-24 Yuri Makarov Method, system, and apparatus for the growth of SiC and related or similar material, by chemical vapor deposition, using precursors in modified cold-wall reactor
US20080179715A1 (en) 2007-01-30 2008-07-31 Micron Technology, Inc. Shallow trench isolation using atomic layer deposition during fabrication of a semiconductor device
JP2008192643A (ja) 2007-01-31 2008-08-21 Tokyo Electron Ltd 基板処理装置
US7500397B2 (en) 2007-02-15 2009-03-10 Air Products And Chemicals, Inc. Activated chemical process for enhancing material properties of dielectric films
JP2008202107A (ja) 2007-02-21 2008-09-04 Hitachi Kokusai Electric Inc 基板処理装置
JP4805862B2 (ja) 2007-02-21 2011-11-02 富士通セミコンダクター株式会社 基板処理装置、基板処理方法、及び半導体装置の製造方法
US20080207007A1 (en) 2007-02-27 2008-08-28 Air Products And Chemicals, Inc. Plasma Enhanced Cyclic Chemical Vapor Deposition of Silicon-Containing Films
DE102007009914B4 (de) 2007-02-28 2010-04-22 Advanced Micro Devices, Inc., Sunnyvale Halbleiterbauelement in Form eines Feldeffekttransistors mit einem Zwischenschichtdielektrikumsmaterial mit erhöhter innerer Verspannung und Verfahren zur Herstellung desselben
US20080216077A1 (en) 2007-03-02 2008-09-04 Applied Materials, Inc. Software sequencer for integrated substrate processing system
US20080220619A1 (en) 2007-03-09 2008-09-11 Asm Japan K.K. Method for increasing mechanical strength of dielectric film by using sequential combination of two types of uv irradiation
US7833913B2 (en) 2007-03-20 2010-11-16 Tokyo Electron Limited Method of forming crystallographically stabilized doped hafnium zirconium based films
US7763869B2 (en) 2007-03-23 2010-07-27 Asm Japan K.K. UV light irradiating apparatus with liquid filter
US7435987B1 (en) 2007-03-27 2008-10-14 Intel Corporation Forming a type I heterostructure in a group IV semiconductor
US7651961B2 (en) 2007-03-30 2010-01-26 Tokyo Electron Limited Method for forming strained silicon nitride films and a device containing such films
US8235001B2 (en) 2007-04-02 2012-08-07 Hitachi Kokusai Electric Inc. Substrate processing apparatus and method for manufacturing semiconductor device
US20080241384A1 (en) 2007-04-02 2008-10-02 Asm Genitech Korea Ltd. Lateral flow deposition apparatus and method of depositing film by using the apparatus
KR100829759B1 (ko) 2007-04-04 2008-05-15 삼성에스디아이 주식회사 카바이드 유도 탄소를 이용한 카본나노튜브 혼성체, 이를포함하는 전자 방출원 및 상기 전자 방출원을 구비한 전자방출 소자
US8357214B2 (en) 2007-04-26 2013-01-22 Trulite, Inc. Apparatus, system, and method for generating a gas from solid reactant pouches
US7575968B2 (en) 2007-04-30 2009-08-18 Freescale Semiconductor, Inc. Inverse slope isolation and dual surface orientation integration
US7713874B2 (en) 2007-05-02 2010-05-11 Asm America, Inc. Periodic plasma annealing in an ALD-type process
JP5103056B2 (ja) 2007-05-15 2012-12-19 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US20080299326A1 (en) 2007-05-30 2008-12-04 Asm Japan K.K. Plasma cvd apparatus having non-metal susceptor
US7942969B2 (en) 2007-05-30 2011-05-17 Applied Materials, Inc. Substrate cleaning chamber and components
US7807578B2 (en) 2007-06-01 2010-10-05 Applied Materials, Inc. Frequency doubling using spacer mask
US20080302303A1 (en) 2007-06-07 2008-12-11 Applied Materials, Inc. Methods and apparatus for depositing a uniform silicon film with flow gradient designs
US8142606B2 (en) 2007-06-07 2012-03-27 Applied Materials, Inc. Apparatus for depositing a uniform silicon film and methods for manufacturing the same
KR101217778B1 (ko) 2007-06-08 2013-01-02 도쿄엘렉트론가부시키가이샤 패터닝 방법
JP4427562B2 (ja) 2007-06-11 2010-03-10 株式会社東芝 パターン形成方法
USD575713S1 (en) 2007-06-21 2008-08-26 Ratcliffe Peter W Vehicle accessory
US8017182B2 (en) 2007-06-21 2011-09-13 Asm International N.V. Method for depositing thin films by mixed pulsed CVD and ALD
CN100590804C (zh) 2007-06-22 2010-02-17 中芯国际集成电路制造(上海)有限公司 原子层沉积方法以及形成的半导体器件
US20090000550A1 (en) 2007-06-29 2009-01-01 Applied Materials, Inc. Manifold assembly
US20090033907A1 (en) 2007-07-05 2009-02-05 Nikon Corporation Devices and methods for decreasing residual chucking forces
US7501292B2 (en) 2007-07-19 2009-03-10 Asm Japan K.K. Method for managing UV irradiation for curing semiconductor substrate
JP4900110B2 (ja) 2007-07-20 2012-03-21 東京エレクトロン株式会社 薬液気化タンク及び薬液処理システム
US7720560B2 (en) 2007-07-26 2010-05-18 International Business Machines Corporation Semiconductor manufacturing process monitoring
US8008166B2 (en) 2007-07-26 2011-08-30 Applied Materials, Inc. Method and apparatus for cleaning a substrate surface
US8004045B2 (en) 2007-07-27 2011-08-23 Panasonic Corporation Semiconductor device and method for producing the same
US20090041952A1 (en) 2007-08-10 2009-02-12 Asm Genitech Korea Ltd. Method of depositing silicon oxide films
WO2009023169A1 (en) 2007-08-10 2009-02-19 Nano Terra Inc. Structured smudge-resistant coatings and methods of making and using the same
US7745352B2 (en) 2007-08-27 2010-06-29 Applied Materials, Inc. Curing methods for silicon dioxide thin films deposited from alkoxysilane precursor with harp II process
US8440259B2 (en) 2007-09-05 2013-05-14 Intermolecular, Inc. Vapor based combinatorial processing
JP5663305B2 (ja) 2007-09-07 2015-02-04 フジフィルム マニュファクチュアリング ヨーロッパ ビー.ヴィ. 大気圧グロー放電プラズマを用いる原子層堆積の方法及び装置
CA122619S (en) 2007-10-09 2010-01-27 Silvano Breda Shower strainer
JP5347294B2 (ja) 2007-09-12 2013-11-20 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
JP4986784B2 (ja) 2007-09-18 2012-07-25 東京エレクトロン株式会社 処理システムの制御装置、処理システムの制御方法および制御プログラムを記憶した記憶媒体
US20090085156A1 (en) 2007-09-28 2009-04-02 Gilbert Dewey Metal surface treatments for uniformly growing dielectric layers
JP5236983B2 (ja) 2007-09-28 2013-07-17 東京エレクトロン株式会社 半導体装置の製造方法、半導体装置の製造装置、制御プログラム及びプログラム記憶媒体
US8041450B2 (en) 2007-10-04 2011-10-18 Asm Japan K.K. Position sensor system for substrate transfer robot
US7776698B2 (en) 2007-10-05 2010-08-17 Applied Materials, Inc. Selective formation of silicon carbon epitaxial layer
US20090090382A1 (en) 2007-10-05 2009-04-09 Asm Japan K.K. Method of self-cleaning of carbon-based film
US20090095221A1 (en) 2007-10-16 2009-04-16 Alexander Tam Multi-gas concentric injection showerhead
US7803722B2 (en) 2007-10-22 2010-09-28 Applied Materials, Inc Methods for forming a dielectric layer within trenches
US7541297B2 (en) 2007-10-22 2009-06-02 Applied Materials, Inc. Method and system for improving dielectric film quality for void free gap fill
US7867923B2 (en) 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US7615831B2 (en) 2007-10-26 2009-11-10 International Business Machines Corporation Structure and method for fabricating self-aligned metal contacts
US7939447B2 (en) 2007-10-26 2011-05-10 Asm America, Inc. Inhibitors for selective deposition of silicon containing films
US8272516B2 (en) 2007-11-19 2012-09-25 Caterpillar Inc. Fluid filter system
CA123273S (en) 2007-11-19 2010-01-27 Silvano Breda Shower strainer
CA123272S (en) 2007-11-19 2010-01-27 Silvano Breda Shower strainer
US8021723B2 (en) 2007-11-27 2011-09-20 Asm Japan K.K. Method of plasma treatment using amplitude-modulated RF power
WO2009069015A1 (en) 2007-11-28 2009-06-04 Philips Intellectual Property & Standards Gmbh Dielectric barrier discharge lamp
US8060252B2 (en) 2007-11-30 2011-11-15 Novellus Systems, Inc. High throughput method of in transit wafer position correction in system using multiple robots
US7651959B2 (en) 2007-12-03 2010-01-26 Asm Japan K.K. Method for forming silazane-based dielectric film
US20090139657A1 (en) 2007-12-04 2009-06-04 Applied Materials, Inc. Etch system
US8440569B2 (en) 2007-12-07 2013-05-14 Cadence Design Systems, Inc. Method of eliminating a lithography operation
KR100956247B1 (ko) 2007-12-13 2010-05-06 삼성엘이디 주식회사 금속유기 화학기상 증착장치
US8003174B2 (en) 2007-12-13 2011-08-23 Asm Japan K.K. Method for forming dielectric film using siloxane-silazane mixture
WO2009078249A1 (ja) 2007-12-17 2009-06-25 Orc Manufacturing Co., Ltd. 放電ランプ
US8092606B2 (en) 2007-12-18 2012-01-10 Asm Genitech Korea Ltd. Deposition apparatus
WO2009085098A1 (en) 2007-12-19 2009-07-09 Lam Research Corporation Vapor phase repair and pore sealing of low-k dielectric materials
US8501637B2 (en) 2007-12-21 2013-08-06 Asm International N.V. Silicon dioxide thin films by ALD
US7678715B2 (en) 2007-12-21 2010-03-16 Applied Materials, Inc. Low wet etch rate silicon nitride film
KR101013413B1 (ko) 2008-01-07 2011-02-14 한국과학기술연구원 플라즈마 표면 처리를 이용한 투명 기체 차단 필름의 제조방법 및 이로부터 제조된 투명 기체 차단 필름
US7935940B1 (en) 2008-01-08 2011-05-03 Novellus Systems, Inc. Measuring in-situ UV intensity in UV cure tool
US20090203197A1 (en) 2008-02-08 2009-08-13 Hiroji Hanawa Novel method for conformal plasma immersed ion implantation assisted by atomic layer deposition
GB0802486D0 (en) 2008-02-12 2008-03-19 Gilbert Patrick C Warm water economy device
US20090214777A1 (en) 2008-02-22 2009-08-27 Demetrius Sarigiannis Multiple ampoule delivery systems
KR100968132B1 (ko) 2008-02-29 2010-07-06 (주)얼라이드 테크 파인더즈 안테나 및 이를 구비한 반도체 장치
USD585968S1 (en) 2008-03-06 2009-02-03 West Coast Washers, Inc. Pipe flashing
EP2099067A1 (en) 2008-03-07 2009-09-09 Nederlandse Organisatie voor toegepast- natuurwetenschappelijk onderzoek TNO Process for adjusting the friction coefficient between surfaces of two solid objects
US7816278B2 (en) 2008-03-28 2010-10-19 Tokyo Electron Limited In-situ hybrid deposition of high dielectric constant films using atomic layer deposition and chemical vapor deposition
US20090246399A1 (en) 2008-03-28 2009-10-01 Asm Japan K.K. Method for activating reactive oxygen species for cleaning carbon-based film deposition
US8252114B2 (en) 2008-03-28 2012-08-28 Tokyo Electron Limited Gas distribution system and method for distributing process gas in a processing system
USD590933S1 (en) 2008-03-31 2009-04-21 Mcp Industries, Inc. Vent cap device
US7659158B2 (en) 2008-03-31 2010-02-09 Applied Materials, Inc. Atomic layer deposition processes for non-volatile memory devices
US7963736B2 (en) 2008-04-03 2011-06-21 Asm Japan K.K. Wafer processing apparatus with wafer alignment device
US20090250955A1 (en) 2008-04-07 2009-10-08 Applied Materials, Inc. Wafer transfer blade
WO2009129391A2 (en) 2008-04-17 2009-10-22 Applied Materials, Inc. Low temperature thin film transistor process, device property, and device stability improvement
US20090269506A1 (en) 2008-04-24 2009-10-29 Seiji Okura Method and apparatus for cleaning of a CVD reactor
US8252194B2 (en) 2008-05-02 2012-08-28 Micron Technology, Inc. Methods of removing silicon oxide
US7632549B2 (en) 2008-05-05 2009-12-15 Asm Japan K.K. Method of forming a high transparent carbon film
US8076237B2 (en) 2008-05-09 2011-12-13 Asm America, Inc. Method and apparatus for 3D interconnect
US8277670B2 (en) 2008-05-13 2012-10-02 Lam Research Corporation Plasma process with photoresist mask pretreatment
US20090286402A1 (en) 2008-05-13 2009-11-19 Applied Materials, Inc Method for critical dimension shrink using conformal pecvd films
US8333842B2 (en) 2008-05-15 2012-12-18 Applied Materials, Inc. Apparatus for etching semiconductor wafers
US8298628B2 (en) 2008-06-02 2012-10-30 Air Products And Chemicals, Inc. Low temperature deposition of silicon-containing films
US20110056513A1 (en) 2008-06-05 2011-03-10 Axel Hombach Method for treating surfaces, lamp for said method, and irradiation system having said lamp
JP2009295932A (ja) 2008-06-09 2009-12-17 Canon Inc 露光装置及びデバイス製造方法
US8726837B2 (en) 2008-06-23 2014-05-20 Applied Materials, Inc. Semiconductor process chamber vision and monitoring system
US8702867B2 (en) 2008-07-08 2014-04-22 Jusung Engineering Co., Ltd. Gas distribution plate and substrate treating apparatus including the same
US8058138B2 (en) 2008-07-17 2011-11-15 Micron Technology, Inc. Gap processing
USD614593S1 (en) 2008-07-21 2010-04-27 Asm Genitech Korea Ltd Substrate support for a semiconductor deposition apparatus
TWD136587S1 (zh) 2008-07-22 2010-08-21 東京威力科創股份有限公司 晶圓吸附板
KR20100015213A (ko) 2008-08-04 2010-02-12 삼성전기주식회사 Cvd용 샤워 헤드 및 이를 구비하는 화학 기상 증착 장치
US20100025796A1 (en) 2008-08-04 2010-02-04 Amir Massoud Dabiran Microchannel plate photocathode
WO2010017555A1 (en) 2008-08-08 2010-02-11 Cornell Research Foundation, Inc. Inorganic bulk multijunction materials and processes for preparing the same
US8129555B2 (en) 2008-08-12 2012-03-06 Air Products And Chemicals, Inc. Precursors for depositing silicon-containing films and methods for making and using same
JP5338335B2 (ja) 2008-08-13 2013-11-13 東京エレクトロン株式会社 搬送容器の開閉装置及びプローブ装置
KR101017170B1 (ko) 2008-08-13 2011-02-25 주식회사 동부하이텍 백 메탈 공정챔버
US8263502B2 (en) 2008-08-13 2012-09-11 Synos Technology, Inc. Forming substrate structure by filling recesses with deposition material
US8147648B2 (en) 2008-08-15 2012-04-03 Lam Research Corporation Composite showerhead electrode assembly for a plasma processing apparatus
US20100055442A1 (en) 2008-09-03 2010-03-04 International Business Machines Corporation METHOD OF PE-ALD OF SiNxCy AND INTEGRATION OF LINER MATERIALS ON POROUS LOW K SUBSTRATES
JP2010087467A (ja) 2008-09-04 2010-04-15 Tokyo Electron Ltd 成膜装置、基板処理装置、成膜方法及びこの成膜方法を実行させるためのプログラムを記録した記録媒体
USD643055S1 (en) 2008-09-11 2011-08-09 Asm Japan K.K. Heater block for use in a semiconductor processing tool
US9711373B2 (en) 2008-09-22 2017-07-18 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating a gate dielectric for high-k metal gate devices
DE102008049353A1 (de) 2008-09-29 2010-04-08 Vat Holding Ag Vakuumventil
US20100081293A1 (en) 2008-10-01 2010-04-01 Applied Materials, Inc. Methods for forming silicon nitride based film or silicon carbon based film
TWD135511S1 (zh) 2008-10-03 2010-06-21 日本碍子股份有限公司 靜電夾頭
CN105895517A (zh) 2008-10-07 2016-08-24 应用材料公司 用于从蚀刻基板有效地移除卤素残余物的设备
KR101627297B1 (ko) 2008-10-13 2016-06-03 한국에이에스엠지니텍 주식회사 플라즈마 처리부 및 이를 포함하는 증착 장치 및 증착 방법
CN102187432B (zh) 2008-10-14 2013-07-31 应用材料公司 利用等离子体增强化学气相沉积来沉积共形无定形碳膜层的方法
US20110254052A1 (en) 2008-10-15 2011-10-20 Arizona Board of Regents, a body corporate acting for and on behalf of Arizona State University Hybrid Group IV/III-V Semiconductor Structures
US7745346B2 (en) 2008-10-17 2010-06-29 Novellus Systems, Inc. Method for improving process control and film conformality of PECVD film
JP2010097834A (ja) 2008-10-17 2010-04-30 Ushio Inc バックライトユニット
CN102197459A (zh) 2008-10-27 2011-09-21 应用材料股份有限公司 三元化合物的气相沉积方法
JP5062143B2 (ja) 2008-11-10 2012-10-31 東京エレクトロン株式会社 成膜装置
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8647722B2 (en) 2008-11-14 2014-02-11 Asm Japan K.K. Method of forming insulation film using plasma treatment cycles
JP2010153769A (ja) 2008-11-19 2010-07-08 Tokyo Electron Ltd 基板位置検出装置、基板位置検出方法、成膜装置、成膜方法、プログラム及びコンピュータ可読記憶媒体
US20100130017A1 (en) 2008-11-21 2010-05-27 Axcelis Technologies, Inc. Front end of line plasma mediated ashing processes and apparatus
US8138676B2 (en) 2008-12-01 2012-03-20 Mills Robert L Methods and systems for dimmable fluorescent lighting using multiple frequencies
WO2010065473A2 (en) 2008-12-01 2010-06-10 Applied Materials, Inc. Gas distribution blocker apparatus
EP2194574B1 (en) 2008-12-02 2018-11-07 IMEC vzw Method for producing interconnect structures for integrated circuits
JP5390846B2 (ja) 2008-12-09 2014-01-15 東京エレクトロン株式会社 プラズマエッチング装置及びプラズマクリーニング方法
US20100151206A1 (en) 2008-12-11 2010-06-17 Air Products And Chemicals, Inc. Method for Removal of Carbon From An Organosilicate Material
KR20100075070A (ko) 2008-12-24 2010-07-02 삼성전자주식회사 비휘발성 메모리 장치의 제조 방법
US8216380B2 (en) 2009-01-08 2012-07-10 Asm America, Inc. Gap maintenance for opening to process chamber
US20100176513A1 (en) 2009-01-09 2010-07-15 International Business Machines Corporation Structure and method of forming metal interconnect structures in ultra low-k dielectrics
US20100178137A1 (en) 2009-01-11 2010-07-15 Applied Materials, Inc. Systems, apparatus and methods for moving substrates
US8151814B2 (en) 2009-01-13 2012-04-10 Asm Japan K.K. Method for controlling flow and concentration of liquid precursor
USD606952S1 (en) 2009-01-16 2009-12-29 Asm Genitech Korea Ltd. Plasma inducing plate for semiconductor deposition apparatus
US8591659B1 (en) 2009-01-16 2013-11-26 Novellus Systems, Inc. Plasma clean method for deposition chamber
US7972980B2 (en) 2009-01-21 2011-07-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
US8142862B2 (en) 2009-01-21 2012-03-27 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
US7919416B2 (en) 2009-01-21 2011-04-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
US8680650B2 (en) 2009-02-03 2014-03-25 Micron Technology, Inc. Capacitor structures having improved area efficiency
KR101691044B1 (ko) 2009-02-04 2016-12-29 맷슨 테크놀로지, 인크. 기판의 표면에 걸친 온도 프로파일을 방사상으로 튜닝하는 정전 척 시스템 및 방법
US8307472B1 (en) 2009-02-04 2012-11-13 Thomas Jason Saxon Light emitting diode system
US8287648B2 (en) 2009-02-09 2012-10-16 Asm America, Inc. Method and apparatus for minimizing contamination in semiconductor processing chamber
US20120003500A1 (en) 2009-02-16 2012-01-05 Mitsubishi Plastics, Inc. Process for producing multilayered gas-barrier film
JP2010205967A (ja) 2009-03-04 2010-09-16 Tokyo Electron Ltd プラズマエッチング方法、プラズマエッチング装置及びコンピュータ記憶媒体
JP5221421B2 (ja) 2009-03-10 2013-06-26 東京エレクトロン株式会社 シャワーヘッド及びプラズマ処理装置
US8703624B2 (en) 2009-03-13 2014-04-22 Air Products And Chemicals, Inc. Dielectric films comprising silicon and methods for making same
TW201118977A (en) 2009-03-26 2011-06-01 Panasonic Corp Plasma processing apparatus and plasma processing method
JP5292160B2 (ja) 2009-03-31 2013-09-18 東京エレクトロン株式会社 ガス流路構造体及び基板処理装置
US8197915B2 (en) 2009-04-01 2012-06-12 Asm Japan K.K. Method of depositing silicon oxide film by plasma enhanced atomic layer deposition at low temperature
US8284601B2 (en) 2009-04-01 2012-10-09 Samsung Electronics Co., Ltd. Semiconductor memory device comprising three-dimensional memory cell array
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
JP5338443B2 (ja) 2009-04-14 2013-11-13 信越半導体株式会社 Soiウェーハの製造方法
SG10201401671SA (en) 2009-04-21 2014-07-30 Applied Materials Inc Cvd apparatus for improved film thickness non-uniformity and particle performance
US8071452B2 (en) 2009-04-27 2011-12-06 Asm America, Inc. Atomic layer deposition of hafnium lanthanum oxides
JP5136574B2 (ja) 2009-05-01 2013-02-06 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US7842622B1 (en) 2009-05-15 2010-11-30 Asm Japan K.K. Method of forming highly conformal amorphous carbon layer
US8004198B2 (en) 2009-05-28 2011-08-23 Osram Sylvania Inc. Resetting an electronic ballast in the event of fault
US20100317198A1 (en) 2009-06-12 2010-12-16 Novellus Systems, Inc. Remote plasma processing of interface surfaces
USD652896S1 (en) 2009-06-17 2012-01-24 Neoperl Gmbh Faucet stream former
US7825040B1 (en) 2009-06-22 2010-11-02 Asm Japan K.K. Method for depositing flowable material using alkoxysilane or aminosilane precursor
US20110006406A1 (en) 2009-07-08 2011-01-13 Imec Fabrication of porogen residues free and mechanically robust low-k materials
KR101110080B1 (ko) 2009-07-08 2012-03-13 주식회사 유진테크 확산판을 선택적으로 삽입설치하는 기판처리방법
JP2011023718A (ja) 2009-07-15 2011-02-03 Asm Japan Kk PEALDによってSi−N結合を有するストレス調節された誘電体膜を形成する方法
IN2012DN00642A (ko) 2009-07-17 2015-08-21 Mitsui Chemicals Inc
US8071451B2 (en) 2009-07-29 2011-12-06 Axcelis Technologies, Inc. Method of doping semiconductors
US8741788B2 (en) 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8883270B2 (en) 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
KR101031226B1 (ko) 2009-08-21 2011-04-29 에이피시스템 주식회사 급속열처리 장치의 히터블록
WO2011031521A2 (en) 2009-08-27 2011-03-17 Applied Materials, Inc. Method of decontamination of process chamber after in-situ chamber clean
USD634719S1 (en) 2009-08-27 2011-03-22 Ebara Corporation Elastic membrane for semiconductor wafer polishing apparatus
WO2011026064A1 (en) 2009-08-31 2011-03-03 The Penn State Research Foundation Improved plasma enhanced atomic layer deposition process
JP2011054708A (ja) * 2009-09-01 2011-03-17 Elpida Memory Inc 絶縁膜およびその製造方法、半導体装置、ならびにデータ処理システム
JP5457109B2 (ja) 2009-09-02 2014-04-02 東京エレクトロン株式会社 プラズマ処理装置
WO2011031321A2 (en) 2009-09-10 2011-03-17 Lam Research Corporation Replaceable upper chamber parts of plasma processing apparatus
US20110061810A1 (en) 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching
EP2306497B1 (en) 2009-10-02 2012-06-06 Imec Method for manufacturing a low defect interface between a dielectric and a III/V compound
US8415259B2 (en) 2009-10-14 2013-04-09 Asm Japan K.K. Method of depositing dielectric film by modified PEALD method
US8173554B2 (en) 2009-10-14 2012-05-08 Asm Japan K.K. Method of depositing dielectric film having Si-N bonds by modified peald method
US8465791B2 (en) 2009-10-16 2013-06-18 Msp Corporation Method for counting particles in a gas
US20110097901A1 (en) 2009-10-26 2011-04-28 Applied Materials, Inc. Dual mode inductively coupled plasma reactor with adjustable phase coil assembly
JP5451324B2 (ja) 2009-11-10 2014-03-26 株式会社日立ハイテクノロジーズ プラズマ処理装置
US8854734B2 (en) 2009-11-12 2014-10-07 Vela Technologies, Inc. Integrating optical system and methods
US8367528B2 (en) 2009-11-17 2013-02-05 Asm America, Inc. Cyclical epitaxial deposition and etch
TWI442453B (zh) 2009-11-19 2014-06-21 羅門哈斯電子材料有限公司 形成電子裝置之方法
KR20110055912A (ko) 2009-11-20 2011-05-26 주식회사 하이닉스반도체 반도체 소자의 콘택홀 형성방법
AU329418S (en) 2009-11-23 2010-01-29 Pusher tool
US8328494B2 (en) 2009-12-15 2012-12-11 Varian Semiconductor Equipment Associates, Inc. In vacuum optical wafer heater for cryogenic processing
US20110139748A1 (en) 2009-12-15 2011-06-16 University Of Houston Atomic layer etching with pulsed plasmas
US20110159202A1 (en) 2009-12-29 2011-06-30 Asm Japan K.K. Method for Sealing Pores at Surface of Dielectric Layer by UV Light-Assisted CVD
USD653734S1 (en) 2010-01-08 2012-02-07 Bulk Tank, Inc. Screened gasket
US20110183269A1 (en) 2010-01-25 2011-07-28 Hongbin Zhu Methods Of Forming Patterns, And Methods For Trimming Photoresist Features
US8480942B2 (en) 2010-01-27 2013-07-09 The Board Of Trustees Of The University Of Illinois Method of forming a patterned layer of a material on a substrate
JP5258981B2 (ja) 2010-02-05 2013-08-07 東京エレクトロン株式会社 基板保持具及び基板搬送装置及び基板処理装置
KR101080604B1 (ko) 2010-02-09 2011-11-04 성균관대학교산학협력단 원자층 식각 장치 및 이를 이용한 식각 방법
US8293658B2 (en) 2010-02-17 2012-10-23 Asm America, Inc. Reactive site deactivation against vapor deposition
US8241991B2 (en) 2010-03-05 2012-08-14 Asm Japan K.K. Method for forming interconnect structure having airgap
FR2957716B1 (fr) 2010-03-18 2012-10-05 Soitec Silicon On Insulator Procede de finition d'un substrat de type semi-conducteur sur isolant
US8709551B2 (en) 2010-03-25 2014-04-29 Novellus Systems, Inc. Smooth silicon-containing films
EP2378543B1 (en) 2010-04-14 2015-05-20 ASM Genitech Korea Ltd. Method of forming semiconductor patterns
US20110256734A1 (en) 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US20110265951A1 (en) 2010-04-30 2011-11-03 Applied Materials, Inc. Twin chamber processing system
US8721798B2 (en) 2010-04-30 2014-05-13 Applied Materials, Inc. Methods for processing substrates in process systems having shared resources
US8707754B2 (en) 2010-04-30 2014-04-29 Applied Materials, Inc. Methods and apparatus for calibrating flow controllers in substrate processing systems
US20110294075A1 (en) 2010-05-25 2011-12-01 United Microelectronics Corp. Patterning method
US8912353B2 (en) 2010-06-02 2014-12-16 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for depositing films comprising same
JP5525339B2 (ja) 2010-06-10 2014-06-18 ナブテスコ株式会社 ロボットアーム
US9443753B2 (en) 2010-07-30 2016-09-13 Applied Materials, Inc. Apparatus for controlling the flow of a gas in a process chamber
US8669185B2 (en) 2010-07-30 2014-03-11 Asm Japan K.K. Method of tailoring conformality of Si-containing film
US8357608B2 (en) 2010-08-09 2013-01-22 International Business Machines Corporation Multi component dielectric layer
US9449858B2 (en) 2010-08-09 2016-09-20 Applied Materials, Inc. Transparent reflector plate for rapid thermal processing chamber
US8685845B2 (en) 2010-08-20 2014-04-01 International Business Machines Corporation Epitaxial growth of silicon doped with carbon and phosphorus using hydrogen carrier gas
US8945305B2 (en) 2010-08-31 2015-02-03 Micron Technology, Inc. Methods of selectively forming a material using parylene coating
US8394466B2 (en) 2010-09-03 2013-03-12 Asm Japan K.K. Method of forming conformal film having si-N bonds on high-aspect ratio pattern
EP2426233B1 (en) 2010-09-03 2013-05-01 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Use of dialkyl monoalkoxy aluminum for the growth of Al2O3 thin films for photovoltaic applications
USD654884S1 (en) 2010-10-21 2012-02-28 Tokyo Electron Limited Top plate for reactor for manufacturing semiconductor
US8192901B2 (en) 2010-10-21 2012-06-05 Asahi Glass Company, Limited Glass substrate-holding tool
US8845806B2 (en) 2010-10-22 2014-09-30 Asm Japan K.K. Shower plate having different aperture dimensions and/or distributions
WO2012057967A2 (en) 2010-10-27 2012-05-03 Applied Materials, Inc. Methods and apparatus for controlling photoresist line width roughness
WO2012061593A2 (en) 2010-11-03 2012-05-10 Applied Materials, Inc. Apparatus and methods for deposition of silicon carbide and silicon carbonitride films
WO2012061278A1 (en) 2010-11-05 2012-05-10 Synos Technology, Inc. Radical reactor with multiple plasma chambers
US8470187B2 (en) 2010-11-05 2013-06-25 Asm Japan K.K. Method of depositing film with tailored comformality
US20120121823A1 (en) 2010-11-12 2012-05-17 Applied Materials, Inc. Process for lowering adhesion layer thickness and improving damage resistance for thin ultra low-k dielectric film
EP2656378B1 (de) 2010-12-20 2015-03-18 Ev Group E. Thallner GmbH Aufnahmeeinrichtung zur halterung von wafern
JP5735304B2 (ja) 2010-12-21 2015-06-17 株式会社日立国際電気 基板処理装置、基板の製造方法、半導体デバイスの製造方法およびガス供給管
US8314034B2 (en) 2010-12-23 2012-11-20 Intel Corporation Feature size reduction
JP2012138500A (ja) 2010-12-27 2012-07-19 Tokyo Electron Ltd タングステン膜又は酸化タングステン膜上への酸化シリコン膜の成膜方法及び成膜装置
JP5675331B2 (ja) 2010-12-27 2015-02-25 東京エレクトロン株式会社 トレンチの埋め込み方法
US8901016B2 (en) 2010-12-28 2014-12-02 Asm Japan K.K. Method of forming metal oxide hardmask
JP5573666B2 (ja) 2010-12-28 2014-08-20 東京エレクトロン株式会社 原料供給装置及び成膜装置
FR2970110B1 (fr) 2010-12-29 2013-09-06 St Microelectronics Crolles 2 Procede de fabrication d'une couche de dielectrique polycristalline
CN103270578B (zh) 2010-12-30 2016-10-26 应用材料公司 使用微波等离子体的薄膜沉积
JP5609663B2 (ja) 2011-01-18 2014-10-22 旭硝子株式会社 ガラス基板保持手段、およびそれを用いたeuvマスクブランクスの製造方法
US8465811B2 (en) 2011-01-28 2013-06-18 Asm Japan K.K. Method of depositing film by atomic layer deposition with pulse-time-modulated plasma
US20120263876A1 (en) 2011-02-14 2012-10-18 Asm Ip Holding B.V. Deposition of silicon dioxide on hydrophobic surfaces
US8563443B2 (en) 2011-02-18 2013-10-22 Asm Japan K.K. Method of depositing dielectric film by ALD using precursor containing silicon, hydrocarbon, and halogen
US8329599B2 (en) 2011-02-18 2012-12-11 Asm Japan K.K. Method of depositing dielectric film by ALD using precursor containing silicon, hydrocarbon, and halogen
TWM412450U (en) 2011-02-21 2011-09-21 Santoma Ltd Ceramic Glass composite electrode and Fluorescent
US8466411B2 (en) 2011-03-03 2013-06-18 Asm Japan K.K. Calibration method of UV sensor for UV curing
US8735299B2 (en) 2011-03-03 2014-05-27 Tokyo Electron Limited Semiconductor device manufacturing method and computer-readable storage medium
JP5820731B2 (ja) 2011-03-22 2015-11-24 株式会社日立国際電気 基板処理装置および固体原料補充方法
JP5203482B2 (ja) 2011-03-28 2013-06-05 株式会社小松製作所 加熱装置
WO2012130933A1 (en) 2011-03-31 2012-10-04 Imec Method for growing a monocrystalline tin- containing semiconductor material
US8900402B2 (en) 2011-05-10 2014-12-02 Lam Research Corporation Semiconductor processing system having multiple decoupled plasma sources
US8298951B1 (en) 2011-04-13 2012-10-30 Asm Japan K.K. Footing reduction using etch-selective layer
US20140056679A1 (en) 2011-04-15 2014-02-27 Tazmo Co., Ltd. Wafer exchange apparatus and wafer supporting hand
US8871617B2 (en) 2011-04-22 2014-10-28 Asm Ip Holding B.V. Deposition and reduction of mixed metal oxide thin films
US8492170B2 (en) 2011-04-25 2013-07-23 Applied Materials, Inc. UV assisted silylation for recovery and pore sealing of damaged low K films
US8592005B2 (en) 2011-04-26 2013-11-26 Asm Japan K.K. Atomic layer deposition for controlling vertical film growth
USD655055S1 (en) 2011-04-28 2012-02-28 Carolyn Grace Toll Pet outfit
US8809170B2 (en) 2011-05-19 2014-08-19 Asm America Inc. High throughput cyclical epitaxial deposition and etch process
US20120304935A1 (en) 2011-05-31 2012-12-06 Oosterlaken Theodorus G M Bubbler assembly and method for vapor flow control
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US8927318B2 (en) 2011-06-14 2015-01-06 International Business Machines Corporation Spalling methods to form multi-junction photovoltaic structure
US9175392B2 (en) 2011-06-17 2015-11-03 Intermolecular, Inc. System for multi-region processing
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US8962400B2 (en) 2011-07-07 2015-02-24 Taiwan Semiconductor Manufacturing Company, Ltd. In-situ doping of arsenic for source and drain epitaxy
US20130014697A1 (en) 2011-07-12 2013-01-17 Asm Japan K.K. Container Having Multiple Compartments Containing Liquid Material for Multiple Wafer-Processing Chambers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
JP5940342B2 (ja) 2011-07-15 2016-06-29 東京エレクトロン株式会社 基板搬送装置、基板処理システムおよび基板搬送方法、ならびに記憶媒体
US8617411B2 (en) 2011-07-20 2013-12-31 Lam Research Corporation Methods and apparatus for atomic layer etching
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8778448B2 (en) 2011-07-21 2014-07-15 International Business Machines Corporation Method of stabilizing hydrogenated amorphous silicon and amorphous hydrogenated silicon alloys
US8551892B2 (en) 2011-07-27 2013-10-08 Asm Japan K.K. Method for reducing dielectric constant of film using direct plasma of hydrogen
US20130048606A1 (en) 2011-08-31 2013-02-28 Zhigang Mao Methods for in-situ chamber dry clean in photomask plasma etching processing chamber
US20130064973A1 (en) 2011-09-09 2013-03-14 Taiwan Semiconductor Manufacturing Company, Ltd. Chamber Conditioning Method
US20130217241A1 (en) 2011-09-09 2013-08-22 Applied Materials, Inc. Treatments for decreasing etch rates after flowable deposition of silicon-carbon-and-nitrogen-containing layers
US20130217243A1 (en) 2011-09-09 2013-08-22 Applied Materials, Inc. Doping of dielectric layers
US20130217239A1 (en) 2011-09-09 2013-08-22 Applied Materials, Inc. Flowable silicon-and-carbon-containing layers for semiconductor processing
US20130217240A1 (en) 2011-09-09 2013-08-22 Applied Materials, Inc. Flowable silicon-carbon-nitrogen layers for semiconductor processing
US20130068970A1 (en) 2011-09-21 2013-03-21 Asm Japan K.K. UV Irradiation Apparatus Having UV Lamp-Shared Multiple Process Stations
JP5549655B2 (ja) 2011-09-26 2014-07-16 株式会社安川電機 ハンドおよびロボット
US8993072B2 (en) 2011-09-27 2015-03-31 Air Products And Chemicals, Inc. Halogenated organoaminosilane precursors and methods for depositing films comprising same
US9644796B2 (en) 2011-09-29 2017-05-09 Applied Materials, Inc. Methods for in-situ calibration of a flow controller
US8569184B2 (en) 2011-09-30 2013-10-29 Asm Japan K.K. Method for forming single-phase multi-element film by PEALD
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
TWI627303B (zh) 2011-11-04 2018-06-21 Asm國際股份有限公司 將摻雜氧化矽沉積在反應室內的基底上的方法
US8927059B2 (en) 2011-11-08 2015-01-06 Applied Materials, Inc. Deposition of metal films using alane-based precursors
US20130122712A1 (en) 2011-11-14 2013-05-16 Jong Mun Kim Method of etching high aspect ratio features in a dielectric layer
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US10276410B2 (en) 2011-11-25 2019-04-30 Nhk Spring Co., Ltd. Substrate support device
US8633115B2 (en) 2011-11-30 2014-01-21 Applied Materials, Inc. Methods for atomic layer etching
USD691974S1 (en) 2011-12-22 2013-10-22 Tokyo Electron Limited Holding pad for transferring a wafer
WO2013095651A1 (en) 2011-12-23 2013-06-27 Intel Corporation Non-planar gate all-around device and method of fabrication thereof
US8883028B2 (en) 2011-12-28 2014-11-11 Lam Research Corporation Mixed mode pulsing etching in plasma processing systems
US8623468B2 (en) * 2012-01-05 2014-01-07 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of fabricating metal hard masks
USD676943S1 (en) 2012-01-11 2013-02-26 Bill Kluss Pipe end cap
US20130183814A1 (en) 2012-01-13 2013-07-18 Applied Materials, Inc. Method of depositing a silicon germanium tin layer on a substrate
USD665055S1 (en) 2012-01-24 2012-08-07 Asm Ip Holding B.V. Shower plate
JP5601331B2 (ja) 2012-01-26 2014-10-08 株式会社安川電機 ロボットハンドおよびロボット
US8728955B2 (en) 2012-02-14 2014-05-20 Novellus Systems, Inc. Method of plasma activated deposition of a conformal film on a substrate surface
JP5912637B2 (ja) 2012-02-17 2016-04-27 東京エレクトロン株式会社 半導体装置の製造方法
US20130224964A1 (en) 2012-02-28 2013-08-29 Asm Ip Holding B.V. Method for Forming Dielectric Film Containing Si-C bonds by Atomic Layer Deposition Using Precursor Containing Si-C-Si bond
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
EP2823082B1 (en) 2012-03-09 2024-05-15 Versum Materials US, LLC Barrier materials for display devices
US8912101B2 (en) 2012-03-15 2014-12-16 Asm Ip Holding B.V. Method for forming Si-containing film using two precursors by ALD
USD715410S1 (en) 2012-03-21 2014-10-14 Blucher Metal A/S Roof drain
US9082684B2 (en) 2012-04-02 2015-07-14 Applied Materials, Inc. Method of epitaxial doped germanium tin alloy formation
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9460912B2 (en) 2012-04-12 2016-10-04 Air Products And Chemicals, Inc. High temperature atomic layer deposition of silicon oxide thin films
US8535767B1 (en) 2012-04-18 2013-09-17 Asm Ip Holding B.V. Method for repairing damage of dielectric film by hydrocarbon restoration and hydrocarbon depletion using UV irradiation
US8647439B2 (en) 2012-04-26 2014-02-11 Applied Materials, Inc. Method of epitaxial germanium tin alloy surface preparation
TWI622664B (zh) 2012-05-02 2018-05-01 Asm智慧財產控股公司 相穩定薄膜,包括該薄膜之結構及裝置,及其形成方法
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8785215B2 (en) 2012-05-31 2014-07-22 Asm Ip Holding B.V. Method for repairing damage of dielectric film by cyclic processes
US9337018B2 (en) 2012-06-01 2016-05-10 Air Products And Chemicals, Inc. Methods for depositing films with organoaminodisilane precursors
US9978585B2 (en) 2012-06-01 2018-05-22 Versum Materials Us, Llc Organoaminodisilane precursors and methods for depositing films comprising same
US20130330911A1 (en) 2012-06-08 2013-12-12 Yi-Chiau Huang Method of semiconductor film stabilization
US8722546B2 (en) 2012-06-11 2014-05-13 Asm Ip Holding B.V. Method for forming silicon-containing dielectric film by cyclic deposition with side wall coverage control
US9984866B2 (en) 2012-06-12 2018-05-29 Component Re-Engineering Company, Inc. Multiple zone heater
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9023737B2 (en) 2012-07-11 2015-05-05 Asm Ip Holding B.V. Method for forming conformal, homogeneous dielectric film by cyclic deposition and heat treatment
US8784950B2 (en) 2012-07-16 2014-07-22 Asm Ip Holding B.V. Method for forming aluminum oxide film using Al compound containing alkyl group and alkoxy or alkylamine group
EP2875166B1 (en) 2012-07-20 2018-04-11 L'Air Liquide Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Organosilane precursors for ald/cvd silicon-containing film applications
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US8911826B2 (en) 2012-08-02 2014-12-16 Asm Ip Holding B.V. Method of parallel shift operation of multiple reactors
US8664627B1 (en) 2012-08-08 2014-03-04 Asm Ip Holding B.V. Method for supplying gas with flow rate gradient over substrate
US8912070B2 (en) 2012-08-16 2014-12-16 The Institute of Microelectronics Chinese Academy of Science Method for manufacturing semiconductor device
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US8742668B2 (en) 2012-09-05 2014-06-03 Asm Ip Holdings B.V. Method for stabilizing plasma ignition
WO2014039194A1 (en) 2012-09-07 2014-03-13 Applied Materials, Inc. Integrated processing of porous dielectric, polymer-coated substrates and epoxy within a multi-chamber vacuum system confirmation
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US20140077240A1 (en) 2012-09-17 2014-03-20 Radek Roucka Iv material photonic device on dbr
US8921207B2 (en) 2012-09-24 2014-12-30 Asm Ip Holding B.V., Inc. Tin precursors for vapor deposition and deposition processes
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
JP6042160B2 (ja) 2012-10-03 2016-12-14 東京エレクトロン株式会社 成膜方法及び成膜装置
US9353441B2 (en) 2012-10-05 2016-05-31 Asm Ip Holding B.V. Heating/cooling pedestal for semiconductor-processing apparatus
US20140099798A1 (en) 2012-10-05 2014-04-10 Asm Ip Holding B.V. UV-Curing Apparatus Provided With Wavelength-Tuned Excimer Lamp and Method of Processing Semiconductor Substrate Using Same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
JP2014086472A (ja) 2012-10-19 2014-05-12 Sinfonia Technology Co Ltd クランプ装置及びワーク搬送ロボット
US20140116335A1 (en) 2012-10-31 2014-05-01 Asm Ip Holding B.V. UV Irradiation Apparatus with Cleaning Mechanism and Method for Cleaning UV Irradiation Apparatus
JP5960028B2 (ja) 2012-10-31 2016-08-02 東京エレクトロン株式会社 熱処理装置
US9105587B2 (en) 2012-11-08 2015-08-11 Micron Technology, Inc. Methods of forming semiconductor structures with sulfur dioxide etch chemistries
US8784951B2 (en) 2012-11-16 2014-07-22 Asm Ip Holding B.V. Method for forming insulation film using non-halide precursor having four or more silicons
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20140182053A1 (en) 2012-12-29 2014-07-03 Alexander Yeh Industry Co., Ltd. Pullable drain plug
US9018093B2 (en) 2013-01-25 2015-04-28 Asm Ip Holding B.V. Method for forming layer constituted by repeated stacked layers
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
KR20140102782A (ko) 2013-02-14 2014-08-25 삼성전자주식회사 웨이퍼 이송용 블레이드 및 이를 포함하는 웨이퍼 이송 장치
US8790743B1 (en) 2013-03-04 2014-07-29 Asm Ip Holding B.V. Method for controlling cyclic plasma-assisted process
USD723153S1 (en) 2013-03-08 2015-02-24 Olen Borkholder Recess ceiling fan bezel
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US8841182B1 (en) 2013-03-14 2014-09-23 Asm Ip Holding B.V. Silane and borane treatments for titanium carbide films
US9666702B2 (en) 2013-03-15 2017-05-30 Matthew H. Kim Advanced heterojunction devices and methods of manufacturing advanced heterojunction devices
JP6096547B2 (ja) 2013-03-21 2017-03-15 東京エレクトロン株式会社 プラズマ処理装置及びシャワープレート
USD734377S1 (en) 2013-03-28 2015-07-14 Hirata Corporation Top cover of a load lock chamber
US8864202B1 (en) 2013-04-12 2014-10-21 Varian Semiconductor Equipment Associates, Inc. Spring retained end effector contact pad
US9365924B2 (en) 2013-05-23 2016-06-14 Asm Ip Holding B.V. Method for forming film by plasma-assisted deposition using two-frequency combined pulsed RF power
US9142393B2 (en) 2013-05-23 2015-09-22 Asm Ip Holding B.V. Method for cleaning reaction chamber using pre-cleaning process
USD726365S1 (en) 2013-05-29 2015-04-07 Sis Resources Ltd. Mouthpiece plug for electronic cigarette
US9117657B2 (en) 2013-06-07 2015-08-25 Asm Ip Holding B.V. Method for filling recesses using pre-treatment with hydrocarbon-containing gas
US9245740B2 (en) 2013-06-07 2016-01-26 Dnf Co., Ltd. Amino-silyl amine compound, method for preparing the same and silicon-containing thin-film using the same
US9123510B2 (en) 2013-06-12 2015-09-01 ASM IP Holding, B.V. Method for controlling in-plane uniformity of substrate processed by plasma-assisted process
US20140367043A1 (en) 2013-06-17 2014-12-18 Applied Materials, Inc. Method for fast and repeatable plasma ignition and tuning in plasma chambers
TWI630281B (zh) 2013-06-26 2018-07-21 應用材料股份有限公司 沉積金屬合金膜之方法
US9677176B2 (en) 2013-07-03 2017-06-13 Novellus Systems, Inc. Multi-plenum, dual-temperature showerhead
JP5861676B2 (ja) 2013-07-08 2016-02-16 株式会社安川電機 吸着構造、ロボットハンドおよびロボット
USD705745S1 (en) 2013-07-08 2014-05-27 Witricity Corporation Printed resonator coil
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US8940646B1 (en) 2013-07-12 2015-01-27 Lam Research Corporation Sequential precursor dosing in an ALD multi-station/batch reactor
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9190263B2 (en) 2013-08-22 2015-11-17 Asm Ip Holding B.V. Method for forming SiOCH film using organoaminosilane annealing
US9136108B2 (en) 2013-09-04 2015-09-15 Asm Ip Holding B.V. Method for restoring porous surface of dielectric layer by UV light-assisted ALD
USD716742S1 (en) 2013-09-13 2014-11-04 Asm Ip Holding B.V. Substrate supporter for semiconductor deposition apparatus
USD724553S1 (en) 2013-09-13 2015-03-17 Asm Ip Holding B.V. Substrate supporter for semiconductor deposition apparatus
US10312127B2 (en) 2013-09-16 2019-06-04 Applied Materials, Inc. Compliant robot blade for defect reduction
CN105556654B (zh) 2013-09-26 2019-07-26 应用材料公司 用于传送基板的气动终端受动器装置、基板传送系统与方法
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9029272B1 (en) 2013-10-31 2015-05-12 Asm Ip Holding B.V. Method for treating SiOCH film with hydrogen plasma
USD739222S1 (en) 2013-11-13 2015-09-22 Jeff Chadbourne Two-piece magnetic clamp
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10431489B2 (en) 2013-12-17 2019-10-01 Applied Materials, Inc. Substrate support apparatus having reduced substrate particle generation
US9698035B2 (en) 2013-12-23 2017-07-04 Lam Research Corporation Microstructures for improved wafer handling
US9370863B2 (en) 2014-02-04 2016-06-21 Asm Ip Holding B.V. Anti-slip end-effector for transporting workpiece
USD732644S1 (en) 2014-02-04 2015-06-23 Asm Ip Holding B.V. Top plate
USD720838S1 (en) 2014-02-04 2015-01-06 Asm Ip Holding B.V. Shower plate
USD726884S1 (en) 2014-02-04 2015-04-14 Asm Ip Holding B.V. Heater block
USD732145S1 (en) 2014-02-04 2015-06-16 Asm Ip Holding B.V. Shower plate
USD724701S1 (en) 2014-02-04 2015-03-17 ASM IP Holding, B.V. Shower plate
USD725168S1 (en) 2014-02-04 2015-03-24 Asm Ip Holding B.V. Heater block
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US20150267295A1 (en) 2014-03-19 2015-09-24 Asm Ip Holding B.V. Removable substrate tray and assembly and reactor including same
US9343350B2 (en) 2014-04-03 2016-05-17 Asm Ip Holding B.V. Anti-slip end effector for transporting workpiece using van der waals force
US9663857B2 (en) 2014-04-07 2017-05-30 Asm Ip Holding B.V. Method for stabilizing reaction chamber pressure
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US9464352B2 (en) 2014-05-02 2016-10-11 Asm Ip Holding B.V. Low-oxidation plasma-assisted process
TWI518751B (zh) 2014-05-14 2016-01-21 國立清華大學 成分元素濃度漸變分佈之載子通道及其製作方法
USD743513S1 (en) 2014-06-13 2015-11-17 Asm Ip Holding B.V. Seal ring
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020064598A1 (en) * 1999-06-11 2002-05-30 Shulin Wang Method of depositing a thick titanium nitride film
US20030143328A1 (en) * 2002-01-26 2003-07-31 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US20060032443A1 (en) * 2004-07-28 2006-02-16 Kazuhide Hasebe Film formation method and apparatus for semiconductor process
KR20100015073A (ko) * 2008-08-04 2010-02-12 한국과학기술원 산화티타늄을 활성층으로 갖는 박막 트랜지스터의 제조방법 및 이에 의해 제조된 박막 트랜지스터
US20100032842A1 (en) * 2008-08-07 2010-02-11 Texas Instruments Incorporated MODULATED DEPOSITION PROCESS FOR STRESS CONTROL IN THICK TiN FILMS
JP2010258413A (ja) * 2009-04-01 2010-11-11 Elpida Memory Inc キャパシタ用容量絶縁膜の製造方法

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20180010323A (ko) * 2015-06-18 2018-01-30 어플라이드 머티어리얼스, 인코포레이티드 균일하고 컨포멀한 하이브리드 티타늄 산화물 필름들을 위한 증착 방법들
WO2021030332A1 (en) * 2019-08-13 2021-02-18 Applied Materials, Inc. Peald titanium nitride with direct microwave plasma
US11823870B2 (en) 2019-08-13 2023-11-21 Applied Materials, Inc. PEALD titanium nitride with direct microwave plasma

Also Published As

Publication number Publication date
TWI625414B (zh) 2018-06-01
US9556516B2 (en) 2017-01-31
US20150099072A1 (en) 2015-04-09
JP2015074831A (ja) 2015-04-20
TW201527579A (zh) 2015-07-16
JP6472203B2 (ja) 2019-02-20
KR102332870B1 (ko) 2021-11-29

Similar Documents

Publication Publication Date Title
KR102332870B1 (ko) TDMAT 또는 TDEAT 를 사용하여 PEALD 에 의해 Ti 함유 막을 형성하는 방법
KR102602311B1 (ko) NbMC 층
TWI756350B (zh) 藉由熱ald及peald沉積氧化物膜之方法
KR102541097B1 (ko) 입자 감소 단계를 사용한 금속 함유 막의 성막 방법
KR102373917B1 (ko) 원자층 증착에 의해 컨포멀 질화, 산화 또는 탄화된 유전체 막을 형성하는 방법
CN107313027B (zh) 多组分涂层组成物、其形成方法和半导体工艺腔室部件
TWI713551B (zh) 以peald形成氮化鋁基膜的方法
TWI780541B (zh) 原子層沈積方法及氟化物薄膜
KR20170044602A (ko) Peald에 의하여 트렌치들 내에 유전체 막을 퇴적하는 방법
US20150162185A1 (en) Atomic layer deposition of silicon carbon nitride based materials
TW201809333A (zh) 相對於基板的第二表面選擇性沈積在基板的第一表面上的製程與方法
JP2020172704A (ja) 金属表面上の金属酸化物の選択的堆積
US20100099264A1 (en) Etching high-k materials
TWI737612B (zh) 用於均勻且共形之混成氧化鈦薄膜的沉積方法
JP2007051327A (ja) 成膜方法
US11667575B2 (en) Erosion resistant metal oxide coatings
US20230139917A1 (en) Selective deposition using thermal and plasma-enhanced process
US20230395372A1 (en) Method and system for forming patterned structures using multiple patterning process
US20230227965A1 (en) Method and apparatus for forming a patterned structure on a substrate
KR20230062782A (ko) 플라즈마를 사용하여 실리콘 및 산소를 포함한 재료의 선택적 증착
TW202132600A (zh) 蝕刻或沉積之方法
KR20070038294A (ko) 원자층 적층 방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant