JP5663305B2 - 大気圧グロー放電プラズマを用いる原子層堆積の方法及び装置 - Google Patents

大気圧グロー放電プラズマを用いる原子層堆積の方法及び装置 Download PDF

Info

Publication number
JP5663305B2
JP5663305B2 JP2010523971A JP2010523971A JP5663305B2 JP 5663305 B2 JP5663305 B2 JP 5663305B2 JP 2010523971 A JP2010523971 A JP 2010523971A JP 2010523971 A JP2010523971 A JP 2010523971A JP 5663305 B2 JP5663305 B2 JP 5663305B2
Authority
JP
Japan
Prior art keywords
substrate
gas mixture
gas
precursor
processing space
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2010523971A
Other languages
English (en)
Other versions
JP2010538165A (ja
Inventor
フリイス、ヒンドリク ウィレム デ
フリイス、ヒンドリク ウィレム デ
Original Assignee
フジフィルム マニュファクチュアリング ヨーロッパ ビー.ヴィ.
フジフィルム マニュファクチュアリング ヨーロッパ ビー.ヴィ.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by フジフィルム マニュファクチュアリング ヨーロッパ ビー.ヴィ., フジフィルム マニュファクチュアリング ヨーロッパ ビー.ヴィ. filed Critical フジフィルム マニュファクチュアリング ヨーロッパ ビー.ヴィ.
Publication of JP2010538165A publication Critical patent/JP2010538165A/ja
Application granted granted Critical
Publication of JP5663305B2 publication Critical patent/JP5663305B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • C23C16/0245Pretreatment of the material to be coated by cleaning or etching by etching with a plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/4554Plasma being used non-continuously in between ALD reactions

Description

本発明は、基板の表面に原子層を堆積する方法に関する。別の態様では、本発明は、大気圧プラズマシステムを含む、基板の表面に原子層を堆積する装置に関する。本発明のさらに別の態様では、この装置は、化学物質又は化学元素の堆積に使用される。
原子層堆積法(ALD)は、ある材料の層を基板の表面に形成するために当技術分野で用いられている。化学気相成長法(CVD)及び物理気相成長法(PVD)とは異なり、原子層堆積法(ALD)は飽和表面反応に基づく。ALDプロセスの固有面制御機構は、基板反応部位(sites)と前駆体分子の間で個々に順次行われる表面反応の飽和に基づく。この飽和機構では、膜成長速度が、CVD及びPVDにおけるように反応物濃度又は成長時間ではなく、反応サイクル数に直接比例することになる。
Joo−Hyeon Leeの論文「前駆体としてSiHCl及びOを用いた原子層堆積による酸化シリコン薄膜の検討(Investigation of silicon oxide thin films by atomic layer deposition using SiHCl and O as the precursors)」では、前駆体としてSiHCl及びオゾンを用いたALDにより酸化シリコン薄膜を堆積する方法を開示している。オゾンは、遠隔コロナプラズマ放電を用いて得られる。層は、300℃という高い処理温度で堆積される。
米国特許出願公開第2005/0084610号は、基板の表面に原子層を堆積する化学気相成長プロセスを開示している。この堆積プロセスは、堆積プロセス中にラジカル発生器、例えば大気圧グロー放電プラズマなどのプラズマ発生器を使用することでより効果的になる。開示されたプロセスでは、前駆体分子は、表面と反応する前に分解される。
米国特許第6,897,119B1号は、非常に薄い膜の堆積を実現するALDの装置及び方法を開示している。遠隔プラズマ発生器が使用されるが(図11の参照数字39)、その具体的な詳細については説明の中で言及されていない。反応チャンバ31は真空ポンプ36に接続されており、このことは、このプロセスが非常に低圧の環境であることを示す。
米国特許出願公開第2003/114018号は、半導体部品を製造する方法を開示している。ALDプロセスを使用し、単分子層の堆積の前にまず基板の表面をコンディショニングすることによって、誘電体層が形成される。第1ステップ(表面コンディショニング)は、フリーラジカル発生器の利用を含み、パルスOプラズマ処理、パルスHプラズマ処理、及びパルスNHプラズマ処理などの例が言及されている。
ALDは自己制御式の反応プロセスであり、即ち、堆積される前駆体分子の量が、基板表面の反応表面部位の数だけで決まり、飽和後の前駆体への露出とは無関係である。理論上、最大成長速度は、1サイクルあたりちょうど1単分子層であるが、ほとんどの場合、種々の理由により成長速度は、単分子層の0.2〜0.3に限定される。ALDサイクルは4つのステップからなる。これは一般に、単一の処理空間で実施される。これは、ステップ1として基板の表面に反応部位(sites)を形成することから開始する。次のステップとして、前駆体を反応部位と反応させ、過剰な材料及び反応生成物を処理空間外に除去すると、理想的には、前駆体の単分子層が反応表面部位を介して基板表面に付着して残る(ステップ2)。反応剤が処理空間の中に導入されると、付着した前駆体分子と反応して、反応部位を有する所望の材料の単分子層が再び形成され(ステップ3)、この後、反応しなかった材料及び副生成物が除外される。任意選択で、このサイクルは、追加の単分子層を堆積するために繰り返される(ステップ4)。各サイクルで基本的に1つの原子層を堆積することができ、これにより、膜厚及び膜特性の非常に正確な制御が可能になる。
従来技術では、このALDプロセス中の反応ステップを向上させるいくつかの方法、例えば熱ALD及びプラズマ支援ALDが開発されてきた。既知のALD法で使用されるプラズマは、低圧RFプラズマ又は誘導結合プラズマ(ICP)とすることができ、Al、HfO、Ta、及び他の多くの材料を堆積するのに使用することができる。
国際特許公開WO01/15220は、集積回路内のバリア層の堆積の方法を記載しており、この方法ではALDが用いられる。ALDの各ステップでは、高温(最高500℃まで)の熱反応ステップと組み合わせて(約10トール(1330Pa)の)低圧が用いられる。別法として、反応環境を生成するのにプラズマを使用することが提案されている。開示されているすべての実施形態で、非常に低圧の環境が記載されており、そのため、使用される装置内には特別な対策が必要である。
米国特許出願公開第2004/0219784号は、熱反応ステップ又はプラズマ支援反応ステップを使用して原子層及び薄膜を形成する方法を開示しており、この方法では、ラジカルが基板から離れて形成されてから基板に移送される。やはり、これらのプロセスは、相対的に高温(100〜350℃)及び低圧(ほぼ真空、一般に0.3〜30トール(40〜4000Pa))で実施される。
米国特許出願公開第2003/0049375号はプラズマ支援CVDプロセスを使用して基板上に薄膜を堆積するCVDプロセスを開示している。複数の原子層の形成が特許請求の範囲に記載されている。
上述した既知のALD法は、主として低圧条件下で実施され、通常は真空機器を必要とする。さらに、(例えば300〜900℃にもなる、室温よりもかなり高い温度の)熱反応ステップを用いる上述のALD法は、ポリマー基板など温度の影響を受けやすい基板上に材料を堆積するのには適していない。
本発明によれば驚くべきことに、大気圧プラズマを用いるプラズマ増強ALDがまた、プラズマ発生に負の影響を及ぼしうる基板、又はALDで通常遭遇する環境要因の影響を受けやすい基板に対してさえも使用できることが見出された。したがって、上記プリアンブルによる方法であって、反応表面部位を形成することによって原子層堆積用の表面をコンディショニングすること(ステップA)、反応表面部位が前駆体材料分子と反応できるように表面に前駆体材料を供給して、反応部位を介して基板の表面に付着した前駆体分子の単分子層で覆われた表面を得ること(ステップB)、及びその後で基板から離れた大気圧グロー放電プラズマ内に生成されたガス混合物を用意し、その後で前記ガス混合物を前駆体分子で覆われた表面に加えること(ここで、前記ガス混合物は、付着した前駆体分子を活性前駆体部位に変換できる反応剤を含む)(ステップC)とを含む方法が提供される。前駆体材料を供給するステップと、大気圧プラズマ内に生成されたガス混合物を表面に加えるステップとは連続的に繰り返して、基板表面に材料の複数の層を得ることができる。ステップC中、即ち大気圧プラズマの印加中、表面解離反応をするようにプラズマが用いられるので、前駆体分子は存在しないことに注意されたい。この解離反応は、酸素、水、アンモニア、水素などのような反応分子を用いて補助することができる。
この方法を用いて、反応前駆体の単一原子層、又は反応前駆体の2つ以上の原子層を表面に付着させることができ、この場合各層は、それぞれ異なる反応前駆体を含むこともできる。
前駆体材料を表面に供給するステップ(この方法のステップB)の後に、前駆体分子は反応性基板表面部位と反応する。
別の実施形態では、この後、不活性ガス又は不活性ガス混合物を使用する除去ステップを用いて、過剰の前駆体分子、及び/又はこの反応で形成された分子を除去することができる。
表面を大気プラズマにさらしたとき(この方法のステップC)反応ステップが起こり、反応性表面部位を介して基板表面に付着した前駆体分子が反応性前駆体表面部位に変換される。別の実施形態では、この段階で形成されたいくらかの揮発性を有する分子を、不活性ガス又は不活性ガス混合物を使用する除外ステップにより取り除くことができる。
大気プラズマを使用することにより、非常に低圧で作業する必要がなくなる。これでALDプロセスのすべてのステップを大気圧付近で実施することができる。したがって、加工中に基板表面に真空又は近真空を得るための複雑な構築物が不要になる。
一実施形態では、基板は、例えばポリマー材料のフレキシブル基板、又はフレキシブル金属基板である。本処理方法は、その動作環境(温度、圧力、電界)の観点からこのような基板材料に特に適しており、またそのような材料をさらなる対策を必要としないで使用できるようにする。
別の実施形態では、基板は、その近辺の電界に影響を及ぼす、導電性材料、金属などの材料を含むことがある。ここで基板の近辺とは、局所電界の変化(例えば大きさの変化、又は電界線の向きの変化)が生じる、(例えば基板から1cm以内の)基板を直接取り囲むところを意味する。プラズマ発生が、プラズマに基板をさらすところから離れているので、プラズマ発生は、この場合には基板による負の影響を受けない。基板がプラズマ発生器の電極の間の空間を通過するときには、プラズマ発生は極度に影響を受けることになる。
別の実施形態では、基板は、酸素又は湿気にさらされると影響を受けやすい材料を含む。本方法は、基板を封止するために基板上に層を付けるのに非常によく適している。これは、例えば(OLED又はOTFT基板などの様に)、酸素又は水蒸気にさらされると影響を受けやすく損傷しやすい表面(又はその上の構成要素)を保護することができる。特に、非常に薄い共形層が必要な場合(例えばフレキシブル基板で)、この方法は非常に有利である。
別の実施形態では、反応剤は反応性ガスであり、この反応性ガスは、酸素、アンモニア、酸素含有剤、窒素含有剤からなる群の1つを含む。前駆体材料は、例えばトリメチルアルミニウム(TMA)であり、これは、例えばSi基板上にAl層を成長させることを可能にする。別の実施形態では、反応剤混合物は、希ガス、窒素、又はこれらのガスの混合物から選択された不活性ガスを含むことができる。
本発明の一実施形態で用いられる、原子層を堆積するために基板の表面をコンディショニングするステップは、表面にOH基又はNH基などの反応基を形成することを含むことができる。
使用される大気プラズマは、当技術分野で知られているどんな大気プラズマでもよい。
この発明の特定の実施形態では、大気圧グロー放電プラズマは、パルス大気圧グロー放電プラズマである。別の実施形態では、このパルス大気圧グロー放電プラズマは、プラズマ中の局所的不安定性に対抗する安定化手段によって安定化される。
大気圧でALDプロセスを実施することには、より高い反応速度が可能になるという付加的な利点があり、これは、より高い生産性につながりうる。本方法では、例えば10〜100分子層と同程度の薄い平行薄膜層を得ることができ、これらの膜は、従来技術の方法で製作された膜に匹敵する、又はより優れた性能を有する。
基板が高温に耐えられない場合では、従来技術のALD法は使用することができない。大気圧でプラズマを用いると、ALDプロセスを室温でも実施することができ、このため、プラスチックなどの合成材料上に薄い層を堆積することを含め、非常に広い範囲の応用例が可能になる。これによりまた、例えばポリマー箔の加工に本方法を適用することも可能になる。この発明の堆積プロセスに使用される基板は、これらの箔に限定されず、ウェハ、セラミック、プラスチックなどを含むこともできる。
基板がプラズマに対して、電極の間、及びその電界の中で負の影響を及ぼす場合には、プラズマ大気圧を用いる従来技術のALDは使用することができない。特に大気圧では、電極の間で用いられる、安定したプラズマを得るために必要な電界は非常に強くなる。この電界の、基板によって誘発される小さな変動が、プラズマを不安定にする可能性がある。したがって、基板から離れたガス混合物中で反応剤を含む安定した大気プラズマを発生すること(即ち、基板自体は電極間を通って電界を通過できない)と、このガス混合物を基板に供給する供給手段とは、電界中に持ち込まれたときにプラズマを不安定にする基板に対してさえも、原子層(単数又は複数)の堆積を可能にしている。この方法(遠隔プラズマ支援ALD法)は特に、高付加価値パッケージング用途の、例えばOLED及びOTFT封止のために酸素バリア層及び湿気バリア層を堆積するのに有利である。
本発明の一実施形態では、基板は固定位置にあり、ステップB及びCは同じ処理空間の中で実施される。
別の実施形態では、前駆体材料は、不活性ガス(Ar、He、Nなど)とともにガス混合物中にパルス的に供給され、反応剤は、不活性ガス又は不活性ガス混合物とともにガス混合物中にパルス的に導入される。この方法はさらに、前駆体材料の各パルス的供給、及び反応剤のパルス的導入の後に、不活性ガス又は不活性ガス混合物を使用して過剰な材料及び反応生成物を除去することを含む。
一代替実施形態では、前駆体材料は、不活性ガス又は不活性ガス混合物とともにガス混合物中にパルス的に供給され、反応剤は、不活性ガス又は不活性ガス混合物とともにガス混合物中に連続的に導入され、この方法はさらに、前駆体材料のパルス的供給の後、また大気圧グロー放電プラズマの印加中に、不活性ガス又は不活性ガス混合物を使用して過剰な材料及び反応生成物を除去することを含む。
別の代替実施形態では、前駆体材料は、基板の表面近くの第1層だけに連続的に供給され、反応剤はガス混合物中で、不活性ガス又は不活性ガス混合物とともに第1層の上の第2層に連続的に導入される。
別の実施形態では、基板は連続的又は断続的に移動している。この場合には、ステップBは、第1の処理空間の中で行うことができ、ステップCは、別の第2の処理空間で行われる。別の実施形態では、前駆体材料と不活性ガス又は不活性ガス混合物との混合物の連続的又はパルス的流れが第1の処理空間の中に供給され、反応剤と不活性ガス又は不活性ガス混合物との混合物の連続的又はパルス的流れが第2の処理空間の中に供給される。
別の実施形態によれば、前駆体材料は、10から5000ppmまでの濃度で供給される。この濃度は、本方法のステップBで、基板表面に前駆体分子の均一な層を得るのに十分である。
さらに別の実施形態では、反応剤と不活性ガスのガス混合物は、1から50%までの反応剤を含む。これは、本方法のステップCで良好な反応結果を得るのに十分である。
本発明はさらに、本発明の方法を実施することができる装置を対象とする。
本発明の一実施形態は、処理空間の中で基板の表面に原子層を堆積する装置に関し、この装置は、処理空間に種々のガス混合物を供給するガス供給デバイスを含み、このガス供給デバイスは、基板の反応表面部位が前駆体材料分子と反応して、その反応部位を介して基板の表面に付着した前駆体分子の単分子層で覆われた表面を得ることができるように前駆体材料を含むガス混合物を処理空間に供給するように構成され、また、付着した前駆体分子を反応前駆体部位に変換できる反応剤を含むガス混合物を供給するように構成され、この装置はさらに、ガス混合物中に大気圧グロー放電プラズマを発生するプラズマ発生器を含み、このプラズマ発生器は、処理空間から離れて配置される。処理空間は、例えば処理チャンバである制御筐体、或いは、例えば基板ウェブの一部としての制御処理場所とすることができる。
一実施形態では、この装置は特に、本方法のステップB及びCを単一処理空間の中で実施するように設計される。このため、装置はさらに、動作時に基板が配置される第1の処理空間を含み、ガス供給デバイスはさらに、関連する方法の請求項のいずれか1つを実施するように構成される。
別の実施形態では、この装置は、1つがステップB用、1つがステップC用の2つの異なる処理空間を備えて設計される。この実施形態では、装置はさらに、前駆体材料を含むガス混合物に基板がさらされる第1の処理空間と、第2の処理空間であって、第2の処理空間から離れたプラズマ発生器内で生成され反応剤を含むガス混合物に基板がさらされる第2処理空間と、基板を第1と第2の処理空間の間で移動させる搬送デバイスとを含む。ガス供給デバイスは、過剰の反応物及び、又は形成された反応生成物を除去するフラッシングステップを含む、2つの処理空間を利用する上述した関連する方法の実施形態を適用するように構成することができる。
さらに別の実施形態では、装置は、ステップB用及びステップC用の複数の連続した処理空間を有するように設計される。例えば、複数の第1及び第2の処理空間が、環状又は直線状の配列で順次後に1つずつ配置される。
上記の装置実施形態は、連続的に移動するウェブ又は断続的に移動するウェブを基板が含むことができるように設計することができる。
別の実施形態では、ガス供給デバイスは弁デバイスを備え、このガス供給デバイスは、弁デバイスを制御して種々のガス混合物を連続的又はパルス的に供給し、また過剰な材料及び反応生成物を不活性ガス又は不活性ガス混合物を使用して除去するように構成される。この弁デバイスは、1つ又は複数の弁を含むことができる。
さらに別の実施形態は、前駆体材料が基板表面近くに確実に保持されるように特に適合される。この目的のために、ガス供給デバイスは、基板の表面近くに配置された注入弁を有する注入チャネル(channel)を含み、導入チャネルを用いて基板の表面近くの第1層内にだけ、弁デバイス及び注入弁を制御して前駆体材料を連続的に供給するように、またガス混合物中の反応剤を不活性ガス又は不活性ガス混合物とともに第1層の上の第2層に連続的に導入するように構成される。
別の実施形態では、プラズマ発生器は、パルス大気圧グロー放電プラズマを発生するように構成される。プラズマ発生器はさらに、プラズマ中の局所的不安定性に対抗するためにパルス大気圧グロー放電プラズマを安定化する安定化手段を含むことができる。
一実施形態では、プラズマ発生器の電極は、1対の平板として構成されるが、有利にはまた、複数対の平板電極のアレイを別の実施形態で使用することもできる。さらに別の実施形態では、電極は、内部電極を有する中空管電極として構成でき、或いは内部電極を有するそのような中空形電極のアレイとしてさえも構成することができる。また、上述の電極の組合せを有する実施形態を想定することもできる。
さらに、この発明は、例えば基板上に材料の層を堆積するために、この発明の装置を使用することも対象とする。この基板は、例えば、(有機LED又は有機TFTの製造用などの)電子回路が上に設けられるべき合成基板とすることができる。基板は、例えばポリマー材料の、フレキシブル基板とすることができる。基板の厚さは重要ではなく、最大2cmまでさえも可能である。これらのタイプの基板は、本発明の実施形態を用いて処理するのに特に適しているのに対して、従来技術のシステム及び方法での処理は実用的ではなく、さらには不可能でもあった。或いは、このプラズマ堆積装置は、フレキシブル基板上にフレキシブル光起電力電池を製造するのに使用される。本発明はまた、本発明の装置及び方法を用いて堆積された原子層を備える基板にも関する。
本発明を添付の図面を参照して以下でより詳細に説明する。
活性表面部位としてSiOH基を有する基板上にAl層が堆積される例示的な一実施形態の、原子層堆積プロセス中の種々のステップを示す概略図である。 単一処理空間を使用する本発明の一実施形態におけるガス流のタイムチャートである。 単一処理空間を使用する本発明の別の実施形態におけるガス流のタイムチャートである。 単一処理空間を使用する本発明のさらに別の実施形態におけるガス流のタイムチャートである。 本発明による基板を処理するための構成を示す概略図である。 本発明による基板を処理するための構成を示す概略図である。 2つの処理空間を使用して移動基板を用いる一実施形態を示す概略図である。 一連の繰返し処理空間を有する装置の一実施形態を示す図である。 2つの処理空間を使用する連続堆積プロセスの一実施形態を示す図である。
本発明によれば、基板から離れた大気圧プラズマの支援を用いた原子層堆積法(ALD)プロセス、即ち、いわゆる遠隔プラズマ支援ALDプロセスを実施するための改善された方法が提供される。ALDプロセスを使用して、Al、HfO、Ta5、及び他の多くの材料の原子層からなる欠陥のない被覆を堆積することができる。従来技術の方法では、一般に50ミリトールから10トールまでの低圧、及び/又は高温が、適切な作業工程のために必要である。
化学気相成長法(CVD)及び物理気相成長法(PVD)とは異なり、原子層堆積法(ALD)は飽和表面反応に基づく。ALDプロセスの固有表面制御機構は、基板と前駆体分子の間の、個々の順次に行われる表面反応の飽和に基づく。この飽和機構では、膜成長速度が、CVD及びPVDにおけるように反応物濃度又は成長時間ではなく、反応サイクル数に直接比例するようになる。
ALDは自己制御式の反応プロセスであり、即ち、表面に付着される前駆体分子の量が、反応表面部位の数だけで決まり、飽和後の前駆体への露出とは無関係である。
実際のALDサイクルは、図1に前駆体としてトリメチルアルミニウム(TMA)を用い、反応剤として水蒸気を用いる固定基板6の上へのAlの例示的な原子層堆積で示されるように、4つのステップからなる。
ステップA:図1の(A)で示されるように、この場合には水酸基である反応表面部位をSi基板6の表面に形成することによって、原子層堆積用の表面6をコンディショニングする。
ステップB:前駆体投与。図1の(B1)で示されるように、このステップ中に前駆体分子(TMA)が反応表面部位と反応する。この結果、反応部位を介して基板6に付着した前駆体分子が、CHなど他のいくらかの揮発性反応生成物とともに生じる。これらの揮発性生成物は、ありうる過剰な材料とともに処理空間の外に除去され、理想的には、図1の(B2)で示されるように、前駆体の単分子層が基板6の表面に付着して残る。
ステップC:図1の(C1)で示されるように、反応剤(水蒸気)が基板6の表面近くに導入され、前駆体の単分子層と反応して所望の材料(Al)の単分子層、及びいくらかの揮発性反応生成物(CHなど)が形成される。その表面には、Alに付着した水酸基の形で反応部位が並んだままである。図1の(C2)で示されるように、揮発性反応生成物、及びありうる未反応剤は除外される。
任意選択で、ステップBとCのサイクルを繰り返して追加の単分子層を堆積する。各サイクルで1つの原子層を堆積することができ、これにより、膜厚及び膜特性の非常に正確な制御が可能になる。理論上、最大成長速度は、1サイクルあたりちょうど1単分子層であるが、ほとんどの場合、成長速度は、種々の理由により単分子層の0.2〜0.5、即ち0.25〜0.3に限定される。これらの理由の1つとして、吸収された前駆体分子による立体障害がありうる。
本発明によれば、反応剤を含む大気圧プラズマ中に基板から遠隔で生成されるガス混合物は、ステップCで、例えば、ガス混合物を基板に吹き付け又はパージすることによって基板に供給されて反応が実現する。ステップC中で、プラズマ中に生成されたガス混合物を用いてリガンド除去を促進し、これらを他の原子又は分子で置き換える。前駆体としてTMAを使用する上述の例示的な場合では、リガンドはメチル基で形成され、酸素原子及び水酸基で置き換えられる。これらの水酸基は、このプロセスサイクルを再びステップBから開始するのに適している。
ALDプロセスは、標準的な低圧誘導結合プラズマ(ICP)又はRFプラズマが大気圧プラズマステップによって置き換えられることを除き、従来技術で説明されているように実施することができる。このため、関係するすべてのステップを今や大気圧のもとで実施することができる。
本発明は有利なことに、基板6がポリマー箔など高温に耐えられない材料からなる場合に用いることができる。しかし、すべての種類の基板6が表面に活性部位を載せて使用可能であるので、本発明は、ポリマー箔に限定されない。基板6は、例えば、セラミック、ガラス、ウェハ、熱硬化性ポリマー及び熱可塑性ポリマーから選択できるが、また金属(細片)基板などから選択することもできる。
本発明の方法のステップAで、使用されるべき基板の表面には反応表面部位が形成されている。これは、例えばCVDステップによって行うことができる。このCVDステップ中、堆積は均一でなければならず、また基板表面を覆う活性部位の均一な分布が得られなければならない。図1の例では、これらの活性表面部位はSi−OH基である。これらのSi−OH基は、前駆体分子と反応するのに適している。しかし、本発明は、この特定の実施形態に限定されない。重要なことは、基板の表面が、前駆体分子と反応できる活性部位を含むことである。一実施形態では、このような表面活性部位は水酸基を含むが、別の実施形態では、活性表面部位はNH基又はNHR基を含むことができ、ここでRは、短鎖の脂肪族基又は芳香族基とすることができる。これらの活性基は、Si、Ti、Al、Feなどのような種々の原子に結合することができる。さらに、P又はSを用いる活性部位を想定することもできる。
ステップBで、基板の活性表面部位は前駆体分子と反応する。これらの前駆体分子は、有機金属化合物、並びに例えばハロゲン化物、又はハロゲン化物と有機リガンドの両方を含む物質から選択することができる。これらの前駆体の元素は、例えば、コバルト、銅、クロム、鉄、アルミニウム、ヒ素、バリウム、ベリリウム、ビスマス、ホウ素、ニッケル、ガリウム、ゲルマニウム、金、ハフニウム、鉛、マグネシウム、マンガン、水銀、モリブデン、ニオブ、オスミウム、リン、白金、ルテニウム、アンチモン、シリコン、銀、硫黄、タンタル、スズ、チタン、タングステン、バナジウム、亜鉛、イットリウム、ジルコニウムなどから選択することができる。複数の元素を含む前駆体分子もまた使用することができる。これらの分子の例は、
ビス(N,N’−ジイソプロピルアセトアミジナート)コバルト(II)、(N,N’−ジ−sec−ブチルアセトアミジナート)銅(I)、(N,N’−ジイソプロピルアセトアミジナート)銅(I)、ビス(N,N’−ジ−tert−ブチルアセトアミジナート)鉄(II)、ビス(N,N’ジイソプロピルアセトアミジナート)ニッケル(II)、アルミニウムsec−ブトキシド、ジエチルアルミニウムエトキシド、トリメチルアルミニウムトリス(ジエチルアミド)アルミニウム、トリス(エチルメチルアミド)アルミニウム、ジボラン(水素中10%)、トリメチルホウ素、トリメチルガリウム、トリス(ジメチルアミド)アルミニウム、ジゲルマン(H中10%)、テトラメチルゲルマニウム、塩化ハフニウム(IV)、ハフニウム(IV)tert−ブトキシド、テトラキス(ジエチルアミド)ハフニウム(IV)、テトラキス(ジメチルアミド)ハフニウム(IV)、テトラキス(エチルメチルアミド)ハフニウム(IV)、ビス(シクロペンタジエニル)マグネシウム(II)、ビス(ペンタメチルシクロペンタジエニル)マグネシウム(II)、ビス(エチルシクロペンタジエニル)マンガン、ヘキサカルボニルモリブデン、ニオブ(V)エトキシド、ビス(メチルシクロペンタジエニル)ニッケル(II)、ビス(エチルシクロペンタジエニル)マグネシウム(II)、シクロペンタジエニル(トリメチル)白金(IV)、ビス(エチルシクロペンタジエニル)ルテニウム(II)、トリス(ジメチルアミド)アンチモン、2,4,6,8−テトラメチルシクロテトラシロキサン、ジメトキシジメチルシラン、ジシラン、メチルシラン、オクタメチルシクロテトラシロキサン、シラン、トリス(イソプロポキシ)シラノール、トリス(tert−ブチオキシ)シラノール、トリス(tert−ペントキシ)シラノール、ペンタキス(ジメチルアミド)タンタル(V)、トリス(ジエチルアミド)(tert−ブチルイミド)タンタル(V)、ビス(ジエチルアミノ)ビス(ジイソプロピルアミノ)チタン(IV)、テトラキス(ジエチルアミド)チタン(IV)、テトラキス(ジメチルアミド)チタン(IV)、テトラキス(エチルメチルアミド)チタン(IV)、ビス(tert−ブチルイミド)ビス(ジメチルアミド)タングステン(VI)、タングステンヘキサカルボニル、トリス(N,N−ビス(トリメチルシリル)アミド)イットリウム(III)、ジエチル亜鉛、テトラキス(ジエチルアミド)ジルコニウム(IV)、テトラキス(ジメチルアミド)ジルコニウム(IV)、テトラキス(エチルメチルアミド)ジルコニウム(IV)がある。前駆体分子としては、SiCl、SiClH、SiClH、SiCl、TiCl、TIClH、TiCl及びTiCLHが好ましい。
これらの化合物の混合物もまた使用することができる。
このステップBは、処理空間5(例えば図5aの記載参照)の中で行うことができ、そこでは反応部位を有する基板6が固定位置に配置され移動しない。前駆体は、この処理空間5内に挿入され、その後、反応表面部位との反応が起こる。前駆体は、不活性キャリアガスによって付加される。この不活性キャリアガスは、希ガス及び窒素から選択することができる。不活性ガス混合物もまたキャリアガスとして使用することができる。キャリアガス中の前駆体の濃度は、10から5000ppmまでとすることができるが、表面反応を完了させるのに十分でなければならない。反応は、ほとんどの場合で瞬時である。活性表面部位と前駆体の間の反応が完了した後、処理空間5は、不活性ガス又は不活性ガス混合物でパージされ、又はフラッシングされ、この不活性ガス又は不活性ガス混合物は、前駆体のキャリアガスとして使用される同じガス又はガス混合物でよいが、異なるガス又はガス混合物でもよい。ステップBは、室温で行うのが最も好ましいが、また高温で実施することもできる。しかし、どんな場合でも基板が劣化し始める温度よりは十分に低くなければならない。ポリエチレンのようなプラスチックでは、温度は例えば80℃より下にとどまることが好ましいが、例えばウェハ、ガラス又はセラミックでは、温度は必要に応じて100℃を超えてもよい。前駆体分子を備えた基板6は、次のステップまで保管することができ、或いはただちに次のステップにかけることもできる。
一般には、ALDプロセスのステップCは、高温において大気圧未満で行われる。このステップで、活性表面部位を介して基板6に付着した前駆体分子は、付着前駆体と反応剤との熱反応、或いは低圧誘導結合プラズマ又は低圧RFプラズマによって増強された熱反応などの熱反応の後に前駆体分子に由来して形成される化合物の単分子層に変換される。したがって従来技術では、ステップCは一般に、別の堆積ステップBに適した活性部位を有する化合物の単分子層へと前駆体分子を完全に変換するために、高温即ち100℃を超える温度において低圧で実施される。前述のように、従来技術の方法を用いると、その加熱ステップの故に、ガラス温度Tgが比較的低い、膨大な数の熱可塑性ポリマーを基板6として使用することが不可能になる。
本発明者らは驚くべきことに、あまり高くない温度において大気圧で、ステップCを大気圧プラズマ及び高電界を用いて実施できることを今では発見しており、この場合プラズマは、基板6から離れた電極間で、反応剤と不活性ガス又は不活性ガス混合物とのガス混合物中で発生される。不活性ガスは、希ガス及び窒素から選択することができる。不活性ガス混合物は、希ガスの混合物又は希ガスと窒素の混合物とすることができる。ガス又はガス混合物中の反応剤の濃度は、1%から50%までとすることができる。反応剤は基本的に、ステップBで、活性部位を介して基板6に付着される前駆体分子のリガンドと反応する。この反応剤は、酸素、或いはオゾン、水、酸化炭素又は二酸化炭素のような酸素含有ガスとすることができる。反応剤はまた、窒素、或いはアンモニア、一酸化窒素、一酸化二窒素、二酸化窒素などの窒素含有化合物を含むこともできる。
一般に、大気圧プラズマは、2つの電極間に発生される。プラズマ発生器10の電極は、図5aの実施形態に示されるように、電源4に接続された1対の平板3として構成でき、さらには図5bの実施形態に示されるように、単一の電源4に接続された各平板からなる複数対の電極のアレイとしても構成することができる。別の実施形態では、電極は、内部電極を有する中空管電極の組合せとして構成でき、さらには内部電極を有するそのような中空形電極のアレイとしても構成することができ、この場合ガス混合物は、中空管電極(単数又は複数)の内側でプラズマにさらされる。
大気圧プラズマは、当技術分野で知られているこのプラズマのどんな種類でもよい。非常に良好な結果が、パルス大気圧グロー放電(APG)プラズマを使用して得られる。最近までこれらのプラズマは安定性がよくなかったが、例えば米国特許第6774569号、EP−A−1383359、EP−A−1547123及びEP−A−1626613に記載されている安定化手段を用いて、非常に安定したAPGプラズマを得ることができる。一般に、これらのプラズマは、プラズマ中の局所的不安定性に対抗する安定化手段によって安定化される。
ステップCの後、ステップCで形成された化合物の単分子層を備えた基板が得られる。この単分子層は、その順番で再び、繰返しステップB及びCに適した活性部位を得て、それによって、基板に複数の単分子層を互いの上に付けることができ、10層、20層、50層、100層、さらには200層もの多くの層を互いの上に付けることができる。
ある特定のサイクルにおいて前駆体を変更することによって、異なる組成の単分子層を互いの上に付けることができ、それによって、非常に特殊な特性を得ることができる。
本発明のALD法の各ステップを実行する種々の実施形態がある。
一実施形態では、各ステップは、単一の処理空間5内で実施される(例えば、図5aを参照して説明する以下の実施形態を参照)。この実施形態で、基板6は、処理空間5内の固定位置にある。ステップBの前駆体分子の堆積中には、基板6は固定位置にあってよく、ステップCの大気圧プラズマによる処理中には、基板6は固定位置にあってもよいが、ある線形速度を有してもよい。
満足な単分子層堆積法を得るには、ガス流を制御する方法を有することが重要である。一実施形態では、前駆体を含むガス混合物が処理空間5に付加され反応が完了した後、処理空間は不活性ガス(混合物)でフラッシングされる。この後、活性ガスを含む不活性ガス(混合物)が、基板6から離れたプラズマ発生器10の電極3の間に挿入され、プラズマの点火後に、例えばガス混合物を処理空間5に吹き付ける、又はパージすることによって基板6に供給される。基板6は、ある線形速度で処理空間5中を移動させることができる。この処理の後、処理空間5は再び不活性ガス(混合物)でフラッシングされ、ステップB及びCは、所望の数の単分子層が得られるまで繰り返すことができる。この方法では、前駆体材料はガス(混合物)中にパルス的に供給され、反応剤は、不活性ガス又は不活性ガス混合物とともにガス混合物中にやはりパルス的に導入され、この方法はさらに、前駆体材料の各パルス的供給、及び反応剤のパルス的導入の後に、過剰な材料及び反応生成物を不活性ガス又は不活性ガス混合物を用いて除去することも含む。これは、一実施形態として図2に概略的に示されており、この場合、TMAは前駆体として、アルゴンはフラッシングガスとして、酸素は反応剤として使用されている。
別の実施形態では(図3のタイミング図に概略的に示す)、前駆体材料(この例ではTMA)は不活性ガスとともにガス混合物中にパルス的に供給され、反応剤(酸素)は不活性ガス混合物中に(アルゴンとともに)連続的に供給され、即ち、処理空間5に挿入されるガス混合物は反応剤を継続的に含み、前駆体は不連続的に付加されることになる。この実施形態は、前駆体と反応剤が気相で互いに反応しない、又は実質的に反応しない場合に実現可能である。この実施形態では、ガス供給法が第1の実施形態よりもいくらか簡単である。この方法では、過剰な材料及び反応生成物は、前駆体材料の各パルス的供給及び放電プラズマのパルス的印加の後に、反応剤を含む不活性ガス又は不活性ガス混合物を使用して処理空間からパージされる。
さらに別の実施形態では、図4のタイミング図で示されるように、前駆体材料(TMA)は不活性ガス混合物中で、基板の表面近くの第1層だけに連続的に供給され、反応剤(酸素)はガス混合物中で、基板6から離れた大気圧プラズマ中に不活性ガス(アルゴン)とともに導入され、且つ第1層の上の第2層に連続的に供給される。この実施形態では、層流が必要条件である。この実施形態は、有利には、前駆体と反応剤が互いに反応しない、又は実質的に反応しない場合に適用される。やはり大気圧プラズマ処理がパルス的に行われ、それによって、この方法は、前駆体が活性表面部位と反応することを可能にするプラズマオフ時間と、表面に付着した前駆体分子が必要な化学物質に変換されるプラズマオン時間とを含む。この実施形態では、種々のガス混合物の組成はプロセス中に変化しないが、層流を得るための流れの制御が重要である。
上述した実施形態はすべて、1つの処理空間5が使用可能の場合に適用可能である。この方法はまた、少なくとも2つの処理空間1、2を使用する場合に適用することもでき、その場合、第1処理空間1は前駆体と活性表面部位との反応で使用され、第2処理空間2は、大気圧プラズマ処理で使用される(以下で説明する図5b及び図6の実施形態を参照)。この実施形態では、ガス組成及びガス流の制御がより簡単であり、より高い効率を得ることができる。この実施形態では、基板6は、処理空間1及び2を連続的に通して移動される。プラズマ処理ステップで起こる関連の反応が非常に速いので、1m/分の移動速度がかなり一般的であるが、10m/分のようなより速い速度を用いることもでき、特別な場合では100m/分と同程度の速い速度を用いることもできる。この実施形態では、ガス流は連続的のものにすることができ、処理空間1には前駆体を含む不活性ガス(混合物)が挿入され、処理空間2にはガス(混合物)が反応剤を含めてプラズマ発生器10から供給される。この実施形態のさらなる利点は、第1処理空間1の中の温度と第2処理空間2の中の温度とが同じである必要がないことであるが、ポリマー基板の場合には、温度は、好ましくはそのガラス転移温度未満でなければならず、あるポリマー基板では100℃未満でありうるが、処理空間1、2の両方で100℃を超えることもまたありうる。さらに別の実施形態では(図5bの記載参照)、基板6は、連続的ではなく断続的に一方の処理空間から他方へと移動し、処理中には移動していない。
さらに別の実施形態では、処理空間1及び2と、処理されるべき基板6とはループを形成し、それによって連続するステップBとステップCは、原理的には無限に繰り返すことができる。この実施形態の実施が、図6及び図8に概略的に示されており、これを以下でより詳細に説明する。
さらに別の実施形態では、複数の第1処理空間1及び第2処理空間2が互いの後に配置される。この実施形態では、連続プロセスを用いて、同じ組成又は異なる組成の種々の単分子層を互いの上に付けることができる。第1処理空間1及び第2処理空間2の配置については、すべての場合でプラズマ発生器10が実際の処理空間2の外側に設けられること、及び供給手段が、反応剤を含むガス混合物をプラズマ発生器10から処理空間2まで導くように設けられることを除いて、厳格な要件がない。処理空間1、2は、直線状、環状、又は連続プロセスに適した他の任意の配置で構成することができる。
さらに別の大気圧未満での実施形態では、例えば1トール、或いは10、20又は30トールの圧力でプラズマを使用することができる。
さらに別の実施形態では、処理空間1と2は分離され、即ち、まず処理空間1の中で前駆体分子が基板6の活性部位に付着され、この修飾された基板6は、この基板6が安定な条件下で保管され、別の時間に処理空間2の中で処理され、遠隔のプラズマ発生器10で生成されたガス混合物による処理を受ける。
本発明はまた、本発明の方法を実施するように構成された装置も対象とする。
図5aに概略的に示されている一実施形態では、この装置は処理空間5と、処理空間5から離れた2つの電極3の間で大気圧プラズマを発生するプラズマ発生器10とを含む。電極3は、図5aに太線で示された誘電体バリアを備えることができる。この装置はさらに、第1ガス供給源15及び第2ガス供給源16を含む。この実施形態で使用される種々の構成要素(前駆体、反応剤、不活性ガス(混合物))は、第1ガス供給手段15及び第2ガス供給手段16と、付随する弁17、18とを使用して電極3の間の空間に注入される。第1ガス供給源15は、前駆体及び不活性ガスを供給するように構成することができ、第2ガス供給源16は、反応剤及び不活性ガスを供給するように構成することができる。
第1ガス供給手段15と第2ガス供給手段16は、単一のガス供給デバイスとして組み合わせることができ、このデバイスは、種々のガス容器を含むことができ、混合手段を備え、この混合手段は、種々のガス成分を均一に正確に混合すること、成分が異なる種々の混合物を同時に供給すること、或いは種々のガス混合物を順次に供給することができ、また長時間にわたり安定したガス流を維持することができる。
図5aに概略的に示される第1ガス供給手段15及び第2ガス供給手段16は、実際には、前駆体、反応ガス、パージガスをパルス化によりプロセスに供給できる2つ又は3つ以上の出口を有するガスシャワーヘッドからなる。しかし、完全に混合することが堆積物の均一性にとっては重要である。
この設備では、高速切替弁17、18が、上述した図2及び図3の実施形態の場合に使用され、そこでは1つ又は複数のガス流がパルス的に供給される。したがって、例えば図2に示されたプロセスでは、種々のガス混合物を同時に調製することができ、即ち、ガス付加のシーケンスは、1つ(1組)の弁(単数又は複数)17によって制御される。したがって、ステップBを実行するとき、弁17が、前駆体を含むガス混合物の方に切り替えられて前駆体を含むガスパルスが与えられ、このパルスの後、この弁17(又は別の弁17)は、パージ用の不活性ガス組成物の方に切り替えられ、この後、弁18が、反応剤を含むガス組成物の方に切り替えられて、ステップCが実行される。最終ステップとして、弁18は、もう1つのパージステップのために不活性ガス組成の方に切り替えられる。弁17、18は、当業者にはそのようなものとして知られており、したがってこれ以上詳細には説明しないが、混合を防止し、ガス流の遅延時間を短縮するために、処理空間5に可能な限り近接して取り付けられる。拡散によるガス混合を制限するには、かなり高いガス流が必要である(即ち>1m/秒)。さらに、上述したように、図5aに示された実施形態での前駆体注入は、前駆体の流れを限定し拡散を制限するために、基板6の表面に可能な限り近くなければならない。これは、電極3からの流出開口を基板6に可能な限り近接して配置することによって達成することができる。別法として、第1ガス供給源15の流出部を基板6に近接して配置することもできる。このようにしてALDモードを維持することができる。
任意選択の機能として、この装置は、ある線形速度で基板6を処理空間5に通して移動させる移動手段を、例えば搬送機構の形で備えることができる。
図5bに概略的に示された別の実施形態では、この装置は、種々のガス混合物を処理空間1に供給するためのガス供給手段15を備えた第1処理空間1を含む。ガス混合物は、前駆体と不活性ガス又は不活性ガス混合物、或いは不活性ガス又は不活性ガス混合物を含むことができる。ガス供給手段15は、種々のガス容器を含むことができ、またガス供給手段15は混合手段を含むことができ、この混合手段は、種々のガス成分を均一に正確に混合すること、成分が異なる種々の混合物を同時に供給すること、或いは別々のガス混合物を順次に供給することができ、また長時間にわたり安定したガス流を維持することができる。ガス付加のシーケンスは、1つ(1組)の弁(単数又は複数)17によって制御することができる。したがって、本発明のステップBを処理空間1の中で実行するとき、弁17が、前駆体を含むガス混合物の方に切り替えられて前駆体材料を含むガスパルスが得られ、このパルスの後、この弁17、又は別の弁(図示せず)が、パージ用の不活性ガス組成物の方に切り替えられる。さらに、この実施形態の装置は、大気圧プラズマを発生するプラズマ発生器10と、付随する弁18を使用して種々のガス混合物を第2処理空間2に供給する第2ガス供給源16とを備える第2処理空間2を含む。ガス混合物は、反応剤と不活性ガス又は不活性ガス混合物との混合物、或いは不活性ガス又は不活性ガス混合物を含む。第2ガス供給手段もやはり、種々のガス容器、及び混合手段を含むことができ、この混合手段は、種々のガス成分を均一に正確に混合すること、成分が異なる種々の混合物を同時に供給すること、或いは種々のガス混合物を順次に供給することができ、また長時間にわたり安定したガス流を維持することができる。処理空間2でもまた、ガス付加のシーケンスは、1つ(1組)の弁(単数又は複数)18によって制御することができる。基板6が第2処理空間2に入った後、弁18は、反応剤を含むガス混合物の方に切り替えられて、大気圧放電プラズマを点火することによってステップCが実行され、最終ステップとして弁18は、パージステップのために不活性ガス組成物の方に切り替えられる。この装置はさらに、基板6を第1処理空間1から第2処理空間2まで移動させるための、例えば搬送ロボットの形の搬送手段20を含む。
図5a及び5bに示される上記の実施形態は、以下の共通の要素を有する。処理空間1;2、5の中で基板6の表面に原子層を堆積する装置は、種々のガス混合物を処理空間1;2、5に供給するガス供給デバイス15、16を含み、ガス供給デバイス15、16は、前駆体材料を含むガス混合物を処理空間1;2、5に供給するように構成されて、反応表面部位が前駆体材料分子と反応して、その反応部位を介して基板6の表面に付着した前駆体分子の単分子層で覆われた表面を得ることができる。続いて、付着した前駆体分子を活性前駆体部位に変換できる反応剤を含むガス混合物が供給され、装置はさらに、反応剤を含むガス混合物中に大気圧プラズマを発生するプラズマ発生器10を含む。さらに、ガス供給デバイス15、16は、弁デバイス17、18を備え、このガス供給デバイス15、16は、弁デバイス17、18を制御して種々のガス混合物を連続的又はパルス的に供給し、また過剰な材料及び反応生成物を不活性ガス又は不活性ガス混合物を使用して除去するように構成される。
一代替実施形態では、第1ガス供給デバイス15は、弁17を有する注入チャネルを含むことができ、この注入チャネルは基板6の表面近くに配置され、ガス供給デバイス15は、注入チャネルを用いて基板6の表面近くの第1層にだけ、弁17を制御して前駆体材料を連続的に供給するように構成される。その場合、第2ガス供給源16は、ガス混合物中の反応剤を不活性ガス又は不活性ガス混合物とともに第1層の上の第2層に連続的に導入するように構成される。
この装置の別の代替実施形態では、搬送手段20は、ある線形速度で基板6を連続的に、又は断続的に第1処理空間1から第2処理空間2まで(本発明のステップBとCを繰り返すことに関し、逆も同様である)移動させるように構成される。
図5a及び5bに示された実施形態の主要な各要素が交換できること、即ち、図5aの実施形態に図5bの複数電極構成を使用でき、図5bの実施形態に単一対の電極3を使用できることは明らかであろう。
図6に、基板6が無限ウェブ基板の形で供給される別の装置実施形態が概略的に示されている。この装置は、2つの主駆動シリンダ31、32を含み、これらはテンションローラ33、並びに処理ローラ34及び35を介して基板6を駆動する。処理ローラ34は、本発明のステップBを実施する第1処理空間1に沿って基板6を駆動し、処理ローラ35は、本発明のステップCを実施する第2処理空間2に沿って基板6を駆動する。この実施形態で、第2処理空間2もやはり、基板6を損傷しないように、付随するプラズマ発生器10から離れている。
別の装置実施形態では、基板6は、図8に示されるように、回転できるシリンダ51のまわりに巻き付けられる。シリンダ51を回転させると、基板6は処理空間1を通過して本発明のステップBが実施され、さらに回転させると、基板6は処理空間2を通過して本発明のステップCが実施される。やはり、処理空間2には遠隔に配置されたプラズマ発生器10が付随し、その例は図5a及び5bに示されている。この実施形態では、原子層の連続堆積を実施することができる。シリンダ52の駆動は、図8に示されるように、シリンダ52に接続された駆動シャフト52を駆動するモータ53を使用して実施することができる。基板6を洗うことは、シリンダ52のまわりに処理空間1又は2が存在しない、図8の参照数字50で示されるステージで行うことができる。
さらに別の装置実施形態では、装置は、図7a、7b及び7cに概略的に示された種々の実施形態に示されるように、連続する第1処理空間1と第2処理空間2(又は別法として処理空間47)で構成される。やはり、第2処理空間2(又は処理空間47)は、基板6から離れて配置されたプラズマ発生器10を備える。これらの実施形態では、ウェブなどの形の基板6が、巻戻しローラ41から巻取りローラ42まで給送される。巻戻しローラ41と巻取りローラ42の間に、いくつかのテンションローラ46が配置される。これにより、連続する第1処理空間1と第2処理空間2の中で、基板6を線形速度で連続的に、又は断続的に移動させることができる。任意選択で、種々の処理空間1、2は、限定された領域内に前駆体及び反応剤を保持するためのロックを備える。この実施形態の装置は、フレキシブル基板の上に種々の層を堆積するのに非常に適しており、処理されるべき基板6は、巻戻しローラ41から巻き戻され、処理された基板6は、巻取りローラ42上に再び巻き付けられる。
図7aに示される代替実施形態では、基板6はまず、前処理空間45の中で処理されて、例えば、上述した本発明による第1前処理ステップAを実行する。次に、基板6は、テンションローラ46に沿って第1処理シーケンス(sequence)ローラ43まで移動する。第1処理シーケンスローラ43の外周に沿って、連続する第1処理空間1と第2処理空間2が図示の実施形態では2対配置され、これにより基板6の上に2つの原子層を形成することができる。次に、基板6は、別のテンションローラ46に沿って、やはり連続した第1処理空間1と第2処理空間2を備える別の処理シーケンスローラ44(さらには複数の別の処理シーケンスローラ44)まで移動される。
図7bに、一代替構成が概略的に示されている。巻戻しローラ41と巻取りローラ42の間に、多数のテンションローラ46が設けられる。第1テンションローラ46の外周部に前処理空間45が設けられ、この中で基板6に対して本発明のステップAが適用される。別のテンションローラ46に処理空間47を設けることができ、ここで基板6に対してステップBとCの両方が適用される。一代替形態として、後続の処理空間47は、ステップBとステップCを交互に適用するように構成することができる。
図7cに、さらに別の代替構成が概略的に示されている。巻戻しローラ41と巻取りローラ42の間に、いくつかのテンションローラ46が設けられる。2つのテンションローラ46の間に第1処理空間1又は第2処理空間2が、本発明のステップBとステップCを交互に適用するように設けられる。
この装置実施形態で使用されるプラズマは、連続波プラズマが好ましい。より好ましいプラズマは、パルス大気圧放電プラズマ、又はパルス大気圧グロー放電プラズマとすることができる。さらにより好ましいのは、オン時間とオフ時間によって特徴づけられるパルス大気圧グロー放電プラズマを使用することである。このオン時間は、非常に短い例えば20μsから、短い例えば500μsまで変えることができ、これにより効果的に、動作周波数の一連の正弦波周期を有するパルス列が、あるオン時間の合計持続時間で得られる。
大気圧グロー放電プラズマの設備で使用される回路は、プラズマ中の不安定性に対抗する安定化手段を備えることが好ましい。プラズマは、広範囲の周波数を生成する電源4(図5a、5b参照)を使用して発生される。例えば、これは、オン時間中に低周波(f=10〜450kHz)電気信号を生成することができる。これはまた、例えばf=450kHz〜30MHzの高周波電気信号を生成することもできる。また、450kHzから1MHz又は1MHzから20MHzまでなどのように、他の周波数を生成することもできる。プラズマ電極は様々な長さ及び幅、並びに電極間の距離を有することができる。
本発明は、種々のALD応用例に有利に適用することができる。特に、その近辺の電界に影響を及ぼす材料からなる基板6を使用する場合には、遠隔で発生されるプラズマを使用する本発明に利点がある。このような材料には導電材料、金属などがありうる。基板6の近辺は、局所電界の変化(例えば大きさの変化、又は電界線の向きの変化)が生じる、例えば基板から1cm以内で基板を直接取り囲むところである。本発明は、半導体応用分野に限定されず、パッケージング、有機LED(OLED)又は有機薄膜トランジスタ(OTFT)応用例のようなプラスチック電子素子など、他の応用分野にも及びうる。OLEDデバイス製造用の基板6の場合では、OLED基板6が酸素又は湿気にさらされないように特別な予防措置を講じなければならない。好ましい前駆体はTiCl、SiCl、又はSiClであり、プラズマステップ中にアミン終端表面を生成するための好ましい反応剤と好ましい不活性ガスは、窒素とアンモニアである。
例えば高品質光起電力電池もまた、フレキシブル基板6の上に製造することができる。実際、本発明の方法及び装置は、基板上に種々の単分子層を堆積する必要があるどんな応用分野でも使用することができる。
大気圧での材料の段階的堆積により、達成可能な全体堆積速度は、低圧条件におけるよりもずっと速い。本発明を10〜20nmにすぎない膜厚で用いて、非常に高品質のバリア膜(10−5〜10−6g/m/日の水蒸気透過率(WVTR))を得ることができる。このように厚さが薄いことはまた、機械的応力に対する耐性の改善も示唆する。
(例1)
OLEDデバイスを設けられたシート(基板6)を図8に示した実験設備に装着した。設備全体を、純窒素ガスでパージされるグローブボックス(Mbraun Labmaster 130型)の中に入れた。ドラムの回転速度は15m/分に設定し、その回転数は100サイクルに設定した。
ステップA:短い「直」プラズマステップ(即ち基板をプラズマの電極に通して移動させる)を実施して均一なNH終端表面層を形成する。
ステップB:SiHCl前駆体及び窒素ガスを基板6の表面に供給する。大気圧により、SiHClはアミン(NH)基と非常に速く反応している。典型的なSiHClの濃度は200mg/時である。次に、窒素を使用してパージステップを実施する。
ステップC:ギャップをフラッシングし豊富な前駆体を除去した後、反応剤として不活性窒素中1%の濃度のアンモニアを挿入する。続いて、直大気圧(グロー)放電プラズマを点火して(単一のパルス列で、又は短い連続したパルス列で)、表面基板6を再び均一なNH終端表面層に変換する。これを下表にサイクル時間が2秒の例で示す。
Figure 0005663305

slm=標準リットル毎分
処理時間は、ドラムの回転速度と、プロセスA、B、C及びDの実効長とにより概算した。線速度が一定であるので、異なるサブプロセスの処理時間は、作業の長さを延長又は短縮して調整することができる。誘電体バリア放電形状は、150kHzの周波数、及びDBD電極と基板6の間の1mmのギャップ幅を用いて適用する。用いられる総プラズマ処理時間は100msである。
このニトロ化ステップの後、放電容積を不活性ガスでフラッシングし(図2参照)、このサイクルを繰り返す。プラズマ支援ALDプロセスに最終バリア厚さをさらした後、OLEDデバイスをエージング試験後に試験した。OLEDデバイスの顕著な劣化が、40℃及び相対湿度90%での30時間のエージング後に観察された。多くのダークスポットがデバイスに存在する。
(例2)
OLEDデバイスを含むシートを再び用意し、これを図8に示す実験設備に装着した。この設備では、直大気圧プラズマユニットが、図5aに示すタイプの電極構成を用いる遠隔プラズマ発生器で置き換えられる。
ステップA:短い遠隔プラズマステップを実施して均一なNH終端表面層を形成する。
ステップB:SiHClの前駆体、及び窒素ガスを表面に供給する。大気圧により、SiHClはアミン(NH)基と非常に速く反応している。典型的なSiHClの濃度は200mg/時である。次に、窒素を使用してパージステップを実施する。
ステップC:ギャップをフラッシングし豊富な前駆体を除去した後、窒素中1%の濃度のアンモニアを挿入する。続いて、遠隔大気圧放電プラズマを点火して、表面基板6を再び均一なNH終端表面層に変換する。これを下表にサイクル時間が2秒の例で示す。
Figure 0005663305

slm=標準リットル毎分
誘電体バリア放電形状は、150kHzの周波数、及びDBD電極と基板6の間の1mmの間隔を用いて適用する。
基板にSiを同じ厚さで堆積した(サイクル数は同じ)。同じエージング処理手続きを実施してOLEDを40℃及び相対湿度90%にさらした。100時間後にいくつかのピンホールを観察することができた。
(例3)
別の実施形態では、図5aに示されるタイプの電極構成を用いる遠隔プラズマ発生器が図5bのタイプで置き換えられる。
ステップA:短いプラズマステップを実施して均一なNH終端表面層を形成する。
ステップB:SiHClの前駆体及び窒素ガスを表面に供給する。大気圧により、SiHClはアミン(NH)基と非常に速く反応している。典型的なSiHClの濃度は200mg/時である。
ステップC:ギャップをフラッシングし豊富な前駆体を除去した後、窒素中1%の濃度のアンモニアを挿入する。続いて、直(安定化)大気圧放電プラズマを点火して、表面基板6を再び均一なNH終端表面層に変換する。これを下表にサイクル時間が2秒の例で示す。
Figure 0005663305

slm=標準リットル毎分
この実施形態でのプラズマの条件は、誘電体バリア放電形状と、150kHzの周波数と、DBD電極と基板6の間の1mmの間隔とを使用することであった。
基板にSiを同じ厚さで堆積した(サイクル数は同じ)。同じエージング処理手続きを実施してOLEDを40℃及び相対湿度90%にさらした。200時間後にピンホールを観察することができなかった。
一般に、この前駆体/ガス方式を用いて0.5ML/サイクルを堆積する。堆積したバリア膜の総厚は一般に、ちょうど100サイクルを用いるALDプロセスで95±2nmである。
Figure 0005663305

Claims (13)

  1. 基板(6)の表面に原子層を堆積する方法であって、反応表面部位(sites)を形成することによって原子層堆積用の該表面をコンディショニングするステップと、反応表面部位が前駆体材料分子と反応できるように該表面に前駆体材料を供給して、該反応部位を介して該基板の該表面に付着した前駆体分子の単分子層で覆われた表面を得るステップと、その後で該基板から離れた大気圧グロー放電プラズマ内に生成されたガス混合物を用意し、その後で該ガス混合物を前駆体分子で覆われた該表面に加えるステップとを含み、該ガス混合物が、付着した該前駆体分子を活性前駆体部位に変換できる反応剤を含み、かつ該前駆体材料が第1処理空間(1)の中で該表面に供給され、該表面が第2処理空間(2)の中で該ガス混合物にさらされ、第1処理空間(1)と第2処理空間(2)とが異なる、上記方法。
  2. 前記基板(6)がフレキシブル基板である、請求項1に記載の方法。
  3. 前記基板(6)が、その近辺の電界に影響を及ぼす材料を含む、請求項1又は2に記載の方法。
  4. 前記前駆体材料が第1処理空間の中で前記表面に供給され、前記表面が第1処理空間の中にさらされる、請求項1から3のいずれか一項に記載の方法。
  5. 前記前駆体材料が、不活性ガスとのガス混合物中でパルス的に供給され、前記反応剤が、不活性ガス又は不活性ガス混合物とのガス混合物中でパルス的に導入され、
    前駆体材料の各パルス的供給、及び該反応剤のパルス的導入の後に、不活性ガス又は不活性ガス混合物を使用して過剰な材料及び反応生成物を除去するステップをさらに含む、請求項4に記載の方法。
  6. 前記前駆体材料が、不活性ガス又は不活性ガス混合物とのガス混合物中でパルス的に供給され、前記反応剤が、不活性ガス又は不活性ガス混合物とのガス混合物中で連続的に導入され、
    該前駆体材料のパルス的供給の後で、且つ大気圧グロー放電プラズマの印加中に、不活性ガス又は不活性ガス混合物を使用して過剰な材料及び反応生成物を除去するステップをさらに含む、請求項4に記載の方法。
  7. 前記前駆体材料が、前記基板の表面近くの第1層だけに連続的に供給され、前記反応剤がガス混合物中で、不活性ガス又は不活性ガス混合物とともに前記第1層の上の第2層に連続的に導入される、請求項4に記載の方法。
  8. 処理空間(1、2;5;47)の中で基板(6)の表面に原子層を堆積する装置であって、
    該処理空間(1、2;5;47)に種々のガス混合物を供給するガス供給デバイス(15、16)を含み、該ガス供給デバイス(15、16)が、反応表面部位が前駆体材料分子と反応して、該反応部位を介して該基板(6)の表面に付着した前駆体分子の単分子層で覆われた表面を得ることができるように、前駆体材料を含むガス混合物を該処理空間(1、2;5;47)に供給し、その後で、該付着した前駆体分子を活性前駆体部位に変換できる反応剤を含むガス混合物を供給するように構成され、
    さらに、該反応剤を含む該ガス混合物中に大気圧グロー放電プラズマを発生する大気圧グロー放電プラズマ発生器(10)を含み、該大気圧グロー放電プラズマ発生器(10)が該処理空間(1、2;5;47)から離れて配置され、前駆体材料を含むガス混合物に該基板(6)がさらされる第1の処理空間(1、47)と、第2の処理空間(2、47)から離れたプラズマ発生器内で生成され前記反応剤を含むガス混合物に該基板がさらされる第2処理空間(2、47)と、該基板(6)を該第1と第2の処理空間(1、2、47)の間で連続的に移動させる搬送デバイス(20)とをさらに含む、上記装置。
  9. 動作時に前記基板(6)が配置される第1処理空間(1)をさらに含み、前記ガス供給デバイス(15、16)がさらに、請求項5から7に記載の方法のいずれか1つを実施するように構成される、請求項8に記載の装置。
  10. 前記ガス供給デバイス(15、16)が、請求項8に記載の方法を実施するように構成される、請求項8に記載の装置。
  11. 複数の第1及び第2の処理空間(1、2;47)が環状又は直線状の配列で順次後に1つずつ配置される、請求項8又は10に記載の装置。
  12. 前記ガス供給デバイス(15、16)が弁デバイス(17、18)を備え、該ガス供給デバイス(15、16)が、該弁デバイス(17、18)を制御して種々のガス混合物を連続的又はパルス的に供給し、また過剰な材料及び反応生成物を不活性ガス又は不活性ガス混合物を使用して除去するように構成される、請求項8から11までのいずれか一項に記載の装置。
  13. 前記ガス供給デバイス(15、16)が、前記基板(6)の前記表面近くに配置された注入弁を有する注入チャネル(channel)を含み、該ガス供給デバイス(15、16)が、導入チャネルを用いて該基板(6)の表面近くの第1層内にだけ、該弁デバイス及び該注入弁を制御して前記前駆体材料を連続的に供給し、またガス混合物中の前記反応剤を不活性ガス又は不活性ガス混合物とともに前記第1層の上の第2層に連続的に導入するように構成される、請求項12に記載の装置。
JP2010523971A 2007-09-07 2008-08-20 大気圧グロー放電プラズマを用いる原子層堆積の方法及び装置 Active JP5663305B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
EP07115963 2007-09-07
EP07115963.6 2007-09-07
PCT/NL2008/050557 WO2009031886A2 (en) 2007-09-07 2008-08-20 Method and apparatus for atomic layer deposition using an atmospheric pressure glow discharge plasma

Publications (2)

Publication Number Publication Date
JP2010538165A JP2010538165A (ja) 2010-12-09
JP5663305B2 true JP5663305B2 (ja) 2015-02-04

Family

ID=39016273

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2010523971A Active JP5663305B2 (ja) 2007-09-07 2008-08-20 大気圧グロー放電プラズマを用いる原子層堆積の方法及び装置

Country Status (4)

Country Link
US (1) US20100255625A1 (ja)
EP (1) EP2188413B1 (ja)
JP (1) JP5663305B2 (ja)
WO (1) WO2009031886A2 (ja)

Families Citing this family (354)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100037824A1 (en) * 2008-08-13 2010-02-18 Synos Technology, Inc. Plasma Reactor Having Injector
EP2159304A1 (en) * 2008-08-27 2010-03-03 Nederlandse Organisatie voor toegepast- natuurwetenschappelijk onderzoek TNO Apparatus and method for atomic layer deposition
US8851012B2 (en) 2008-09-17 2014-10-07 Veeco Ald Inc. Vapor deposition reactor using plasma and method for forming thin film using the same
US8770142B2 (en) 2008-09-17 2014-07-08 Veeco Ald Inc. Electrode for generating plasma and plasma generator
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8871628B2 (en) * 2009-01-21 2014-10-28 Veeco Ald Inc. Electrode structure, device comprising the same and method for forming electrode structure
WO2010095901A2 (en) * 2009-02-23 2010-08-26 Synos Technology, Inc. Method for forming thin film using radicals generated by plasma
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8758512B2 (en) 2009-06-08 2014-06-24 Veeco Ald Inc. Vapor deposition reactor and method for forming thin film
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5653018B2 (ja) * 2009-09-24 2015-01-14 東京エレクトロン株式会社 酸化マンガン膜の成膜方法
JP5689653B2 (ja) 2009-12-03 2015-03-25 富士フイルム株式会社 電荷輸送膜、その製造方法及びこれを用いた発光素子並びに光電変換素子
JP5621258B2 (ja) * 2009-12-28 2014-11-12 ソニー株式会社 成膜装置および成膜方法
GB201012225D0 (en) 2010-07-21 2010-09-08 Fujifilm Mfg Europe Bv Method for manufacturing a barrier layer on a substrate and a multi-layer stack
GB201012226D0 (en) 2010-07-21 2010-09-08 Fujifilm Mfg Europe Bv Method for manufacturing a barrier on a sheet and a sheet for PV modules
FI20105903A0 (fi) 2010-08-30 2010-08-30 Beneq Oy Laite
US8771791B2 (en) 2010-10-18 2014-07-08 Veeco Ald Inc. Deposition of layer using depositing apparatus with reciprocating susceptor
US9329497B2 (en) 2011-02-01 2016-05-03 Asml Netherlands B.V. Substrate table, lithographic apparatus and device manufacturing method
US8877300B2 (en) * 2011-02-16 2014-11-04 Veeco Ald Inc. Atomic layer deposition using radicals of gas mixture
US9163310B2 (en) * 2011-02-18 2015-10-20 Veeco Ald Inc. Enhanced deposition of layer on substrate using radicals
DE102011076806A1 (de) 2011-05-31 2012-12-06 Leibniz-Institut für Plasmaforschung und Technologie e.V. Vorrichtung und Verfahren zur Erzeugung eines kalten, homogenen Plasmas unter Atmosphärendruckbedingungen
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US8617350B2 (en) * 2011-06-15 2013-12-31 Belight Technology Corporation, Limited Linear plasma system
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
EP2732071B1 (en) * 2011-07-11 2020-06-03 Lotus Applied Technology, LLC Mixed metal oxide barrier films and atomic layer deposition method for making mixed metal oxide barrier films
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
GB201117242D0 (en) 2011-10-06 2011-11-16 Fujifilm Mfg Europe Bv Method and device for manufacturing a barrier layer on a flexible subtrate
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
WO2013142344A1 (en) * 2012-03-20 2013-09-26 North Carolina State University Methods and apparatus for atmospheric pressure atomic layer deposition
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
KR20150023016A (ko) * 2012-06-15 2015-03-04 피코순 오와이 원자층 퇴적에 의한 기판 웹 코팅
US8784950B2 (en) * 2012-07-16 2014-07-22 Asm Ip Holding B.V. Method for forming aluminum oxide film using Al compound containing alkyl group and alkoxy or alkylamine group
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
WO2014078497A1 (en) * 2012-11-16 2014-05-22 Liquipel Ip Llc Apparatus and methods for plasma enhanced chemical vapor deposition of dielectric/polymer coatings
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US9376455B2 (en) 2013-11-27 2016-06-28 Veeco Ald Inc. Molecular layer deposition using reduction process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
JP6559430B2 (ja) * 2015-01-30 2019-08-14 東京エレクトロン株式会社 被処理体を処理する方法
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
JP6462477B2 (ja) 2015-04-27 2019-01-30 東京エレクトロン株式会社 被処理体を処理する方法
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US20210348274A1 (en) * 2018-10-02 2021-11-11 Evatec Ag Plasma enhanced atomic layer deposition (peald) apparatus
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
EP3914750A4 (en) * 2019-01-25 2023-02-15 Applied Materials, Inc. METHOD FOR FORMING MOISTURE AND OXYGEN BARRIER COATINGS
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP7175224B2 (ja) * 2019-03-13 2022-11-18 東京エレクトロン株式会社 基板処理方法及び基板処理装置
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3001A (en) * 1843-03-10 Method of coupling straps as a substitute for a buckle
US5008A (en) * 1847-03-13 Machinery for cleaning
IL106922A (en) * 1992-09-14 1998-08-16 Novartis Ag Complex materials with one or more wettable surfaces and a process for their preparation
US6027890A (en) * 1996-01-23 2000-02-22 Rapigene, Inc. Methods and compositions for enhancing sensitivity in the analysis of biological-based assays
US6342277B1 (en) * 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US6897119B1 (en) * 1999-12-22 2005-05-24 Genus, Inc. Apparatus and method to achieve continuous interface and ultrathin film during atomic layer deposition
DE60140179D1 (de) * 2000-03-13 2009-11-26 Tadahiro Ohmi Verfahren zur herstellung eines flash-speicherbausteins
US20010052752A1 (en) * 2000-04-25 2001-12-20 Ghosh Amalkumar P. Thin film encapsulation of organic light emitting diode devices
US6664186B1 (en) * 2000-09-29 2003-12-16 International Business Machines Corporation Method of film deposition, and fabrication of structures
US6464779B1 (en) * 2001-01-19 2002-10-15 Novellus Systems, Inc. Copper atomic layer chemical vapor desposition
US6861334B2 (en) * 2001-06-21 2005-03-01 Asm International, N.V. Method of fabricating trench isolation structures for integrated circuits using atomic layer deposition
DE10130936B4 (de) * 2001-06-27 2004-04-29 Infineon Technologies Ag Herstellungsverfahren für ein Halbleiterbauelement mittels Atomschichtabscheidung/ALD
JP4492783B2 (ja) * 2001-09-12 2010-06-30 日本電気株式会社 半導体装置及びその製造方法
KR20040045007A (ko) * 2001-09-26 2004-05-31 어플라이드 머티어리얼스, 인코포레이티드 배리어층 및 시드층 통합
US7057540B2 (en) * 2001-10-26 2006-06-06 Texas Instruments Incorporated Sigma-delta (ΣΔ) analog-to-digital converter (ADC) structure incorporating a direct sampling mixer
DE10161469A1 (de) * 2001-12-13 2003-07-03 Schott Glas Volumenoptimierter Reaktor zur beidseitig gleichzeitigen Beschichtung von Brillengläsern
US6774569B2 (en) * 2002-07-11 2004-08-10 Fuji Photo Film B.V. Apparatus for producing and sustaining a glow discharge plasma under atmospheric conditions
US7109070B2 (en) * 2002-08-07 2006-09-19 Schot Glas Production of a composite material having a biodegradable plastic substrate and at least one coating
US20050084610A1 (en) * 2002-08-13 2005-04-21 Selitser Simon I. Atmospheric pressure molecular layer CVD
WO2004020008A1 (en) * 2002-08-26 2004-03-11 Basf Aktiengesellschaft Superabsorbent polymer particles
EP1403902A1 (en) * 2002-09-30 2004-03-31 Fuji Photo Film B.V. Method and arrangement for generating an atmospheric pressure glow discharge plasma (APG)
KR100538806B1 (ko) * 2003-02-21 2005-12-26 주식회사 하이닉스반도체 에피택셜 c49상의 티타늄실리사이드막을 갖는 반도체소자 및 그 제조 방법
US7450665B2 (en) * 2003-12-09 2008-11-11 Freescale Semiconductor, Inc. Method and apparatus to implement DC offset correction in a sigma delta converter
US20050172897A1 (en) * 2004-02-09 2005-08-11 Frank Jansen Barrier layer process and arrangement
DE102004009611B4 (de) * 2004-02-27 2010-01-14 Infineon Technologies Ag Zeitkontinuierlicher Sigma-Delta-Analog-Digital-Wandler
US7068198B2 (en) * 2004-05-28 2006-06-27 Freescale Semiconductor, Inc. Double-sampled integrator system and method thereof
US7316756B2 (en) * 2004-07-27 2008-01-08 Eastman Kodak Company Desiccant for top-emitting OLED
US20060231908A1 (en) * 2005-04-13 2006-10-19 Xerox Corporation Multilayer gate dielectric
EP2032738A1 (en) * 2006-06-16 2009-03-11 Fuji Film Manufacturing Europe B.V. Method and apparatus for atomic layer deposition using an atmospheric pressure glow discharge plasma
US7663312B2 (en) * 2006-07-24 2010-02-16 Munisamy Anandan Flexible OLED light source

Also Published As

Publication number Publication date
WO2009031886A2 (en) 2009-03-12
EP2188413B1 (en) 2018-07-11
WO2009031886A3 (en) 2009-06-04
JP2010538165A (ja) 2010-12-09
US20100255625A1 (en) 2010-10-07
EP2188413A2 (en) 2010-05-26

Similar Documents

Publication Publication Date Title
JP5663305B2 (ja) 大気圧グロー放電プラズマを用いる原子層堆積の方法及び装置
JP5543203B2 (ja) 大気圧グロー放電プラズマを使用した原子層堆積の方法及び装置
TWI759365B (zh) 將薄膜及氧化金屬薄膜沉積於基板表面上之方法
US9163310B2 (en) Enhanced deposition of layer on substrate using radicals
TWI459467B (zh) 用於無機材料之選擇區域沈積之有機矽氧材料
TWI686496B (zh) 沉積無氟/碳保形鎢之方法
KR101379015B1 (ko) 플라즈마 원자층 증착법을 이용한 루테늄 막 증착 방법 및고밀도 루테늄 층
KR20180073483A (ko) 기판 상의 구조물 형성 방법
US20130337172A1 (en) Reactor in deposition device with multi-staged purging structure
US20100227476A1 (en) Atomic layer deposition processes
KR101099191B1 (ko) 기상 증착 반응기 및 이를 이용한 박막 형성 방법
TWI628716B (zh) 循環沈積製程
US20120100308A1 (en) Ternary metal alloys with tunable stoichiometries
KR20150020528A (ko) 세장형 노즐을 갖는 cvd 및 ald를 위한 장치 및 사용 방법들
WO2021127467A1 (en) Group v element-containing film forming compositions and vapor deposition of group v element-containing film
KR101076172B1 (ko) 기상 증착 반응기
US20230139917A1 (en) Selective deposition using thermal and plasma-enhanced process
WO2018187781A2 (en) Metal precursors with modified diazabutadiene ligands for cvd and ald applications and methods of use
CN110892507B (zh) 沉积含钇膜的方法及设备

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20110802

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130412

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130711

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20140502

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20140902

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20140909

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20141111

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20141208

R150 Certificate of patent or registration of utility model

Ref document number: 5663305

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250