KR20180010323A - 균일하고 컨포멀한 하이브리드 티타늄 산화물 필름들을 위한 증착 방법들 - Google Patents

균일하고 컨포멀한 하이브리드 티타늄 산화물 필름들을 위한 증착 방법들 Download PDF

Info

Publication number
KR20180010323A
KR20180010323A KR1020187001591A KR20187001591A KR20180010323A KR 20180010323 A KR20180010323 A KR 20180010323A KR 1020187001591 A KR1020187001591 A KR 1020187001591A KR 20187001591 A KR20187001591 A KR 20187001591A KR 20180010323 A KR20180010323 A KR 20180010323A
Authority
KR
South Korea
Prior art keywords
film
tio
layer
titanium
oxygen
Prior art date
Application number
KR1020187001591A
Other languages
English (en)
Other versions
KR102489044B1 (ko
Inventor
치엔-테 카오
벤자민 슈미엣지
수송 루
주노 유-팅 후앙
유 레이
융-신 리
스리니바스 간디코타
라즈쿠마르 작카라주
치쿠앙 찰스 왕
가잘 사헬리
벤자민 씨. 왕
신리앙 루
핑얀 레이
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20180010323A publication Critical patent/KR20180010323A/ko
Application granted granted Critical
Publication of KR102489044B1 publication Critical patent/KR102489044B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02186Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing titanium, e.g. TiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

원자 층 증착에 의해 티타늄 산화물 필름들을 증착하기 위한 방법들이 개시된다. 티타늄 산화물 필름들은, 티타늄 질화물 캡, 산소 풍부 티타늄 질화물 캡 또는 혼합된 산화물 질화물 층을 포함할 수 있다. 또한, 티타늄 산화물 스페이서 필름들을 포함하는 자기-정렬 이중 패터닝을 위한 방법들이 설명된다.

Description

균일하고 컨포멀한 하이브리드 티타늄 산화물 필름들을 위한 증착 방법들
[0001] 본 개시내용의 실시예들은 일반적으로, 기판들을 프로세싱하기 위한 장치에 관한 것이다. 더 상세하게는, 본 개시내용은, 자기-정렬 이중 패터닝(self-aligned double patterning) 프로세싱 및 이를 수행하기 위한 배치 프로세싱 플랫폼에 관한 것이다.
[0002] 반도체 디바이스들의 사이즈가 축소됨에 따라, 프로세스 변동성(process variability)에 대한 반도체 업계의 허용오차(tolerance)는 계속해서 감소하고 있다. 이러한 더 엄격한(tighter) 프로세스 요건들을 충족시키기 위해, 업계에서는 더 엄격한 프로세스 윈도우(window) 요건들을 충족시키는 다수의 새로운 프로세스들을 개발하였지만, 이러한 프로세스들은 종종, 완료하는 데 더 긴 시간이 소요된다. 예컨대, 높은 종횡비의, 65 nm 또는 그 보다 더 작은 상호연결 피쳐(interconnect feature)의 표면 상에 구리 확산 배리어 층을 컨포멀하게(conformally) 형성하기 위해서는, ALD 프로세스를 사용하는 것이 유익할 수 있다. ALD는, CVD에 비해 우수한 스텝 커버리지(step coverage)를 나타내는, CVD의 변형이다. ALD는, 본래 전자발광(electroluminescent) 디스플레이들을 제조하는 데 이용되었던 ALE(atomic layer epitaxy)에 기반한다. ALD는, 기판 표면 상에 반응성 전구체(precursor) 분자들의 포화된 단분자층(monolayer)을 증착하기 위해 화학흡착(chemisorption)을 이용한다. 이는, 증착 챔버 내로 적절한 반응성 전구체들을 펄싱(pulse)하는 것을 주기적으로 교번시킴으로써 달성된다. 반응성 전구체의 각각의 주입(injection)은 통상적으로, 기판의 표면 상에 균일한 재료 층을 형성하기 위해, 이전에 증착된 층들에 새로운 원자 층을 제공하도록 비활성 가스 퍼지(inert gas purge)에 의해 분리된다. 재료 층을 미리결정된 두께로 형성하기 위해, 반응성 전구체 및 비활성 퍼지 가스들의 사이클(cycle)들이 반복된다.
[0003] 티타늄 필름들, 및 기판 상에 티타늄 필름들을 증착하는 방법들에 대한 당업계의 계속되는 요구가 존재한다.
[0004] 본 개시내용의 일부 실시예들은, TiO 필름을 형성하기 위한 방법들에 관한 것이다. 기판 표면은, TiO 필름의 미리결정된 두께의 약 80 % 내지 약 99 %의 범위의 두께를 갖는 초기 TiO 필름을 형성하기 위해, 제1 티타늄-함유 전구체 및 산소-함유 반응물에 순차적으로 노출된다. 초기 TiO 필름은, 미리결정된 두께를 갖는 TiN 캡핑 TiO 필름을 형성하기 위해, 제2 티타늄-함유 전구체 및 질소-함유 반응물에 순차적으로 노출된다. 하나 또는 그 초과의 실시예들에서, 방법은, TiN 캡핑 TiO 필름의 최상부를 산소-풍부(oxygen-rich) 필름으로 패시베이팅(passivate) 또는 변환하기 위해, TiN 캡핑 TiO 필름을 산소-함유 반응물로 소킹(soak)하는 단계를 포함한다.
[0005] 본 개시내용의 부가적인 실시예들은, TiO 필름을 형성하는 방법들에 관한 것이다. 기판 표면은, 미리결정된 두께를 갖는 질소-도핑 TiO 필름을 형성하기 위해, 제1 티타늄-함유 전구체, 및 1:1 초과의 O:N 비를 갖는 산소-함유 반응물과 질소-함유 반응물의 혼합물에 순차적으로 노출된다.
[0006] 본 개시내용의 추가적인 실시예들은, 실질적으로 비결정질인 모폴로지(morphology), 약 2.0 초과의 굴절률, 약 5 Å 미만의 AFM에 의한 평균 표면 거칠기(surface roughness)(Ra), 약 300 MPa와 같거나 그 미만의 응력, 및 희석된(dilute) HF에서 약 15 Å/min과 같거나 그 미만의 습식 에칭률을 갖는 티타늄 산화물 필름들에 관한 것이다.
[0007] 본 개시내용의 부가적인 실시예들은, 프로세싱 방법들에 관한 것이다. 유전체를 포함하는 제1 층 및 제1 층 상의 패터닝된(patterned) 층을 갖는 기판이 제공된다. 제1 층의 부분들은 패터닝된 층을 통해 노출된다. 패터닝된 층은, 최상부 표면 및 폭을 정의하는 2개의 수직 면들을 갖는 적어도 하나의 피쳐를 포함한다. 수직 면들은 실질적으로 제1 층에 수직이다. TiO 스페이서 층은, 패터닝된 층을 통해 노출된 제1 층의 부분들, 적어도 하나의 피쳐의 최상부 표면 및 두 수직 면들 모두 상에 스페이서 층이 필름을 형성하도록, 제1 층 및 패터닝된 층 위에 증착된다. TiO 스페이서 층은, TiO 필름을 형성하기 위해, 미리결정된 두께의 약 80 % 내지 약 99 %의 범위의 두께로, 기판을 제1 티타늄-함유 전구체 및 산소-함유 반응물에 순차적으로 노출시킴으로써 증착된다. TiO 필름은, 미리결정된 두께를 갖는 TiN 캡핑 TiO 필름을 형성하기 위해, 제2 티타늄-함유 전구체 및 질소-함유 반응물에 순차적으로 노출된다. 선택적으로, TiN 캡핑 TiO 필름의 최상부를 산소-풍부 필름으로 패시베이팅 또는 변환하기 위해, TiN 캡핑 TiO 필름이 산소-함유 반응물로 소킹된다. 적어도 하나의 피쳐의 최상부 표면, 및 패터닝된 층을 통해 노출된 제1 층의 부분들로부터, 스페이서 층이 에칭된다.
[0008] 본 개시내용의 상기 인용된 특징들이 상세하게 이해될 수 있는 방식으로, 위에서 간략하게 요약된 본 개시내용의 보다 구체적인 설명이 실시예들을 참조하여 이루어질 수 있으며, 이러한 실시예들 중 일부가 첨부된 도면들에 예시되어 있다. 첨부된 도면들은 본 개시내용의 단지 통상적인 실시예들을 예시하는 것이므로 제한적인 것으로 간주되지 않아야 하는데, 이는 본 개시내용이 다른 균등하게 유효한 실시예들을 허용할 수 있기 때문이다.
[0009] 도 1a-1f는 본 개시내용의 하나 또는 그 초과의 실시예에 따른 자기-정렬 이중 패터닝 프로세스의 예시를 도시한다.
[0010] 본 개시내용의 실시예들은, 반도체 디바이스 제조에 유용한 특성들을 갖는 필름들을 제공하기 위해 프로세스 조건들 하에서 하이브리드 티타늄 산화물 필름들을 성장시키기 위한, ALD(atomic layer deposition) 기법들을 이용한 증착 방법들을 제공한다. 일부 실시예들의 프로세스 조건들은 전체 프로세스 시퀀스에 대해 낮은 열 버짓(thermal budget)을 사용하며; 필름을 생성하기 위한 일부 실시예들의 프로세스 온도는 400 ℃ 미만이다. 결과적인 필름은, 기판에 걸쳐 고도로 균일하고 디바이스 모폴로지에 대해 컨포멀할 수 있다. 일부 실시예들에서, 필름 특성들은, (i) 고유한 TiO2 인덱스(index)(n ~ 2.5)에 가까운 높은 굴절률, (ii) 평탄한(smooth) 필름 표면, (iii) 중성(neutral) 근처의 낮은 응력, 및 (iv) SiO2에 대한 높은 에칭 선택도를 위한 희석된 HF에 의한 낮은 습식 에칭률을 포함한다.
[0011] 일부 실시예들에서, 증착 온도는, 비결정질(amorphous) 상으로부터 TiO2 결정화 온도(~ 300 ℃) 및 프로세스 전구체들의 분해 온도들 미만 또는 그 근처로 유지된다. 본 개시내용의 일부 실시예들은, TiN 캡핑 TiO2 필름들을 형성한다. 원하는 필름 두께의 80 %를 초과하도록 TiO2 필름을 성장시키기 위한, Ti-함유 전구체 및 O-함유 반응물의 교번하는 노출 단계들(노출 단계들은, 상이한 반응물들의 노출 단계들 중간의 퍼지 단계로 분리됨)의 사이클들로 이루어지는 ALD 프로세스 이후에, 원하는 필름 두께의 20 % 미만이도록 TiN의 최상부 층을 성장시키기 위한, Ti-함유 전구체 및 N-함유 반응물의 교번하는 노출 단계들(노출 단계들은, 상이한 반응물들의 노출 단계들 중간의 퍼지 단계로 분리됨)의 사이클들로 이루어지는 ALD 프로세스가 후속된다.
[0012] 본 개시내용의 부가적인 실시예들은, 산소-풍부 TiN 캡핑 TiO2 필름들을 형성한다. 원하는 필름 두께의 80 %를 초과하도록 TiO2 필름을 성장시키기 위한, Ti-함유 전구체 및 O-함유 반응물의 교번하는 노출 단계들(노출 단계들은, 상이한 반응물들의 노출 단계들 중간의 퍼지 단계로 분리됨)의 사이클들로 이루어지는 ALD 프로세스 이후에, 원하는 필름 두께의 20 % 미만이도록 TiN의 최상부 층을 성장시키기 위한, Ti-함유 전구체 및 N-함유 반응물의 교번하는 노출 단계들(노출 단계들은, 상이한 반응물들의 노출 단계들 중간의 퍼지 단계로 분리됨)의 사이클들로 이루어지는 ALD 프로세스가 후속된다. 이에 후속하여, O가 풍부해지도록 최상부 TiN 층을 패시베이팅 또는 변환하기 위해, 완료된 필름을 O-함유 반응물로 소킹한다.
[0013] 추가적인 실시예들은 N-도핑 TiO2 필름들을 형성한다. Ti-함유 전구체, 및 (다수인) O-함유 반응물과 (소수인) N-함유 반응물의 혼합물의 교번하는 노출 단계들(노출 단계들은, 상이한 반응물들의 노출 단계들 중간의 퍼지 단계로 분리됨)의 사이클들로 이루어지는 ALD 프로세스가, N-도핑 TiO2 필름을 전체 필름 두께로 성장시킨다. 필름의 N-함량은, 혼합물 내의 O-함유 반응물과 N-함유 반응 물질 간의 비에 의해 제어된다.
[0014] 일부 실시예들에서, 250 ℃ 미만의 프로세스 온도는 비결정질 필름 및 그에 따른 평탄한 필름 표면을 산출한다. 필름 밀도가 높을수록 낮은 습식 에칭률이 초래된다. 벌크(bulk) 결정질 구조에서, TiN의 밀도(5.22 gram/cm3)는, 가장 일반적인 TiO2 형태들인, 예추석(anatase)의 밀도(3.78 gram/cm3) 및 금홍석(rutile)의 밀도(4.23 gram/cm3)보다 현저하게 높다. 더 높은 온도(예컨대, 300 ℃ 초과)에서 비결정질 필름을 어닐링(annealing)하는 것은 필름 밀도를 증가시킬 수 있고, 이는, 원하는 바에 따른, 희석된 HF에 의한 더 낮은 습식 에칭률로 이어져서, 필름 표면이 또한 더 거칠어질 수 있고 그리고 필름 응력이 더 높아질 수 가 있으며, 이들 둘 모두는 유용하지 않을 수 있다. 일부 실시예들에서, 필름의 N 함량이 더 높을수록 습식 에칭률이 낮아진다.
[0015] 본 개시내용의 하나 또는 그 초과의 실시예들에서, 하이브리드 TiO2 필름 증착을 위한 방법은, (1) 실제 ALD 프로세스가 정확하게 제어된 두께를 갖는 고도로 균일하고 컨포멀한 필름들을 생성하는 것을 보장하는, 전구체 분해 온도 근처 또는 그 미만의 낮은 프로세스 온도; (2) TiO2 결정질 온도 미만의 ALD 프로세스 온도(300 ℃ 미만)가 낮은 응력 및 평탄한 표면 모폴로지를 갖는 비결정질 필름들을 초래할 수 있다는 것; (3) 전체 필름 또는 하이브리드 TiO2 필름의 최상부 층 중 어느 하나에서 N 함량을 조절하여, 결과적인 TiO2 필름의 습식 에칭률이, SiO2에 대한 충분한 에칭 선택도를 제공하도록 원하는 레벨로 감소될 수 있다는 것; 및/또는 (4) 하이브리드 필름의 주된 컴포넌트로서 TiO2를 이용하여, TiO2 필름의 굴절률(n>2 또는 그보다 높음)에 가까운 높은 굴절률이 달성될 수 있다는 것을 나타낸다.
[0016] 본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이,"기판" 및 "웨이퍼"라는 용어는 상호교환가능하게 사용되고, 이들 둘 모두는, 프로세스가 작용하는, 표면, 또는 표면의 부분을 지칭한다. 당업자들은, 기판에 대한 언급이 또한, 문맥상 명확히 다르게 표시하지 않는 한, 기판의 부분만을 언급할 수 있다는 것을 이해할 것이다. 부가적으로, 기판 상의 증착에 대한 언급은, 하나 또는 그 초과의 필름들 또는 피쳐들이 상부에 증착 또는 형성된 기판, 및 베어(bare) 기판 둘 모두를 의미할 수 있다.
[0017] 본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, "반응성 가스", "전구체", "반응물" 등과 같은 용어들은, 원자 층 증착 프로세스에서 반응적인 종(species)을 포함하는 가스를 의미하도록 상호교환가능하게 사용된다. 예컨대, 제1 "반응성 가스"는 단순히, 기판의 표면 상에 흡착될 수 있고, 제2 반응성 가스와의 추가적인 화학 반응에 이용가능할 수 있다.
[0018] 본 개시내용의 실시예들에 대해 사용하기 위한 기판들은 임의의 적합한 기판일 수 있다. 일부 실시예들에서, 기판은, 강성(rigid)이고 불연속적(discrete)이며 일반적으로 평면형 기판이다. 본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, 기판에 대해 언급하는 경우에, "불연속적인"이라는 용어는, 기판이 고정된 치수를 갖는 것을 의미한다. 하나 또는 그 초과의 실시예들의 기판은, 200 mm 또는 300 mm 직경의 실리콘 기판과 같은 반도체 기판이다. 일부 실시예들에서, 기판은, 실리콘, 실리콘 게르마늄, 갈륨 비소, 갈륨 질화물, 게르마늄, 갈륨 인화물, 인듐 인화물, 사파이어 또는 실리콘 탄화물 중 하나 또는 그 초과이다.
[0019] 본 개시내용의 하나 또는 그 초과의 실시예들은, 티타늄 산화물 필름을 형성하기 위한 방법들에 관한 것이다. "티타늄 산화물", "TiO", "티타늄 이산화물", "TiO2", "티타늄 질화물", "TiN" 등의 용어들은, 티타늄 및 산소 또는 질소를 갖는 재료를 설명하기 위해 사용되며, 화학량적인 양들을 의미하지 않는다. 기판 표면은, 초기 TiO 필름을 형성하기 위해 제1 티타늄-함유 전구체 및 산소-함유 반응물에 순차적으로 노출된다. 순차적 노출은, 기판 표면이, 원자 층 증착 프로세스를 유지하기 위한 기상(gas phase) 반응들 없이, 한 번에, 명명된 컴포넌트들 중 하나에만 노출된다는 것을 의미한다.
[0020] 증착된 TiO 필름은 임의의 적절한 두께를 가질 수 있다. 일부 실시예들에서, TiO 필름의 총 두께는 약 500 Å 미만, 약 450 Å 미만, 약 400 Å 미만, 약 350 Å 미만, 약 300 Å 미만 또는 약 250 Å 미만이다. TiO 필름의 최소 두께는 적어도 약 10 Å, 12.5 Å, 15 Å, 17.5 Å, 또는 20 Å일 수 있다.
[0021] 일부 실시예들에서, 초기 TiO 필름은, 완성된 TiO 필름에 대한 미리결정된 두께의 약 80 % 내지 약 99 %의 범위의 두께로 증착된다. 일부 실시예들에서, TiO 필름은, 완성된 TiO 필름의 미리결정된 두께의 약 80 %, 85 %, 90 %, 95 %, 96 %, 97 %, 또는 98 % 초과의 두께로 증착된다.
[0022] 일부 실시예들의 초기 TiO 필름은, 그 후, TiN 캡핑 TiO 필름을 형성하기 위해, 제2 티타늄-함유 전구체 및 질소-함유 반응물에 순차적으로 노출된다. TiN 캡핑 TiO 필름은, 미리결정된 두께를 갖는 완성된 TiO 필름이다. 예컨대, 완성된 TiO 필름의 미리결정된 두께가 100 Å이면, 초기 TiO2 필름은 100 Å의 약 80 % 내지 약 99 %의 두께로 증착될 수 있다. 그런 다음, 100 Å의 약 1 % 내지 약 20 %의 범위의 두께로 TiN 캡이 증착된다.
[0023] 일부 실시예들에서, TiN 캡핑 TiO 필름의 최상부를 산소-풍부 필름으로 패시베이팅 또는 변환하기 위해, TiN 캡핑 TiO 필름은 산소-함유 반응물로 소킹된다. 필름의 전체 두께는, 산소 소킹 동안 동일하게 유지되거나 변할 수 있다. 예컨대, 산소-함유 반응물로 소킹된 100 Å 두께 TiN 캡핑 TiO 필름은, 약 98 Å 내지 약 102 Å의 범위의 최종 두께를 가질 수 있다.
[0024] 제1 티타늄-함유 전구체 및 제2 티타늄-함유 전구체는 동일한 전구체 종일 수 있거나 상이할 수 있다. 예컨대, 제1 티타늄-함유 전구체는 티타늄 유기금속 착화합물(complex)일 수 있고, 제2 티타늄-함유 전구체는 할로겐화 티타늄 종을 포함할 수 있다. 일부 실시예들에서, 제1 티타늄-함유 전구체 및 제2 티타늄-함유 전구체는 동일할 수 있다.
[0025] 하나 또는 그 초과의 실시예들에서, 제1 티타늄-함유 전구체, 및 산소-함유 반응물과 질소-함유 반응물의 혼합물에 기판 표면을 순차적으로 노출시킴으로써, TiO 필름이 형성된다. 산소-함유 반응물과 질소-함유 반응물의 혼합물은, 최종 TiO 필름의 특성들을 변경하도록 튜닝 또는 조정될 수 있는 O:N 비를 가질 수 있다. 일부 실시예들에서, 혼합물은 1:1 초과의 O:N 비를 갖는다. 달리 말하면, 혼합물은, O와 N 컴포넌트들의 합에 대하여 약 50 % 초과의 O로 이루어질 수 있다. 일부 실시예들에서, 혼합물은, O와 N 컴포넌트들의 합에 대하여 약 60%, 70%, 80% 또는 90% 초과의 O로 이루어질 수 있다.
[0026] 증착 프로세스의 온도는, 예컨대, 사용되는 전구체들에 의존하여 변할 수 있다. 이와 관련하여 사용되는 바와 같이, 프로세스 온도는, 증착 프로세스의 각각의 부분이 언급된 온도에서 또는 그 미만의 온도에서 발생한다는 것을 의미한다. 프로세스의 각각의 부분은, 프로세스의 다른 부분들과 상이한 온도에서 발생할 수 있다. 일부 실시예들에서, 프로세스는, 약 400 ℃, 350 ℃, 300 ℃ 또는 250 ℃와 같거나 그 미만인 온도에서 발생한다.
[0027] 티타늄-함유 전구체는 임의의 적절한 티타늄 전구체일 수 있다. 일부 실시예들에서, 제1 티타늄-함유 전구체 및 제2 티타늄-함유 전구체는, 테트라키스(디메틸아미노)티타늄(TDMAT), 테트라키스(디에틸아미노)티타늄(TDEAT), 티타늄 이소프로폭시드(TTIP), TiCl4 TiBr4, TiI4, 티타늄의 혼합된 할로겐화물들, 및 이들의 조합들로 이루어진 그룹으로부터 독립적으로 선택된다.
[0028] 산소-함유 반응물은 임의의 적절한 산소 반응물일 수 있다. 일부 실시예들에서, 산소-함유 반응물은, 워터(water), O2, O3, 플라즈마-활성화 O2, 질소 산화물들(예컨대, NO, NO2, N2O 등), 및 이들의 조합들로 이루어진 그룹으로부터 선택된다.
[0029] 질소-함유 반응물은 임의의 적절한 질소 반응물일 수 있다. 일부 실시예들에서, 질소-함유 반응물은, 암모니아, NO2, N2O, 플라즈마 활성화 N2, N2와 H2의 플라즈마 활성화 혼합물, N2와 O2의 플라즈마 활성화 혼합물, 질소 산화물들 및 이들의 조합들로 이루어진 그룹으로부터 선택된다.
[0030] 일부 실시예들에서, 산소-함유 반응물 및 질소-함유 반응물은 동일한 반응성 종이다. 일부 실시예들에 따르면, 질소-함유 반응물 및/또는 산소-함유 반응물은 질소 산화물이다. 질소 산화물들의 비-제한적인 예들은, NO, N2O, NO2, N2O3, N4O, N2O4, N2O5 및/또는 N4O6을 포함한다.
[0031] 하나 또는 그 초과의 실시예들에서, 형성된 TiO 필름은 실질적으로 비결정질이다. 이와 관련하여 사용되는 바와 같이, "실질적으로 비결정질"이라는 용어는, 필름이 약 10 %, 9 %, 8 %, 7 %, 6 %, 5 %, 4 %, 3 %, 2 % 또는 1 % 미만의 결정질이라는 것을 의미한다.
[0032] 일부 실시예들에서, TiO 필름은, 약 2.0 초과의 굴절률을 갖는다. 하나 또는 그 초과의 실시예들에서, 완성된 TiO 필름의 굴절률은, 약 2.0, 2.1, 2.2, 2.3, 2.4 또는 2.5와 같거나 그 초과이다. 하나 또는 그 초과의 실시예들에서, 완성된 TiO 필름은, 약 5 Å, 4 Å, 3 Å, 또는 2 Å 미만의 AFM(atomic force microscopy)에 의한 평균 표면 거칠기(Ra)를 갖는다. 일부 실시예들에서, 완성된 TiO 필름은, 약 300 MPa, 250 MPa, 200 MPa 또는 150 MPa와 같거나 그 미만인 응력을 갖는다. 일부 실시예들에서, 완성된 TiO 필름은, 희석된 HF(1:100 HF:H2O)에서 약 20 Å/min, 15 Å/min, 또는 10 Å/min와 같거나 그 미만의 습식 에칭률을 갖는다. 일부 실시예들에서, 완성된 TiO 필름은, 희석된 HF에서 약 0.5, 0.45, 0.4, 0.35 또는 0.3과 같거나 그 미만의, 실리콘 산화물에 대한 습식 에칭률 비(WERR)를 갖는다.
[0033] 본 개시내용의 일부 실시예들은, 실질적으로 비결정질인 모폴로지, 약 2.0 초과의 굴절률, 약 5 Å 미만의 AFM에 의한 평균 표면 거칠기(Ra), 약 300 MPa와 같거나 그 미만의 응력, 희석된 HF에서 약 15 Å/min과 같거나 그 미만의 습식 에칭률을 갖는 티타늄 산화물 필름들에 관한 것이다.
[0034] 하나 또는 그 초과의 실시예들에서, 제1 및 제2 티타늄-함유 전구체는 TDMAT를 포함하고, 산소-함유 반응물은 워터를 포함하고, 그리고 질소-함유 반응물은 암모니아를 포함하며, 증착 온도는 약 200 ℃ 미만이다. 그 TDMAT와 상이한 티타늄-함유 전구체들을 사용하는 프로세스들에 대한 증착 온도는 더 높을 수 있는데, 이는, 예컨대, 전구체의 분해 온도가 더 높을 수 있기 때문이다.
[0035] 도 1a 내지 도 1f는 본 개시내용의 하나 또는 그 초과의 실시예들에 따른 통상적인 자기-정렬 이중 패터닝(SADP; self-aligned double patterning) 프로세스를 도시한다. 도시되고 설명된 프로세스는, 임의의 적절한 산화물들, 질화물들, 유전체들, 포토레지스트들, 및/또는 금속 층들로 수행될 수 있다. 도 1a에서, 기판(100)은, 유전체(110)로 층을 이루고 포토레지스트(120)로 패터닝된다. 유전체(110)가 상부의 포토레지스트(120)와 함께 기판(100) 상에 증착된 것으로 도시되지만, 당업자들은, 기판(100)과 유전체(110) 사이의 중간(intervening) 층들 또는 유전체(110)와 포토레지스트(120) 사이의 층들이 존재할 수 있다는 것을 이해할 것이다. 부가적으로, 유전체(110) 층은 상이한 재료(예컨대, 금속 층)일 수 있다.
[0036] 도 1b에 도시된 바와 같이, 포토레지스트(120)는, 포토레지스트의 측부들(121)을 에칭하기 위해 플라즈마에 노출될 수 있다. 포토레지스트(120)의 측부들을 에칭함으로써, 포토레지스트의 폭이 감소되어, 더 슬림(slim)한 포토레지스트가 초래되고 더 큰 면적의 유전체(110)가 노출되는 것이 초래된다. 이러한 프로세스는 포토레지스트 슬리밍(slimming) 또는 PR 슬리밍으로 지칭된다.
[0037] 도 1c에 도시된 바와 같이, 포토레지스트(120)의 최상부(122) 및 측부들(121)이 스페이서 필름(130)으로 컨포멀하게 코팅되도록, 포토레지스트(120) 및 유전체(110)의 노출된 표면 위에 스페이서 필름(130)이 증착된다. 스페이서 필름은, 산화물 필름을 포함하는(그러나 이에 제한되지 않음) 임의의 적절한 재료로 제조될 수 있다.
[0038] 도 1d에서, 수평 표면들로부터 스페이서 필름(130)이 에칭되어 있다. 이것은, 포토레지스트(120)의 최상부(122)가 노출되고 그리고 유전체(110) 표면의 일부가 노출된다는 것을 의미한다. 도 1e에서, 본래의 패터닝된 포토레지스트(120)가 에칭되어 제거(etch away)되며, 스페이서 필름(130)의 나머지만이 남게 된다. 기판(100)은 스페이서들을 가이드로서 사용하여 에칭될 수 있으며, 남아있는 유전체(110) 및 스페이서 필름(130)이 스트립핑(strip)되어 도 1f의 에칭된 기판(100)이 제공된다. 유전체와 같은 본원에서 설명된 필름들 간의 선택도는 이러한 프로세스가 수행되는 것을 허용한다. 불충분한 선택도가 존재한다면, SiON과 같은 캡이 스페이서 필름의 증착 이전에 포토레지스트 상에 배치될 수 있다. 이러한 캡들은, 패터닝된 포토레지스트를 의도치 않게 에칭하여 제거하는 것을 방지한다.
[0039] 따라서, 도 1a 내지 도 1f를 참조하면, 본 개시내용의 하나 또는 그 초과의 실시예들은 프로세싱 방법들에 관한 것이다. 유전체(110)일 수 있는 제1 층 및 포토레지스트(120)일 수 있는 패터닝된 층을 갖는 기판(100)이 제공된다. 제1 층이 유전체일 필요는 없고 그리고 패터닝된 층이 포토레지스트일 필요는 없지만, 이들 용어들은 설명의 편의를 위해 사용된다. 제1 층의 부분들은, 기판을 내려다 볼 때, 제1 층 및 패터닝된 층 둘 모두가 동시에 보이도록, 패터닝된 층을 통해 노출된다.
[0040] 패터닝된 층은, 최상부(122) 및 폭(W1)을 정의하는 2개의 측부들(121)(즉, 수직 면들)을 갖는 적어도 하나의 피쳐를 포함한다. 폭(W1)은 패터닝된 층에 대한 임의의 적절한 폭일 수 있다. 일부 실시예들에서, 적어도 하나의 피쳐의 폭은, 약 200 Å 내지 약 800 Å의 범위, 또는 약 300 Å 내지 약 700 Å의 범위 또는 약 400 Å 내지 약 600 Å의 범위에 있다.
[0041] 기판 상에 존재하는 피쳐 또는 피쳐들은 임의의 적절한 기법에 의해 제조될 수 있고, 기판을 프로세싱 챔버 내에 배치하기 전에 형성될 수 있다. 일부 실시예들에서, 피쳐들은 동일한 프로세싱 챔버 및 SADP 프로세싱 내에서 형성된다. 피쳐들은 임의의 적절한 사이즈 및 임의의 적절한 종횡비를 가질 수 있다. 일부 실시예들에서, 피쳐의 종횡비는 약 1:1, 2:1, 3:1, 4:1 또는 5:1 보다 크다. 일부 실시예들에서, 피쳐는, 약 1:1 내지 약 20:1의 범위, 또는 약 2:1 내지 약 15:1의 범위, 또는 약 3:1 내지 약 10:1의 범위, 또는 약 4:1 내지 약 8:1의 범위의 종횡비를 갖는다.
[0042] 피쳐의 수직 면들(121)은 실질적으로 제1 층에 수직이다. 본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, "실질적으로 수직인"이라는 용어는, 수직 면들이 제1 층에 대해 약 80° 내지 약 100°의 범위, 또는 약 85° 내지 약 95°의 범위 , 또는 약 88° 내지 약 92°의 범위의 각도를 형성한다는 것을 의미한다.
[0043] 패터닝된 층은, 패터닝된 층의 사용에 의존하여 임의의 적절한 재료일 수 있다. 도 1a 내지 도 1f에 도시된 예에서, 패터닝된 층이 포토레지스트 또는 스핀-온-카본(spin-on-carbon) 중 하나 또는 그 초과인 자기-정렬 이중 패터닝 절차가 설명된다.
[0044] 패터닝된 층(예컨대, 포토레지스트(120))은, 패터닝된 층의 폭을 W1로부터 W2로 감소시키기 위한 프로세싱 조건들에 노출된다. 따라서, 폭 W2는 폭 W1보다 작다. 일부 실시예들에서, 패터닝된 층의 폭을 감소시키기 위해, 패터닝된 층을 플라즈마에 노출시킴으로써 트리밍(trimming)이 행해진다. 플라즈마는, 수소, 질소, 산소, 아르곤, 탄소 이산화물 및 헬륨을 포함하는(그러나 이에 제한되지 않음) 임의의 적절한 플라즈마일 수 있다. 일부 실시예들에서, 패터닝된 층은 스핀-온-카본을 포함하고, 플라즈마는 아르곤 및 탄소 이산화물을 포함한다.
[0045] 피쳐의 측부들로부터 제거되는 재료의 양은, 플라즈마에 대한 노출의 양에 의해 제어될 수 있다. 일부 실시예들에서, 패터닝된 층의 폭은, 약 10 Å 내지 약 200 Å의 범위, 또는 약 20 Å 내지 약 150 Å의 범위, 또는 약 30 Å 내지 약 100 Å의 범위의 양만큼 감소된다. 하나 또는 그 초과의 실시예들에서, 패터닝된 층의 폭은, 초기 폭의 약 10 %, 15 %, 20 %, 25 %, 30 %, 35 %, 40 %, 45 %, 또는 50 % 초과의 양만큼 감소된다. 피쳐의 폭은 제로(zero)로 감소되지 않으면서 슬리밍되었으며, 이는 일부 사용가능한 피쳐가 남아 있다는 것을 의미한다. 피쳐를 트리밍한 후에, 수직 면들은 제1 층에 대해 실질적으로 수직으로 유지된다.
[0046] 도 1c를 참조하면, 스페이서 층(예컨대, 산화물 필름(130))은, 패터닝된 층을 통해 노출된 제1 층의 부분들, 적어도 하나의 피쳐의 최상부 표면 및 두 수직 면들 모두 상에 스페이서 층이 필름을 형성하도록, 제1 층 및 패터닝된 층 위에 증착된다. 증착된 스페이서 층은 실질적으로 컨포멀할 수 있고, 이는, 스페이서 층의 두께가 수평 및 수직 표면들에 걸쳐 균일하다는 것을 의미한다. 본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, "실질적으로 컨포멀한"이라는 용어는, 필름의 두께가, 평균 필름 두께에 관하여, 약 20 %, 15 %, 10 %, 또는 5 %를 초과하게는 변하지 않는다는 것을 의미한다. 스페이서 층은, 산화물들, 질화물들, 실리사이드들, 옥시나이트라이드들, 카보나이트라이드들, 및 이들의 조합들을 포함하는(그러나 이에 제한되지 않음) 임의의 적절한 재료로 제조될 수 있다.
[0047] 도 1d를 참조하면, 스페이서 층이 수평 표면들로부터 에칭되어, 수직 면들 상에 스페이서 층이 남는다. 여기서, 피쳐의 최상부 표면, 및 피쳐들 사이의 수평 부분들이 에칭되어, 피쳐의 최상부 표면 및 제1 층이 노출된다.
[0048] 개별적인 프로세스들은, 본원에서 설명되는 바와 같이, 단일 프로세싱 챔버 또는 별개의 프로세싱 챔버들에서 수행될 수 있다. 일부 실시예들에서, 각각의 프로세스는 단일 프로세싱 챔버에서 발생하고, 그러한 단일 프로세싱 챔버에서, 기판은 복수의 섹션들 사이에서 측방향으로 이동되고, 각각의 섹션은, 가스 커튼(curtain)에 의해, 인접하는 섹션들로부터 분리된다. 이러한 종류의 실시예들에서, 패터닝된 층을 트리밍하는 것은 프로세싱 챔버의 제1 섹션에서 발생하고, 스페이서 층을 증착하는 것은 프로세싱 챔버의 제2 섹션에서 발생하고, 그리고 스페이서 층을 에칭하는 것은 프로세싱 챔버의 제3 섹션에서 발생한다. 예컨대, 이러한 종류의 프로세싱 방법은, 복수의 섹션들을 포함하는 프로세싱 챔버 내에 기판을 배치하는 것을 포함할 수 있으며, 각각의 섹션은, 가스 커튼에 의해, 인접하는 섹션들로부터 분리된다. 패터닝된 층을 트리밍하여 패터닝된 층의 폭을 감소시키기 위해, 기판의 적어도 부분이 제1 프로세스 조건에 노출된다. 기판은, 가스 커튼을 통해 프로세싱 챔버의 제2 섹션으로 측방향으로 이동된다. 프로세싱 챔버의 제2 섹션에서, 제1 층 및 패터닝된 층 위에 스페이서 층을 증착하기 위해, 기판의 적어도 부분이 제2 프로세스 조건에 노출된다. 그런 다음, 기판은, 가스 커튼을 통해 프로세싱 챔버의 제3 섹션으로 측방향으로 이동된다. 그런 다음, 패터닝된 층을 통해 노출된 제1 층의 부분들, 및 적어도 하나의 피쳐의 최상부 표면으로부터 스페이서 층을 에칭하기 위해, 기판의 적어도 부분이 제3 프로세스 조건에 노출된다. 제1 섹션으로부터 제2 섹션으로의 기판의 측방향 이동 동안, 기판의 제1 부분이 제1 프로세스 조건에 노출되는 것과 동시에, 표면의 제2 부분이 제2 프로세스 조건들에 노출되고, 기판의 중간 부분은 가스 커튼에 노출된다. 중간 부분은, 제1 부분과 제2 부분 사이의 기판의 일부 부분이다. 제2 섹션으로부터 제3 섹션으로의 기판의 측방향 이동 동안에, 기판의 제1 부분이 제2 프로세스 조건에 노출되는 것과 동시에, 기판의 제2 부분이 제3 프로세스 조건에 노출되고, 기판의 중간 부분은 가스 커튼에 노출된다.
[0049] 도 1e 및 도 1f는, 동일한 프로세싱 챔버 또는 상이한 환경들에서 수행될 수 있는 부가적인 프로세스 스테이지들을 도시한다. 도 1e에서, 패터닝된 층이 제거된다. 이러한 프로세스는 "코어 제거(core removal)"라고 지칭될 수 있고, 종종, 습식 화학 방법들에 의해 행해지지만, 이 방법들에 의해 행해지도록 요구되지는 않는다. 도 1f에서, 나머지 스페이서 층 및 제1 층의 노출된 부분들이 기판으로부터 에칭되어 제거된다.
[0050] 따라서, 본 개시내용의 하나 또는 그 초과의 실시예들은, 유전체를 포함하는 제1 층 및 제1 층 상의 패터닝된 층을 갖는 기판을 제공하는 것을 포함하는 프로세싱 방법에 관한 것이며, 여기서, 제1 층의 부분들이 패터닝된 층을 통해 노출되고, 패터닝된 층은, 최상부 표면 및 폭을 정의하는 2개의 수직 면들을 갖는 적어도 하나의 피쳐를 포함한다. 적어도 하나의 피쳐의 수직 면들은 제1 층에 실질적으로 수직이다. 이와 관련하여 사용되는 바와 같이, "실질적으로 수직인"이라는 용어는, 제1 층에 대한 각도가 약 80° 내지 약 100°의 범위, 또는 약 85° 내지 약 95°의 범위 내에 있다는 것을 의미한다. TiO 스페이서 층은, 패터닝된 층을 통해 노출된 제1 층의 부분들, 적어도 하나의 피쳐의 최상부 표면 및 두 수직 면들 모두 상에 스페이서 층이 필름을 형성하도록, 제1 층 및 패터닝된 층 위에 증착된다. TiO 스페이서 층은, 초기 TiO를 형성하기 위해, 미리결정된 두께의 약 80 % 내지 약 99 %의 범위의 두께로, 기판을 제1 티타늄-함유 전구체 및 산소-함유 반응물에 순차적으로 노출시킴으로써 증착될 수 있다. 초기 TiO 필름은, 미리결정된 두께를 갖는 TiN 캡핑 TiO 필름을 형성하기 위해, 제2 티타늄-함유 전구체 및 질소-함유 반응물에 순차적으로 노출된다. 선택적으로, TiN 캡핑 TiO 필름의 최상부를 산소-풍부 필름으로 패시베이팅 또는 변환하기 위해, TiN 캡핑 TiO 필름은 산소-함유 반응물로 소킹된다. 그런 다음, 스페이서 층이 적어도 하나의 피쳐의 최상부 표면으로부터 에칭되고, 제1 층의 부분들이 패터닝된 층을 통해 노출된다.
[0051] 일부 실시예들에서, 하나 또는 그 초과의 층들은 PEALD(plasma enhanced atomic layer deposition) 프로세스 동안 형성될 수 있다. 일부 프로세스들에서, 플라즈마의 사용은, 표면 반응들이 유리하게 되고 가능성이 있게 되는 여기(excite)된 상태로 종을 촉진시키기에 충분한 에너지를 제공한다. 프로세스에 플라즈마를 도입하는 것은 연속적일 수 있거나 또는 펄스식일 수 있다. 일부 실시예들에서, 전구체들(또는 반응성 가스들) 및 플라즈마의 순차적인 펄스들이, 층을 프로세싱하기 위해 사용된다. 일부 실시예들에서, 시약(reagent)들은, 국부적으로(즉, 프로세싱 영역 내에서), 또는 원격으로(즉, 프로세싱 영역 외부에서) 이온화될 수 있다. 일부 실시예들에서, 이온들 또는 다른 에너제틱(energetic) 또는 발광 종이 증착 필름과 직접적으로 접촉하지 않도록, 원격 이온화가 증착 챔버의 상류에서 발생할 수 있다. 일부 PEALD 프로세스들에서, 플라즈마는, 프로세싱 챔버 외부에서, 이를 테면 원격 플라즈마 생성기 시스템에 의해 생성된다. 플라즈마는, 당업자에게 알려져 있는 임의의 적절한 플라즈마 생성 프로세스 또는 기법을 통해 생성될 수 있다. 예컨대, 플라즈마는, 마이크로파(MW) 주파수 생성기 또는 라디오 주파수(RF) 생성기 중 하나 또는 그 초과에 의해 생성될 수 있다. 플라즈마의 주파수는, 사용되고 있는 특정 반응성 종에 의존하여 튜닝될 수 있다. 적절한 주파수들은, 2 MHz, 13.56 MHz, 40 MHz, 60 MHz, 및 100 MHz뿐만 아니라, 가장 일반적인 마이크로파 생성기에 대한 2.45 GHz와 같은 GHz 범위를 포함하지만 이들로 제한되지는 않는다. 본원에서 개시되는 증착 프로세스들 동안 플라즈마들이 사용될 수 있지만, 플라즈마들이 요구되지 않을 수도 있다. 실제로, 다른 실시예들은, 플라즈마를 이용하지 않는, 매우 온화한(mild) 조건들 하에서의 증착 프로세스들에 관한 것이다.
[0052] 하나 또는 그 초과의 실시예들에 따르면, 기판은, 층을 형성하기 전에 그리고/또는 층을 형성한 후에, 프로세싱을 겪는다. 이러한 프로세싱은, 동일한 챔버에서 또는 하나 또는 그 초과의 별개의 프로세싱 챔버들에서 수행될 수 있다. 일부 실시예들에서, 기판은, 추가적인 프로세싱을 위해, 제1 챔버로부터 별개의 제2 챔버로 이동된다. 기판은, 제1 챔버로부터 별개의 프로세싱 챔버로 직접적으로 이동될 수 있거나, 또는 기판은, 제1 챔버로부터 하나 또는 그 초과의 이송 챔버들로 이동될 수 있고, 그 후에, 별개의 프로세싱 챔버로 이동될 수 있다. 따라서, 프로세싱 장치는 이송 스테이션과 통신하는 다수의 챔버들을 포함할 수 있다. 이러한 종류의 장치는 "클러스터 툴(cluster tool)" 또는 "클러스터링된 시스템" 등으로 지칭될 수 있다.
[0053] 일반적으로, 클러스터 툴은, 기판 중심-발견 및 배향, 탈기(degassing), 어닐링, 증착, 및/또는 에칭을 포함하는 다양한 기능들을 수행하는 다수의 챔버들을 포함하는 모듈식 시스템이다. 하나 또는 그 초과의 실시예들에 따르면, 클러스터 툴은, 적어도 제1 챔버 및 중앙 이송 챔버를 포함한다. 중앙 이송 챔버는, 로드 락(load lock) 챔버들과 프로세싱 챔버들 사이에서 그리고 이들 간에서 기판들을 셔틀링(shuttle)할 수 있는 로봇을 하우징(house)할 수 있다. 이송 챔버는 통상적으로, 진공 조건에서 유지되고, 기판들을, 하나의 챔버로부터 다른 챔버로, 그리고/또는 클러스터 툴의 전방 단부에 포지셔닝된 로드 락 챔버로 셔틀링하기 위한 중간 스테이지를 제공한다. 본 개시내용에 대해 적응될 수 있는 2개의 잘-알려진 클러스터 툴들은 Centura® 및 Endura®이고, 이들 둘 모두는, 캘리포니아 주 Santa Clara의 Applied Materials, Inc.로부터 상업적으로 입수가능하다. 하지만, 챔버들의 정확한 어레인지먼트(arrangement) 및 조합은, 본원에서 설명되는 바와 같은 프로세스의 특정 부분들을 수행하는 목적들을 위해 변경될 수 있다. 사용될 수 있는 다른 프로세싱 챔버들은, CLD(cyclical layer deposition), ALD(atomic layer deposition), CVD(chemical vapor deposition), PVD(physical vapor deposition), 에칭, 사전-세정, 화학 세정, RTP와 같은 열 처리, 플라즈마 질화(nitridation), 탈기, 배향, 히드록실화(hydroxylation), 및 다른 기판 프로세스들을 포함하지만 이들로 제한되지 않는다. 클러스터 툴 상의 챔버에서 프로세스들을 수행함으로써, 대기 불순물들에 의한 기판의 표면 오염이, 후속 필름을 증착하기 전의 산화 없이, 회피될 수 있다.
[0054] 하나 또는 그 초과의 실시예들에 따르면, 기판은 지속적으로 진공 또는 "로드 락" 조건들 하에 있고, 하나의 챔버로부터 다음 챔버로 이동될 때, 주변 공기에 노출되지 않는다. 따라서, 이송 챔버들은 진공 하에 있고, 진공 압력 하에서 "펌핑 다운(pump down)"된다. 비활성 가스들이 프로세싱 챔버들 또는 이송 챔버들에 존재할 수 있다. 일부 실시예들에서, 비활성 가스는, 기판의 표면 상에 층을 형성한 후에, 반응물들의 일부 또는 전부를 제거하기 위해, 퍼지 가스로서 사용된다. 하나 또는 그 초과의 실시예들에 따르면, 퍼지 가스는, 반응물들이 증착 챔버로부터 이송 챔버로 그리고/또는 부가적인 프로세싱 챔버로 이동하는 것을 방지하기 위해, 증착 챔버의 출구에서 주입된다. 따라서, 비활성 가스의 유동은 챔버의 출구에서 커튼을 형성한다.
[0055] 프로세싱 동안, 기판은 가열 또는 냉각될 수 있다. 그러한 가열 또는 냉각은, 기판 지지부(예컨대, 서셉터(susceptor))의 온도를 변화시키는 것 및 가열된 또는 냉각된 가스들을 기판 표면으로 유동시키는 것을 포함하는(그러나 이에 제한되지 않음) 임의의 적절한 수단에 의해 달성될 수 있다. 일부 실시예들에서, 기판 지지부는, 기판 온도를 전도성으로 변화시키도록 제어될 수 있는 가열기/냉각기를 포함한다. 하나 또는 그 초과의 실시예들에서, 이용되는 가스들(반응성 가스들 또는 비활성 가스들)은, 기판 온도를 국부적으로 변화시키도록 가열 또는 냉각된다. 일부 실시예들에서, 가열기/냉각기는, 기판 온도를 대류성으로(convectively) 변화시키기 위해, 챔버 내에서 기판 표면에 인접하게 포지셔닝된다.
[0056] 기판은 또한, 프로세싱 동안, 정적일 수 있거나 또는 회전될 수 있다. 회전되는 기판은, 연속적으로 또는 불연속적인 단계들로 회전될 수 있다. 예컨대, 기판은 전체 프로세스 전체에 걸쳐 회전될 수 있거나, 또는 기판은, 상이한 반응성 또는 퍼지 가스들에 대한 노출들 사이에서 작은 양만큼 회전될 수 있다. (연속적으로 또는 단계들로) 프로세싱 동안 기판을 회전시키는 것은, 예컨대, 가스 유동 기하학적 구조들에서의 국부적인 변동성의 영향을 최소화함으로써, 더 균일한 증착 또는 에칭을 생성하는 것을 도울 수 있다.
[0057] 전술한 내용들이 본 개시내용의 실시예들에 관한 것이지만, 본 개시내용의 다른 그리고 추가적인 실시예들이 본 개시내용의 기본적인 범위로부터 벗어나지 않으면서 안출될 수 있으며, 본 개시내용의 범위는 하기의 청구항들에 의해 결정된다.

Claims (13)

  1. TiO 필름을 형성하는 방법으로서,
    상기 TiO 필름의 미리결정된 두께의 약 80 % 내지 약 99 %의 범위의 두께를 갖는 초기 TiO 필름을 형성하기 위해, 제1 티타늄-함유 전구체 및 산소-함유 반응물에 기판 표면을 순차적으로 노출시키는 단계; 및
    상기 미리결정된 두께를 갖는 TiN 캡핑(capped) TiO 필름을 형성하기 위해, 제2 티타늄-함유 전구체 및 질소-함유 반응물에 상기 초기 TiO 필름을 순차적으로 노출시키는 단계를 포함하는, TiO 필름을 형성하는 방법.
  2. 제1항에 있어서,
    상기 TiN 캡핑 TiO 필름의 최상부를 산소-풍부(oxygen-rich) 필름으로 패시베이팅(passivate) 또는 변환하기 위해, 상기 TiN 캡핑 TiO 필름을 산소-함유 반응물로 소킹(soak)하는 단계를 더 포함하는, TiO 필름을 형성하는 방법.
  3. 제1항에 있어서,
    상기 제1 티타늄-함유 전구체 및 상기 제2 티타늄-함유 전구체는 동일한, TiO 필름을 형성하는 방법.
  4. 제1항에 있어서,
    상기 미리결정된 두께는 약 10 Å보다 큰, TiO 필름을 형성하는 방법.
  5. TiO 필름을 형성하는 방법으로서,
    미리결정된 두께를 갖는 질소-도핑 TiO 필름을 형성하기 위해, 제1 티타늄-함유 전구체, 및 1:1 초과의 O:N 비를 갖는 산소-함유 반응물과 질소-함유 반응물의 혼합물에 기판 표면을 순차적으로 노출시키는 단계를 포함하는, TiO 필름을 형성하는 방법.
  6. 제1항 내지 제5항 중 어느 한 항에 있어서,
    상기 미리결정된 두께는 약 15 Å보다 큰, TiO 필름을 형성하는 방법.
  7. 제1항 내지 제5항 중 어느 한 항에 있어서,
    상기 미리결정된 두께는 최대 약 400 Å인, TiO 필름을 형성하는 방법.
  8. 제1항 내지 제5항 중 어느 한 항에 있어서,
    상기 방법은 약 400 ℃ 미만의 온도에서 발생하는, TiO 필름을 형성하는 방법.
  9. 제1항 내지 제5항 중 어느 한 항에 있어서,
    상기 제1 티타늄-함유 전구체 및 상기 제2 티타늄-함유 전구체는, TDMAT, TDEAT, TTIP, TiCl4, TiBr4, TiI4, 티타늄의 혼합된 할로겐화물들, 및 이들의 조합들로 이루어진 그룹으로부터 독립적으로 선택되는, TiO 필름을 형성하는 방법.
  10. 제1항 내지 제7항 중 어느 한 항에 있어서,
    상기 산소-함유 반응물은, 워터(water), O2, O3, 플라즈마-활성화 O2 및 이들의 조합들로 이루어진 그룹으로부터 선택되는, TiO 필름을 형성하는 방법.
  11. 제1항 내지 제5항 중 어느 한 항에 있어서,
    상기 질소-함유 반응물은, 암모니아, NO2, N2O, 플라즈마 활성화 N2, N2와 H2의 플라즈마 활성화 혼합물, N2와 O2의 플라즈마 활성화 혼합물, 및 이들의 조합들로 이루어진 그룹으로부터 선택되는, TiO 필름을 형성하는 방법.
  12. 제1항 내지 제5항 중 어느 한 항에 있어서,
    상기 TiO 필름은 실질적으로 비결정질인, TiO 필름을 형성하는 방법.
  13. 프로세싱 방법으로서,
    유전체를 포함하는 제1 층, 및 상기 제1 층 상의 패터닝된 층을 갖는 기판을 제공하는 단계 ― 상기 제1 층의 부분들은 상기 패터닝된 층을 통해 노출되고, 상기 패터닝된 층은, 최상부 표면 및 폭을 정의하는 2개의 수직 면들을 갖는 적어도 하나의 피쳐(feature)를 포함하고, 상기 수직 면들은 상기 제1 층에 대해 실질적으로 수직임 ―;
    상기 제1 층 및 상기 패터닝된 층 위에 TiO 스페이서 층을 증착하는 단계 ― 상기 TiO 스페이서 층을 증착하는 단계는, 상기 스페이서 층이, 상기 패터닝된 층을 통해 노출된 상기 제1 층의 부분들, 상기 적어도 하나의 피쳐의 최상부 표면, 및 상기 수직 면들 둘 모두 상에 필름을 형성하도록 이루어지고, 상기 TiO 스페이서 층은, TiO 필름을 형성하기 위해, 미리결정된 두께의 약 80 % 내지 약 99 % 범위의 두께로 제1 티타늄-함유 전구체 및 산소-함유 반응물에 상기 기판을 순차적으로 노출시키고, 후속하여, 상기 미리결정된 두께를 갖는 TiN 캡핑 TiO 필름을 형성하기 위해, 제2 티타늄-함유 전구체 및 질소-함유 반응물에 상기 TiO 필름을 순차적으로 노출시킴으로써 증착되고, 선택적으로는, 상기 TiN 캡핑 TiO 필름의 최상부를 산소-풍부 필름으로 패시베이팅 또는 변환하기 위해 상기 TiN 캡핑 TiO 필름을 산소-함유 반응물로 소킹하는 단계를 더 포함함 ―; 및
    상기 적어도 하나의 피쳐의 최상부 표면, 및 상기 패터닝된 층을 통해 노출된 상기 제1 층의 부분들로부터, 상기 스페이서 층을 에칭하는 단계를 포함하는, 프로세싱 방법.
KR1020187001591A 2015-06-18 2016-06-16 균일하고 컨포멀한 하이브리드 티타늄 산화물 필름들을 위한 증착 방법들 KR102489044B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201562181445P 2015-06-18 2015-06-18
US62/181,445 2015-06-18
PCT/US2016/037860 WO2016205505A1 (en) 2015-06-18 2016-06-16 Deposition methods for uniform and conformal hybrid titanium oxide films

Publications (2)

Publication Number Publication Date
KR20180010323A true KR20180010323A (ko) 2018-01-30
KR102489044B1 KR102489044B1 (ko) 2023-01-13

Family

ID=57546189

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020187001591A KR102489044B1 (ko) 2015-06-18 2016-06-16 균일하고 컨포멀한 하이브리드 티타늄 산화물 필름들을 위한 증착 방법들

Country Status (4)

Country Link
US (1) US9881787B2 (ko)
KR (1) KR102489044B1 (ko)
TW (2) TWI766789B (ko)
WO (1) WO2016205505A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20210024199A (ko) * 2018-07-19 2021-03-04 램 리써치 코포레이션 하드마스크 막들 상의 ALD SiO2 증착 시 탄소 손실 최소화

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10361112B2 (en) * 2017-06-29 2019-07-23 Taiwan Semiconductor Manufacturing Co., Ltd. High aspect ratio gap fill
US10340149B2 (en) * 2017-09-05 2019-07-02 Nanya Technology Corporation Method of forming dense hole patterns of semiconductor devices
JP7018729B2 (ja) * 2017-09-19 2022-02-14 東京エレクトロン株式会社 成膜方法
US10734228B2 (en) * 2017-12-19 2020-08-04 Tokyo Electron Limited Manufacturing methods to apply stress engineering to self-aligned multi-patterning (SAMP) processes
JP7094154B2 (ja) * 2018-06-13 2022-07-01 東京エレクトロン株式会社 成膜装置および成膜方法
US20190390341A1 (en) * 2018-06-26 2019-12-26 Lam Research Corporation Deposition tool and method for depositing metal oxide films on organic materials
US10832960B2 (en) 2019-02-07 2020-11-10 International Business Machines Corporation Quadruple gate dielectric for gate-all-around transistors
US10763177B1 (en) 2019-03-01 2020-09-01 International Business Machines Corporation I/O device for gate-all-around transistors
CN115394636B (zh) * 2022-10-26 2023-01-03 广州粤芯半导体技术有限公司 半导体光刻方法、系统、设备和计算机可读存储介质

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20050005726A (ko) * 2003-06-24 2005-01-14 삼성전자주식회사 원자층 증착법에 의한 고유전막 형성 방법 및 그고유전막을 갖는 커패시터의 제조 방법
KR20150041755A (ko) * 2013-10-09 2015-04-17 에이에스엠 아이피 홀딩 비.브이. TDMAT 또는 TDEAT 를 사용하여 PEALD 에 의해 Ti 함유 막을 형성하는 방법
US20150118850A1 (en) * 2013-10-25 2015-04-30 Taiwan Semiconductor Manufacturing Company, Ltd. Lithography using Multilayer Spacer for Reduced Spacer Footing

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4605947A (en) 1983-03-07 1986-08-12 Motorola Inc. Titanium nitride MOS device gate electrode and method of producing
US5972178A (en) 1995-06-07 1999-10-26 Applied Materials, Inc. Continuous process for forming improved titanium nitride barrier layers
KR970063509A (ko) 1996-02-26 1997-09-12 제랄드 에프. 테일러 개선된 질화 티타늄 장벽층
US5759916A (en) 1996-06-24 1998-06-02 Taiwan Semiconductor Manufacturing Company Ltd Method for forming a void-free titanium nitride anti-reflective coating(ARC) layer upon an aluminum containing conductor layer
US6211078B1 (en) 1997-08-18 2001-04-03 Micron Technology, Inc. Method of improving resist adhesion for use in patterning conductive layers
JP3473485B2 (ja) 1999-04-08 2003-12-02 日本電気株式会社 薄膜抵抗体およびその製造方法
US6130155A (en) 1999-07-02 2000-10-10 Promos Technologies, Inc. Method of forming metal lines in an integrated circuit having reduced reaction with an anti-reflection coating
US6534360B2 (en) * 2001-04-04 2003-03-18 Applied Materials, Inc. Process for depositing layers on a semiconductor wafer
KR100655074B1 (ko) 2004-11-11 2006-12-11 삼성전자주식회사 스토리지 커패시터 및 그의 제조방법
US7365027B2 (en) 2005-03-29 2008-04-29 Micron Technology, Inc. ALD of amorphous lanthanide doped TiOx films
US8518486B2 (en) 2010-05-12 2013-08-27 Micron Technology, Inc. Methods of forming and utilizing rutile-type titanium oxide
US8357583B2 (en) * 2010-09-10 2013-01-22 Elpida Memory, Inc. Method for manufacturing semiconductor device
JP2014195043A (ja) * 2013-02-27 2014-10-09 Hitachi Kokusai Electric Inc 基板処理装置及び半導体装置の製造方法並びにガス給排方法
JP6030589B2 (ja) 2014-02-13 2016-11-24 株式会社アルバック ハードマスク形成方法及びハードマスク形成装置

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20050005726A (ko) * 2003-06-24 2005-01-14 삼성전자주식회사 원자층 증착법에 의한 고유전막 형성 방법 및 그고유전막을 갖는 커패시터의 제조 방법
KR20150041755A (ko) * 2013-10-09 2015-04-17 에이에스엠 아이피 홀딩 비.브이. TDMAT 또는 TDEAT 를 사용하여 PEALD 에 의해 Ti 함유 막을 형성하는 방법
US20150118850A1 (en) * 2013-10-25 2015-04-30 Taiwan Semiconductor Manufacturing Company, Ltd. Lithography using Multilayer Spacer for Reduced Spacer Footing

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20210024199A (ko) * 2018-07-19 2021-03-04 램 리써치 코포레이션 하드마스크 막들 상의 ALD SiO2 증착 시 탄소 손실 최소화

Also Published As

Publication number Publication date
WO2016205505A1 (en) 2016-12-22
US9881787B2 (en) 2018-01-30
TWI737612B (zh) 2021-09-01
US20160372324A1 (en) 2016-12-22
TW201710547A (zh) 2017-03-16
TW202142732A (zh) 2021-11-16
TWI766789B (zh) 2022-06-01
KR102489044B1 (ko) 2023-01-13

Similar Documents

Publication Publication Date Title
KR102489044B1 (ko) 균일하고 컨포멀한 하이브리드 티타늄 산화물 필름들을 위한 증착 방법들
KR102434504B1 (ko) 토폴로지상 제한된 플라즈마-강화 순환 증착의 방법
TWI811348B (zh) 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR102692947B1 (ko) SiO 및 SiN을 포함하는 유동성 막들을 증착시키는 방법들
US11081342B2 (en) Selective deposition using hydrophobic precursors
CN110993482A (zh) 选择性钝化和选择性沉积
KR20220002214A (ko) 자기정렬된 콘택트 스킴을 위한 희생적 pmd
KR20170017779A (ko) 알루미늄 및 질소 함유 물질의 선택적 퇴적
US20130113085A1 (en) Atomic Layer Deposition Of Films Using Precursors Containing Hafnium Or Zirconium
US20130115778A1 (en) Dry Etch Processes
US20140273524A1 (en) Plasma Doping Of Silicon-Containing Films
US11664219B2 (en) Selective deposition of SiOC thin films
JP7539774B2 (ja) 反応チャンバーにおいて循環堆積プロセスにより基材上に酸化ハフニウムランタン膜を堆積させるための方法
KR102275051B1 (ko) 3d 플래시 메모리 애플리케이션을 위한 유전체-금속 스택
WO2020154009A1 (en) Methods for depositing silicon nitride
KR102270458B1 (ko) 워드라인 저항을 낮추는 방법들
CN114262878A (zh) 氧化硅沉积方法
Profijt Plasma-surface interaction in plasma-assisted atomic layer deposition
KR100511914B1 (ko) 피이사이클 시브이디법을 이용한 반도체소자의 제조방법
US11978625B2 (en) Methods of forming metal nitride films
US20220108881A1 (en) Method and system for forming silicon nitride on a sidewall of a feature
CN118186364A (zh) 用于沉积氮化硼的方法和系统

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant