JP2015149491A - 半導体チップ、定義方法および設計方法 - Google Patents

半導体チップ、定義方法および設計方法 Download PDF

Info

Publication number
JP2015149491A
JP2015149491A JP2015059324A JP2015059324A JP2015149491A JP 2015149491 A JP2015149491 A JP 2015149491A JP 2015059324 A JP2015059324 A JP 2015059324A JP 2015059324 A JP2015059324 A JP 2015059324A JP 2015149491 A JP2015149491 A JP 2015149491A
Authority
JP
Japan
Prior art keywords
dynamic array
das
array section
manufacturing
shape
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2015059324A
Other languages
English (en)
Other versions
JP6093794B2 (ja
Inventor
ベッカー、スコット、ティー.
T Becker Scott
スメイリング、マイケル、シー.
Michael C Smalling
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tela Innovations Inc
Original Assignee
Tela Innovations Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tela Innovations Inc filed Critical Tela Innovations Inc
Publication of JP2015149491A publication Critical patent/JP2015149491A/ja
Application granted granted Critical
Publication of JP6093794B2 publication Critical patent/JP6093794B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/10Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration
    • H01L27/118Masterslice integrated circuits
    • H01L27/11803Masterslice integrated circuits using field effect technology
    • H01L27/11807CMOS gate arrays
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/0203Particular design considerations for integrated circuits
    • H01L27/0207Geometrical layout of the components, e.g. computer aided design; custom LSI, semi-custom LSI, standard cell technique
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/10Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration
    • H01L27/118Masterslice integrated circuits
    • H01L27/11803Masterslice integrated circuits using field effect technology
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2119/00Details relating to the type or aim of the analysis or the optimisation
    • G06F2119/18Manufacturability analysis or optimisation for manufacturability
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/10Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration
    • H01L27/118Masterslice integrated circuits
    • H01L27/11803Masterslice integrated circuits using field effect technology
    • H01L27/11807CMOS gate arrays
    • H01L2027/11809Microarchitecture
    • H01L2027/11811Basic cell P to N transistor count
    • H01L2027/11828-T CMOS basic cell
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/10Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration
    • H01L27/118Masterslice integrated circuits
    • H01L27/11803Masterslice integrated circuits using field effect technology
    • H01L27/11807CMOS gate arrays
    • H01L2027/11809Microarchitecture
    • H01L2027/11859Connectibility characteristics, i.e. diffusion and polysilicon geometries
    • H01L2027/11866Gate electrode terminals or contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/10Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration
    • H01L27/118Masterslice integrated circuits
    • H01L27/11803Masterslice integrated circuits using field effect technology
    • H01L27/11807CMOS gate arrays
    • H01L2027/11868Macro-architecture
    • H01L2027/11874Layout specification, i.e. inner core region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/10Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration
    • H01L27/118Masterslice integrated circuits
    • H01L27/11803Masterslice integrated circuits using field effect technology
    • H01L27/11807CMOS gate arrays
    • H01L2027/11883Levels of metallisation
    • H01L2027/11888More than 3 levels of metal
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P90/00Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
    • Y02P90/02Total factory control, e.g. smart factories, flexible manufacturing systems [FMS] or integrated manufacturing systems [IMS]

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Engineering & Computer Science (AREA)
  • Theoretical Computer Science (AREA)
  • Geometry (AREA)
  • Evolutionary Computation (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)
  • Semiconductor Integrated Circuits (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Solid State Image Pick-Up Elements (AREA)

Abstract

【課題】半導体チップ上に製造されるダイナミックアレイ・セクションの定義方法を提供する。
【解決手段】ダイナミックアレイ・セクションの周辺境界を定義するステップ3101と、ダイナミックアレイ・セクションの境界外側に製造保証ハロを定義するステップ3103と、製造保証ハロ内のチップ・レイアウト形状によってダイナミックアレイ・セクションの境界内側に導電体形状を製造するステップが悪影響を受けないことを確実にするように、製造保証ハロ内のチップ・レイアウト形状をコントロールするステップ3105と、を備える。
【選択図】図31

Description

より高い性能とより小さいダイサイズへの要求は、半導体産業が回路チップ面積を2年ごとに約50%縮小させることを推進する。チップ面積の縮小は、より新しい技術への移行という経済的利益をもたらす。50%のチップ面積縮小は、形状寸法を25%と30%の間で縮小させることで、達成される。形状寸法の縮小は、製造装置と材料を改良することによって可能になる。例えば、化学機械研磨(CMP)の改良は、一部分において、より多層の配線層を可能にしたが、リソグラフィプロセスの改良は、より小さい形状寸法の達成を可能にした。
リソグラフィの進化において、最小形状寸法が、形状を露光するのに使用される光源の波長に近づいたとき、意図しない相互作用が隣接する形状の間に起きた。フォトリソグラフィプロセスで使用される光源の波長が、193nmに留まっているにもかかわらず、今日、最小形状寸法は、45nm(ナノメートル)に近づいている。最小形状寸法と、フォトリソグラフィプロセスで使用される光の波長との間の差は、リソグラフィギャップとして定義される。リソグラフィギャップが大きくなるのに従って、リソグラフィプロセスの分解能の許容性が減少する。
マスク上の各形状が光と相互作用して干渉縞が発生する。隣接する形状からの干渉縞は、建設的または破壊的な干渉を引き起こし得る。建設的な干渉の場合では、求められていない形状が偶然に作られてもよい。破壊的な干渉では、必要な形状が偶然に取り除かれてもよい。どちらの場合でも、特定の形状が意図するのと異なった方法でプリントされ、デバイス故障を引き起こすおそれがある。光近接効果補正(OPC)などの補正方法は、隣接する形状からの影響を予測して、プリントされた形状が望み通りに製作されるようにマスクを修正することを企図したものである。プロセス幾何が縮小して、光相互作用がより複雑になるのに応じて、光相互作用の予測品質が低下している。
上記の観点から、半導体デバイスのより小さい形状寸法の方向へ、技術が進歩し続けるのに、リソグラフィギャップ問題を管理する解決方法が必要とされる。
本発明のある態様は、半導体チップ上に製造されるべきダイナミックアレイ・セクションの定義方法である。この方法は、ダイナミックアレイ・セクションの周辺境界を定義するステップと、ダイナミックアレイ・セクションの境界外側に製造保証ハロを定義するステップと、製造保証ハロ内のチップ・レイアウト形状によってダイナミックアレイ・セクションの境界内側に導電体形状を製造するステップが悪影響を受けないことを確実にするように、製造保証ハロ内のチップ・レイアウト形状をコントロールするステップと、を備える。ダイナミックアレイ・セクションの周辺境界から垂直方向に離れる製造保証ハロの範囲は、ダイナミックアレイ・セクションの境界内側に導電体形状を製造するステップに対して製造保証ハロの外側に定義された各チップ・レイアウト形状が悪影響を与える能力を有しないことを確実にするように定義される。
本発明の別の態様は、一以上の機能的にインターフェースされたダイナミックアレイ・セクションを有する半導体チップの設計方法である。この方法は、チップの一部上に定義されるべきダイナミックアレイ・セクションを選択するステップであって、選択されたダイナミックアレイ・セクションが選択されたダイナミックアレイ・セクションの境界外側に定義された関連する製造保証ハロを有するステップと、選択されたダイナミックアレイ・セクションの製造可能性に悪影響を与えないように、選択されたダイナミックアレイ・セクションに関連せずに製造保証ハロ内に含まれるレイアウト形状が製造保証ハロに適合するようにして、選択されたダイナミックアレイ・セクションをチップの一部のレイアウト内に配置するステップと、を備える。選択されたダイナミックアレイ・セクションの境界から垂直方向に離れる製造保証ハロの範囲は、製造保証ハロの外側で定義された各チップ・レイアウト形状が選択されたダイナミックアレイ・セクションの境界内側の導電体形状の製造に悪影響を与える能力を有しないことを確実にするように定義される。
本発明のさらに別の態様は、半導体チップである。この半導体チップは、周辺境界を有するダイナミックアレイ・セクションであって、ダイナミックアレイ・セクションが周辺境界内のチップの複数のレベルにより定義され、ダイナミックアレイ・セクションがチップの複数のレベルのそれぞれ内に定義される多くの線形導電体形状を含み、各線形導電体形状がその線形導電体形状が定義されるチップのレベルに関連する仮想格子のラインに沿って定義されるダイナミックアレイ・セクションと、ダイナミックアレイ・セクションの周囲に定義される製造保証ハロと、を備える。ダイナミックアレイ・セクション内に定義される線形導電体形状の多くが、製造保証ハロを通ってダイナミックアレイ・セクションの周辺境界の外側に延びるように定義され、ダイナミックアレイ・セクションの周辺境界から垂直方向に離れる製造保証ハロの範囲は、ダイナミックアレイ・セクションの周辺境界の内側に導電体形状を製造することに対して製造保証ハロの外側で定義された各チップ・レイアウト形状が悪影響を与える能力を有しないことを確実にするように定義される。
本発明の他の特徴と長所は、添付図面に関連して得られた、本発明の一例として説明した、以下の詳細な説明により、より明らかになるであろう。
本発明の一実施形態において、多くの隣接するレイアウト形状と、レイアウト形状のそれぞれの描画に使用される光強度の代表例を示す図である。 本発明の一実施形態において、ダイナミックアレイ・アーキテクチャを定義付けするのに使用されるレイアウトの積み重ねの概略を示す図である。 本発明の一実施形態において、限定的なトポロジの定義付けを容易にするダイナミックアレイに投影される基本グリッドの一例を示す図である。 本発明の一実施形態において、ダイの分離領域にわたって投影される分離基本グリッドの一例を示す図である。 本発明の一実施形態において、ダイナミックアレイに適合するように定義付けされた線形形状の一例を示す図である。 本発明の一実施形態において、ダイナミックアレイに適合するように定義付けされた線形形状の別の一例を示す図である。 本発明の一実施形態において、ダイナミックアレイの一例の拡散層レイアウトを示す図である。 本発明の一実施形態において、ゲート電極層と、図4の拡散層の上及び隣の拡散コンタクト層を示す図である。 本発明の一実施形態において、図5のゲート電極層の上及び隣に定義付けされたゲート電極コンタクト層を示す図である。 ゲート電極のコンタクトを作る従来のアプローチを示す図である。 本発明の一実施形態により定義付けされるゲート電極コンタクトを示す図である。 本発明の一実施形態において、図6のゲート電極コンタクト層の上及び隣に定義付けされたメタル1層を示す図である。 他のメタル1トラックにおいて、メタル1のグランド及びパワーのトラックのためのより幅の広いトラックを有する図8Aのメタル1層を示す図である。 本発明の一実施形態において、図8Aのメタル1層の上及び隣に定義付けされたビア1層を示す図である。 本発明の一実施形態において、図9のビア1層の上及び隣に定義付けされたメタル2層を示す図である。 本発明の一実施形態において、第1及び第2参照方向(x)及び(y)に対して第1対角線方向にダイナミックアレイを横断する導電体トラックを示す図である。 本発明の一実施形態において、第1及び第2参照方向(x)及び(y)に対して第2対角線方向にダイナミックアレイを横断する導電体トラックを示す図である。 本発明の一実施形態において、リソグラフィ補強の拡散コンタクト及びゲート電極コンタクトに使用されるサブ解像度コンタクトレイアウトの一例を示す図である。 本発明の一実施形態において、可能な範囲内でグリッドを満たすように定義付けされたサブ解像度のコンタクトを有する図13Aのサブ解像度コンタクトレイアウトを示す図である。 本発明の一実施形態において、種々の形状をしたサブ解像度コンタクトを利用したサブ解像度コンタクトレイアウトの一例を示す図である。 本発明の一実施形態において、サブ解像度コンタクトを有する交互位相シフトマスク(APSM)の一例を示す図である。 本発明の一実施形態において、半導体チップ構成を示す図である。 本発明の一実施形態において、ダイナミックアレイ・アーキテクチャを実装する典型的なチップを示す図である。 本発明の一実施形態において、ダイナミックアレイ・アーキテクチャ領域のブランクキャンバスを示す図である。 本発明の一実施形態において、ダイナミックアレイ・アーキテクチャ領域内で定義された多くの典型的なダイナミックアレイ・セクションを示す図である。 本発明の一実施形態において、ダイナミックアレイ・アーキテクチャ領域内のより高い垂直位置に定義された多くのダイナミックアレイ・セクションを示す図である。 本発明の一実施形態において、図17Bのダイナミックアレイ・セクション(DAS)の積み重ねの側面図を示す図である。 本発明の一実施形態において、DAS10の基板レベルを示す図である。 本発明の一実施形態において、DAS10例のゲート電極レベルの仮想格子を示す図である。 本発明の一実施形態において、隣接するコンタクトされたゲート電極形状間の最小中心―中心間スペーシングの2分の1のピッチに設定されたゲート電極レベルの仮想格子を示す図である。 本発明の一実施形態において、図19Aのゲート電極レベルの仮想格子の各ラインに沿って定義されたノーカットの線形導電体形状を示す図である。 本発明の一実施形態において、図19A1のゲート電極レベルの仮想格子の様々なラインに沿って定義された線形導電体形状を示す図である。 本発明の一実施形態において、図19Bの線形導電体形状の区分化を示す図である。 機能を持たない線形導電体形状が削除された領域を有する図19Cのゲート電極レベルの区分化形状を示す図である。 本発明の一実施形態において、DAS10例の第1の配線レベルの仮想格子を示す図である。 本発明の一実施形態において、第1の配線レベルの隣接するコンタクトされた導電体形状間の最小中心−中心間スペーシングの2分の1のピッチに設定された第1の配線レベルの仮想格子を示す図である。 本発明の一実施形態において、図20Aの第1の配線レベルの仮想格子の各ラインに沿って定義されたノーカットの線形導電体形状を示す図である。 本発明の一実施形態において、図20A1の第1の配線レベルの仮想格子の様々なラインに沿って定義された線形導電体形状を示す図である。 本発明の一実施形態において、図20Bの線形導電体形状の区分化を示す図である。 本発明の一実施形態において、DAS10例の第2の配線レベルの仮想格子を示す図である。 本発明の一実施形態において、第2の配線レベルの仮想格子とゲート電極レベルの導電体形状間での3:2ピッチ関係に基づいて定義された、DAS10例の第2の配線レベルの仮想格子とゲート電極レベルの仮想格子間の間隔関係を示す図である。 本発明の一実施形態において、第2の配線レベルの仮想格子の各ラインに沿って定義されたノーカットの線形導電体形状を示す図である。 本発明の一実施形態において、第2の配線レベル内の線形導電体形状の区分化を示す図である。 本発明の一実施形態において、第2の配線レベルの導電体形状とゲート電極レベルの導電体形状間の4:3のピッチ関係に基づいて定義された、DAS10例の第2の配線レベルの仮想格子を示す図である。 本発明の一実施形態において、第2の配線レベルの導電体形状とゲート電極レベルの導電体形状間の4:3のピッチ関係に基づいて定義された、ダイナミックアレイ・セクションの第2の配線レベル仮想格子とゲート電極レベルの仮想格子間の間隔関係を示す図である。 本発明の一実施形態において、第2の配線レベルの仮想格子の各ラインに沿って定義されたノーカットの線形導電体形状を示す図である。 本発明の一実施形態において、第2の配線レベル内の図22Cの線形導電体形状の区分化を示す図である。 本発明の一実施形態において、識別された多くの典型的なヴィア位置を有する、図22Dの第2の配線レベルを示す図である。 本発明の一実施形態において、DAS10例の第3の配線レベルの仮想格子を示す図である。 本発明の一実施形態において、DAS10例の第3の配線レベルの仮想格子と第1の配線レベルの仮想格子間の間隔関係を示す図である。 本発明の一実施形態において、第3の配線レベルの仮想格子の各ラインに沿って定義されたノーカットの線形導電体形状を示す図である。 本発明の一実施形態において、第3の配線レベル内の線形導電体形状の区分化を示す図である。 本発明の一実施形態において、それぞれの製造保証境界適合性指定を有する多くの典型的なDASを示す図である。 本発明の一実施形態において、それぞれの製造保証境界適合性指定に従って、DASグリッド上の図25AのDASの典型的なアセンブリを示す図である。 本発明の一実施形態において、意図的に定義された空領域を有するそれぞれの製造保証境界適合性指定に従った、DASグリッド上の図25AのDASの典型的なアセンブリを示す図である。 本発明の一実施形態において、典型的なDASのあるレベルを示す図である。 本発明の一実施形態において、多くの補強形状を含むように事前定義されたDASハロ領域を有する図26A−1の典型的なDASを示す図である。 本発明の一実施形態において、別の典型的なDASのレベルを示す図である。 本発明の一実施形態において、多くの補強形状を含むように事前定義されたDASハロ領域を有する図26B−1の典型的なDASを示す図である。 本発明の一実施形態において、図26A−2のDASと図26B−2のDASのDASグリッド上への典型的な配置を示す図である。 本発明の一実施形態において、それぞれのDASハロを考慮せずに、図26A−2のDASと図26B−2のDASのDASグリッド上への典型的な配置を示す図である。 本発明の一実施形態において、DASハロ領域を形成するために、DASクラスタの周辺に定義されたDASハロ境界を有する、図26C−2のDASクラスタを示す図である。 本発明の一実施形態において、図26C−3のDASハロ領域の定義されたコンテンツを示す図である。 本発明の一実施形態において、中に定義された多くのDAS配線セグメントを有する、図26C−4のDASクラスタの特定のレベルを示す図である。 本発明の一実施形態において、半導体チップのダイナミックアレイ・アーキテクチャ領域の定義方法のフローチャートを示す図である。 本発明の一実施形態において、半導体チップのダイナミックアレイ・アーキテクチャ領域の定義方法のフローチャートを示す図である。 本発明の一実施形態において、論理セルを定義する典型的なDASを示す図である。 本発明の一実施形態において、DAS境界を超えて延びるDAS構成要素を共有するように、互いに隣接して配置された図27AのDASの多くの例を示す。 本発明の一実施形態において、1つまたは複数の機能的にインターフェースされたダイナミックアレイ・セクションを有する半導体チップの設計方法のフローチャートを示す図である。 本発明の一実施形態において、図28Aの方法のフローチャートの続きを示す図である。 本発明の一実施形態において、図28Bの操作2809の展開を示す図である。 本発明の一実施形態において、1つまたは複数の機能的にインターフェースされたダイナミックアレイ・セクションを有する半導体チップの設計方法のフローチャートを示す図である。 本発明の一実施形態において、図29Aの操作2907の展開を示す図である。 本発明の一実施形態において、半導体チップのDAS設計方法のフローチャートを示す図である。 本発明の一実施形態において、半導体チップ上に製造されるダイナミックアレイ・セクションの定義方法のフローチャートを示す図である。 本発明の一実施形態において、1つまたは複数の機能的にインターフェースされたダイナミックアレイ・セクションを有する半導体チップの設計方法のフローチャートを示す図である。 本発明の一実施形態において、DAS内に定義された、隣接して配置された論理セルの第2の配線レベルにおける異なるフェイジングの例を示す図である。
以下の説明において、本発明の理解を深めるために多くの詳細な説明が示される。しかし、これらの詳細な説明のいくつか又はすべてがなくても、本発明が実施されることが、当業者にとって明らかであろう。他の例では、本発明が不必要に不明確とならないように、よく知られたプロセス操作は、詳細に説明していない。
一般的に、ダイナミックアレイ・アーキテクチャは、増加し続けるリソグラフィギャップに関連した半導体製造プロセス変動に取り組むために提供される。半導体製造の領域において、リソグラフィギャップは、定義付けされる形状の最小寸法と、リソグラフィプロセスの形状の定義付けに使用される光の波長との差として定義されるが、ここでは、形状寸法は光の波長よりも小さい。現在のリソグラフィプロセスは、波長が193nmの光を使用する。しかし、現在の形状寸法は65nmと小さく、近い将来45nmに達すると考えられる。寸法が65nmの場合、その形状は、形状を定義付けするのに使用される光の波長より3倍小さい。また、光の相互作用の範囲がおよそ5光波長であることを考えると、当然のことながら、193nmの光源で露光される形状は、およそ5*193nm(1965nm)の形状の露光の影響から離される。90nm寸法形状に対して65nm寸法形状を考えたとき、当然のことながら、193nm光源の1965nmの相互作用範囲内に、90nm寸法形状と比較して、およそ2倍の数の65nm寸法形状が入るであろう。
光源の相互作用範囲内の形状の数の増加により、所定の形状の露光に寄与する光の干渉の制限及び複雑性は、顕著である。さらに、光源の相互作用範囲の中にある形状に関連する特定の形状は、発生する光の相互作用の種類に大きく影響する。従来は、設計者は、1組のデザインルールが満たされていれば、どんな2次元トポロジの形状であっても、本来、定義することが許されていた。例えば、所定のチップの層(すなわち、所定のマスク)において、設計者は、お互いの周りを包む屈曲を持つ2次元の変化する形状を定義付けてもよい。このような2次元の変化する形状がお互いに隣接して配置されたとき、形状を露光するのに使用される光は、複雑に、通常は考えられない方法で相互作用するであろう。形状寸法と相対間隔が小さくなるにつれ、光の相互作用は、増加しつつ、より複雑かつ予期できないものとなる。
従来は、設計者が確立したデザインルール・セットに従えば、その結果の製品は、デザインルール・セットと関連して一定の確率で量産可能であろう。言い換えると、デザインルール・セットに違反する設計にとって、結果としての製品の量産が成功する確率は未知である。隣の2次元の変化形状の間の複雑な光の相互作用と取り組むために、成功的な製品量産の興味において、2次元の変化形状の可能な組み合わせと適切に取り組むことにより、デザインルール・セットが大きく拡張される。拡張されたデザインルール・セットの適用が、おそらく、時間のかかるものとなり、高いものとなり、エラーを起こす傾向になり、この拡張されたデザインルール・セットは、すぐに複雑で扱いにくいものになる。例えば、拡張されたデザインルール・セットは、複雑な検証が必要とされる。また、拡張されたデザインルール・セットは、広く一般に適用できないであろう。さらに、すべてのデザインルールが満たされたとしても、量産歩留まりは保証されない。
当然のことながら、任意の形をした2次元形状を描画するときのすべての光の相互作用の正確な予測は、一般に不可能であることがわかる。その上、拡張されたデザインルール・セットの代わりとして、または組み合わせるものとして、隣接する2次元の変化形状の間の予期できない光の相互作用を考慮に入れて増加させたマージンを含んで、デザインルール・セットもまた、修正されてもよい。なぜなら、デザインルールはランダムな2次元形状トポロジをカバーするために確立されたものであるので、デザイルールは、大量のマージンを含ませることができる。デザインルール・セットにおけるマージンの追加は、隣接する2次元の変化形状をレイアウト部分が含むのを助けるが、そのような全体的なマージンの追加は、隣接する2次元の変化形状を含まないレイアウトの他の部分に過剰設計を起こし、そして、チップ面積利用率と電気特性の最適化の減少を導くことになる。
上記の観点から、半導体製造歩留まりは、設計依存の無拘束の形状トポロジ(すなわち、お互いに近接して置かれた任意の2次元の変化形状)により生成される不安定性から派生した特性上の欠陥の結果として減少する。一例として、これらの特性上の欠陥は、正確にプリントされたコンタクトとビアの欠陥、および製造プロセスの不安定性の結果であってもよい。製造プロセスの不安定性は、CMPのわん状変形、フォトリソグラフィによるレイアウト形状の歪み、ゲートの歪み、酸化膜厚さの不安定性、インプラントの不安定性、及び他の製造関連現象を含んでもよい。本発明によるダイナミックアレイ・アーキテクチャは、上記の半導体製造プロセスの不安定性に取り組むことを目的とする。
図1は、本発明の一実施形態において、多くの隣接するレイアウト形状と、レイアウト形状のそれぞれの描画に使用される光強度の代表例を示す図である。特に、3つの隣接する線形レイアウト形状(101A−101C)が、所定のマスク層の中で、実質的に平行関係に配列されたものとして描かれている。レイアウト形状からの光強度の分布は、シンク関数として表されている。シンク関数(103A−103C)は、各レイアウト形状(101A−101C、それぞれ)からの光強度の分布を表す。隣接する線形レイアウト形状(101A−101C)は、シンク関数(103A−103C)のピークに対応した位置に間隙を介して配置されている。隣接する線形レイアウト形状(101A−101C)に関する光エネルギ間の建設的な干渉(すなわち、シンク関数(103A−103C)のピーク)は、図示した間隔のあいたレイアウト形状にとって、隣接する形状(101A−101C)の露光を補強するように働く。上記において、図1に示した光の相互作用は、同期の場合を示す。
図1に示すように、線形レイアウト形状が適当な間隔を介して規則的な繰り返しパターンで定義付けされたとき、種々のレイアウト形状における光エネルギの建設的な干渉は、それぞれのレイアウト形状の露光を増強するように働く。建設的な光の干渉によりもたらされたレイアウト形状の露光の増強は、レイアウト形状の充分な描画を得るための光近接効果補正(OPC)及び/又はレティクル増強技術(RET)を使用する必要性を動的に減少し、排除さえすることができる。
禁止されたピッチ(すなわち、禁止されたレイアウト形状間隔)は、隣接するレイアウト形状(101A−101C)が、別のレイアウト形状と関連してシンク関数の谷を持つ1つのレイアウト形状配列に関してのシンク関数のピークのような間隔があるときに発生し、これにより光エネルギの破壊的な干渉を発生する。光エネルギの破壊的な干渉は、所定の場所が減少されるように焦点が合わせられた光エネルギを発生させる。したがって、隣接するレイアウト形状に関して有益な建設的な光の干渉を実現するために、シンク関数のピークの構成的なオーバーラップが発生するような間隔が空けられたレイアウト形状を予測することが必要である。予期可能なシンク関数のピークの構成的なオーバーラップと関係するレイアウト形状の増強は、図1のレイアウト形状(101A−101C)に示されるように、レイアウト形状が長方形、近似寸法、及び同一方向配向の場合に実現できる。このように、隣接するレイアウト形状からの共振光エネルギは、特定のレイアウト形状の露光を増強するのに使用される。
図2は、本発明の一実施形態において、ダイナミックアレイ・アーキテクチャを定義付けするのに使用されるレイアウトの積み重ねの概略を示す図である。当然のことながら、図2を参照して記述されるダイナミックアレイ・アーキテクチャを定義付けするのに使用される生成された層の積み重ねは、CMOS製造プロセスの網羅的な記述を表すことを意図するものではない。しかし、ダイナミックアレイは標準的なCMOS製造プロセスに基づいて構成されるものである。一般的に言って、ダイナミックアレイ・アーキテクチャは、下になるダイナミックアレイ構造の定義付けと、面積占有率と量産性の最適化のためのダイナミックアレイの組み立てのテクニックの両方を含む。したがって、ダイナミックアレイは、半導体製造可能性を最適化するために設計される。
下にあるダイナミックアレイ構造の定義付けに関しては、ダイナミックアレイは、ベース基板201の上(すなわち、シリコン基板又はシリコンオンインシュレイタ(SOI)基板の上)の層手段で構成される。拡散領域203は、ベース基板201の電気的特性を変える目的で不純物が導入されたベース基板201の選択領域を表す。拡散領域203の上に、拡散領域203と導電体ラインの間の接続を可能にするための拡散コンタクト205が定義付けされている。例えば、拡散コンタクト205は、ソース及びドレイン拡散領域203とそれらに関する導電体ネットとの間の接続を可能にするものとして定義付けされる。また、ゲート電極形状207は、拡散領域203の上でトランジスタゲートを形成するものとして定義付けされる。ゲート電極コンタクト209は、ゲート電極形状207と導電体ラインとの間の接続を可能にするものとして定義付けされる。例えば、ゲート電極コンタクト209は、トランジスタゲートとそれらに関連する導電体ネットとの間の接続を可能にするものとして定義付けされる。
配線層は、拡散コンタクト205層とゲート電極コンタクト209の上で定義付けされる。配線層は、第1メタル(メタル1)層211、第1ビア(ビア1)層213、第2メタル(メタル2)層215、第2ビア(ビア2)層217、第3メタル(メタル3)層219、第3ビア(ビア3)層221、及び第4メタル(メタル4)層223を含んでいる。メタル及びビア層は、所望の回路接続性の定義付けを可能にする。例えば、メタル及びビア層は、回路の論理機能が実現されるように、種々の拡散コンタクト205とゲート電極コンタクト209の間の電気的接続を可能にする。当然のことながら、ダイナミックアレイ・アーキテクチャは、特定数の配線層(すなわち、メタル及びビア層)に制限されない。一実施形態において、ダイナミックアレイは、第4メタル(メタル4)層223を越えて追加の配線層225を含んでもよい。代わりに、別の実施形態では、ダイナミックアレイは、4つより少ないメタル層を含んでもよい。
ダイナミックアレイは、定義付けされることができるレイアウト形状に関して、そのような層(拡散領域層203以外)が制限されるように定義付けされる。特に、拡散領域層203以外のそれぞれ層において、線形レイアウト形状のみが許される。所定の層の線形レイアウト形状は、一定の垂直断面形状を有し、基板を越えて単一方向に伸びるものとして特徴付けられる。したがって、線形レイアイアウト形状は、1次元変位の構成で定義付けされる。拡散領域203は、1次元変位であることは必要とされないが、必要であれば、それも許される。特に、基板の中の拡散領域203は、基板の上表面と一致した平面に関して2次元的に変化する形状を持つものとして定義付けられることが可能である。一実施形態において、拡散屈曲トポロジの数は、拡散内の屈曲と、トランジスタのゲート電極を形成する導電体メタル(例えば、ポリシリコン)の間の相互作用は、予期可能であり、正確にモデリングできるというように制限される。所定の層の線形レイアウト形状は、お互いに平行になるように配置される。したがって、所定の線形レイアウト形状は、基板にわたって共通の方向に、基板と平行に伸びる。種々の層207−223の線形形状の特定の構成と関連する必要性は、図3−15Cに関連してさらに説明される。
下に横たわるレイアウトのダイナミックアレイの方法論は、所定の層の隣接する形の露光を強化するためのリソグラフィプロセスにおける光波の建設的な光の干渉を使用する。したがって、所定の層における平行な線形レイアウト形状の間隔は、リソグラフィ補正(例えば、OPC/RET)が最小化され、または排除されるような継続する光波の建設的な光の干渉の回りで設計される。したがって、従来のOPC/RETベース・リソグラフィプロセスと比較して、ここで定義されるダイナミックアレイは、隣接する形状の間の光の相互作用を補償するものではなく、隣接する形状の間の光の相互作用を活用するもので
ある。
所定の線形レイアウト形状の光定常波は、正確にモデル化できるため、所定の層に平行に配置された隣接する線形レイアウト形状に関する光定常波がどのように相互作用するかを予測することが可能である。したがって、ある線形形状を露光するのに使用される光定常波が、その隣の線形形状の露光にどのように寄与するかを予測することが可能である。隣接する線形形状の間の光の相互作用の予測は、所定の形を描画するのに使用される光がその隣の形を補強するであろう最適な形状−形状間スペーシングの識別を可能にする。所定の層の形状−形状間スペーシングは、形状ピッチとして定義される。ここで、ピッチとは、所定の層における隣接する線形形状の中心−中心間の分離距離である。
隣接する形状間の所望の露光補強を提供するために、隣同士のすべての形状の最良の描画を生むように、隣接する形状からの建設的及び破壊的な光の干渉が最適化されるように、所定の層の線形レイアウト形状は、間隔を空けられる。所定の層の形状−形状間スペーシングは、その形状を露光するのに使用される光の波長に比例する。所定の形状から光の波長の約5倍の距離にある各形状を露光するのに使用される光は、ある程度、所定の形状の露光を補強するように働く。隣接する形状を露光するのに使用される光定常波の建設的な干渉の活用は、製造装置の能力を最大化し、リソグラフィプロセスの最中の関連する光の相互作用によって制限されないようにすることが可能になる。
上述のとおり、ダイナミックアレイは、それぞれの層(拡散以外)の中の形状が、共通方向に向かって基板上を横断するように平行に配向された線形形状であることを必要とされるという限定的なトポロジを取り入れている。ダイナミックアレイの限定的なトポロジで、フォトリソグラフィプロセスの光の相互作用は、マスク上にプリントされた画像は本質的にレイアウトに描かれた形と一致するように最適化され得る(本質的に100%の正確さでレジスト上のレイアウトの変換が達成される)。
図3Aは、本発明の一実施形態において、限定的なトポロジの定義付けを容易にするダイナミックアレイに投影される基本グリッドの一例を示す図である。基本グリッドは、ダイナミックアレイのそれぞれの層内の線形形状を、適切な最適化されたピッチで平行に配置することを容易にするのに使用することができる。ダイナミックアレイの部分として物理的に定義付けされていないが、基本グリッドは、ダイナミックアレイのそれぞれの層上に投影されるものとして考えることができる。また、基本グリッドは、ダイナミックアレイのそれぞれの層の位置に関して実質的に一致した手段で投影され、これにより形状の正確な積み重ねと位置あわせを容易にすることが理解されるにちがいない。
図3Aの一実施形態において、基本グリッドは、第1参照方向(x)及び第2参照方向(y)に基づく長方形グリッド(すなわち、デカルト格子)として定義されている。第1参照方向及び第2参照方向におけるグリッド点−グリッド点間スペーシングは、必要に応じて、最適な形状−形状間スペーシングでの線形形状の定義付けを可能にするように定義される。また、第1参照方向(x)におけるグリッド点スペーシングは、第2参照方向(y)におけるグリッド点スペーシングと異なるようにしてもよい。一実施形態において、1つの基本グリッドは、全ダイをわたるそれぞれの層内の種々の線形形状の配置を可能にするために全ダイをわたって投影される。しかし、他の実施形態において、分離された基本グリッドは、ダイの分離された領域の中の異なる形状−形状間スペーシングの要求を支持するため、ダイの分離された領域にわたって投影されることができる。図3Bは、本発明の一実施形態において、ダイの分離領域にわたって投影される分離基本グリッドの一例を示す図である。
基本グリッドは、光の相互作用機能を考慮して定義される(すなわち、シンク関数、及び製造能力。ここで、製造能力は、ダイナミックアレイの製造に利用される製造装置及びプロセスによって定義される。)。光の相互作用機能に関して、基本グリッドは、グリッド点間のスペーシングが、隣接するグリッド点の上に投影される光エネルギを示すシンク関数のピークの位置合わせを可能にするように定義される。したがって、リソグラフィック補強用に最適化された線形形状は、第1グリッド点から第2グリッド点までラインを描くことによって明示することができる。ここで、ラインは、所定の幅の長方形構造を表す。当然のことながら、それぞれの層の種々の線形形状は、基本グリッド上のそれらの終点位置とそれらの幅によって明示することができる。
図3Cは、本発明の一実施形態において、ダイナミックアレイに適合するように定義付けされた線形形状301の一例を示す図である。線形形状301は、幅303及び高さ307で定義される実質的に長方形の断面を持つ。線形形状301は、長さ305方向に直線的に伸びている。一実施形態において、幅303及び高さ307で定義された線形形状の断面は、実質的にその長さ305に沿って一定である。しかし、当然のことながら、リソグラフィック効果は、線形形状301の終端の丸めを起こしていてもよい。図3Aの第1参照方向(x)及び第2参照方向(y)は、それぞれ、ダイナミックアレイ上の線形形状の配向の一例を図示している。当然のことながら、線形形状は、第1参照方向(x)、第2参照方向(y)、及び第1及び第2参照方向(x),(y)に基づいて定義される対角線方向のいずれかに伸びた長さ305を持つように配向されていてもよい。第1及び第2参照方向(x),(y)に基づく線形形状の特定の配向にかかわらず、当然のことながら、線形形状は、ダイナミックアレイが構成される基板の上の表面と実質的に平行な平面で定義される。また、当然のことながら、線形形状は、屈曲(すなわち、第1及び第2参照方向で定義された平面の方向の変化)がない。
図3Dは、本発明の一実施形態において、ダイナミックアレイに適合するように定義付けされた線形形状317の別の一例を示す図である。線形形状317は、底辺幅313、上辺幅315、及び高さ309で定義される台形の断面を持っている。線形形状317は、長さ311の直線方向に伸びている。一実施形態において、線形形状317の断面は、長さ311に沿って実質的に均一である。しかし、当然のことながら、リソグラフィック効果は、線形形状317の終端の丸めを起こしていてもよい。図3Aの第1参照方向(x)及び第2参照方向(y)は、それぞれ、ダイナミックアレイ上の線形形状の配向の一例を図示している。当然のことながら、線形形状317は、第1参照方向(x)、第2参照方向(y)、及び第1及び第2参照方向(x),(y)に基づいて定義される対角線方向のいずれかに伸びた長さ311を持つように配向されていてもよい。第1及び第2参照方向(x),(y)に基づく線形形状317の特定の配向にかかわらず、当然のことながら、線形形状317は、ダイナミックアレイが構成される基板の上の表面と実質的に平行な平面で定義される。また、当然のことながら、線形形状317は、屈曲(すなわち、第1及び第2参照方向で定義された平面の方向の変化)がない。
図3C及び図3Dは、長方形及び台形の断面を持つ線形形状を明示的に説明するが、それぞれ、当然のことながら、他のタイプの断面を持つ線形形状がダイナミックアレイの中で定義されることが可能である。したがって、一方向に伸びる長さを持ち、第1参照方向(x)、第2参照方向(y)、及び第1及び第2参照方向(x),(y)に基づいて定義される対角線方向のいずれかに伸びた長さを持つように配向されるものとして線形形状が定義される限り、本質的にすべての、線形形状の適合した断面形状の使用が可能である。
ダイナミックアレイのレイアウトアーキテクチャは、基本グリッドパターンに従う。したがって、拡散内の方向変化が発生する場所、ゲート電極及びメタルの線形形状が配置される場所、コンタクトが配置される場所、線形形状のゲート電極とメタル形状内のオープンの場所などを表すのにグリッド点を使用することが可能である。グリッド点のピッチ(すなわち、グリッド点−グリッド点間スペーシング)は、隣接する所定の形状のライン幅の線形形状の露光が、お互いに補強するように、所定の形状のライン幅(例えば、図3Cの幅303)に設定されるべきである。ここでは、線形形状の中心はグリッド点上にある。図2のダイナミックアレイの積み重ねと、図3Aの基本グリッドの一例に関して、一実施形態では、第1参照方向(x)のグリッド点スペーシングは、必要なゲート電極のゲートピッチによって設定される。この同じ実施形態において、第2参照方向(y)のグリッド点ピッチは、メタル1及びメタル3のピッチにより設定される。例えば、90nmプロセス技術において(すなわち、最小形状寸法が90nmに等しい)、第2参照方向(y)のグリッド点ピッチは約0.24ミクロンである。一実施形態において、メタル1及びメタル2層は、共通のスペーシング及びピッチを持つであろう。異なるスペーシング及びピッチは、メタル2層の上で使用されることが可能である。
ダイナミックアレイの種々の層は、隣接する層の線形形状が、それぞれお互いに交差するように伸びるように定義付けされる。例えば、隣接する層の線形形状が直交して(お互いに垂直に)伸びることが可能である。また、1つの層の線形形状が、隣接する層の線形形状に対してある角度を持って(例えば、45度で)横切って伸びることが可能である。例えば、一実施形態において、1つの層の線形形状が第1参照方向(x)に伸び、隣接する層の線形形状が、第1参照方向(x)及び第2参照方向(y)に対して対角線方向に伸びる。当然のことながら、隣接する層の直交する線形形状の配置を持つダイナミックアレイの配線接続設計のために、オープンは、線形形状で定義付けすることが可能であり、コンタクト及びヴィアは必要に応じて定義付けされる。
ダイナミックアレイは、予測できないリソグラフィの相互作用を排除するために、ケイアウト形状の屈曲の使用を最小化する。特に、OPC又は他のRETプロセスに先立って、ダイナミックアレイは、デバイス寸法の制御を可能にするため拡散層の屈曲を許すが、拡散層の上の層についての屈曲は許されない。拡散層の上のそれぞれの層のレイアウト形状は、直線的な形であり(例えば、図3C)、お互いに平行の関係で配置される。レイアウト形状の直線的な形と平行配置は、建設的な光の干渉の予測性が量産性を確保するために必要であるところのダイナミックアレイのそれぞれの積み重ね層で実現される。一実施形態において、レイアウト形状の直線的な形と平行配置は、拡散の上のメタル2を介したそれぞれの層で、ダイナミックアレイで実現される。メタル2の上では、レイアウト形状は、量産性を確実にするのに建設的な光の干渉が必要とされない充分な寸法及び形であってもよい。しかし、メタル2の上の描かれたレイアウト形状内の建設的な光の干渉の存在は、有益であるだろう。
図4から図14に、拡散からメタル2までのダイナミックアレイ層の積み上げの一例が示されている。当然のことながら、図4から図14に示されたダイナミックアレイは、一例としてのみ表したものであり、ダイナミックアレイ・アーキテクチャの限定を示唆するものではない。ダイナミックアレイは、すべての集積回路設計を本質的に定義付けするために、ここで示された原理に従って使用されることが可能である。
図4は、本発明の一実施形態において、ダイナミックアレイの一例の拡散層レイアウトを示す図である。図4の拡散層は、p型拡散領域401とn型拡散領域403を示す。拡散領域は、下にある基本グリッドにより定義付けされるが、拡散領域は、拡散層の上の層についての線形形状の制限に従わなければならないものではない。拡散領域401及び403は、拡散コンタクトが配置されるように定義付けされた拡散四角405を含む。拡散領域401及び403は、外来のジョグ又はコーナを含まない。したがって、リソグラフィ解像度の使用が改良され、より正確なデバイス抽出の可能になる。さらに、n+マスク領域(412及び416)及びp+マスク領域(410及び414)が、(x),(y)グリッド上に、外来のジョグ又はノッチのない矩形として定義付けされている。この様式は、より大きな拡散領域の使用を可能とし、OPC/RETの必要性を排除し、より低い解像度及びより低いコストのリソグラフィ装置の使用を可能とする(例えば、365nmのiライン照明など)。当然のことながら、図4に描かれた、n+マスク領域416及びp+マスク領域410は、ウェル−バイアスを使用しない一実施形態のものである。ウェル−バイアスを使用する別の実施形態では、図4に示されたn+マスク領域416は、実際はp+マスク領域として定義付けされる。また、この別の実施形態では、図4に示されたp+マスク領域410は、実際はn+マスク領域として定義付けされる。
図5は、本発明の一実施形態において、ゲート電極層と、図4の拡散層の上及び隣の拡散コンタクト層を示す図である。CMOS技術の当業者が理解できるように、ゲート電極形状501は、トランジスタゲートを定義付けしている。ゲート電極形状501は、第2参照方向(y)に、ダイナミックアレイをわたって平行関係で伸びる線形形状として定義付けされている。一実施形態において、ゲート電極形状501は、共通の幅を持つように定義付けされている。しかし、別の実施形態では、1つ以上のゲート電極形状が、異なる幅を持つものとして定義付けされることが可能である。例えば、図5は、他のゲート電極形状501と比較して、より広い幅を持つゲート電極形状501Aを示している。ゲート電極形状501のピッチ(中心−中心間スペーシング)は、リソグラフィック補強(すなわち、隣接するゲート電極形状501による共振画像化)の最適化を確実にする間、最小化される。説明目的のために、ダイナミックアレイをわたって所定のラインを伸びるゲート電極形状501は、ゲート電極トラックとして参照される。
ゲート電極形状501は、拡散領域403及び401を横切って、それぞれnチャンネル及びpチャンネルトランジスタを形成する。ゲート電極形状501の光学的プリントは、いくつかのグリッド位置には拡散領域が存在しないにもかかわらず、すべてのグリッド位置にゲート電極形状501を描くことによって達成される。また、長く続くゲート電極形状501は、ダイナミックアレイの内部にあるゲート電極形状の終端でのライン終端ショート効果を改良する傾向にある。さらに、ゲート電極形状プリントは、ゲート電極形状501からすべての屈曲をなくすことで、顕著に改良される。
ゲート電極トラックのそれぞれは、特定の論理機能を実現するための必要な電気接続を設けるために、ダイナミックアレイを横切る直線的な横断で、何回も割り込まれる(すなわち、中断される)であろう。所定のゲート電極トラックに割り込みが必要なとき、割り込み位置におけるゲート電極トラックセグメントの終端間の分離は、製造能力及び電気的効果を可能なかぎり考慮に入れて最小化される。一実施形態において、光学的製造可能性は、共通の終端−終端間スペーシングが特定の層の中の形状間で使用されたときに、達成される。
割り込み位置におけるゲート電極トラックセグメントの終端間の分離の最小化は、隣接するゲート電極トラックから供給されるリソグラフィの補強及び均一性の最大化に役立つ。また、一実施形態において、隣接するゲート電極トラックに割り込みが必要な場合、隣接するゲート電極トラックの割り込みは、可能な限り、隣接する割り込み位置が発生するのを避けるように、それぞれの割り込み位置がお互いに相殺されるように行われる。特に、隣接するゲート電極トラックの中の割り込み位置が、見えるラインが割り込み位置を通して存在しないように、それぞれ配置される。ここで、見えるラインは、基板にわたって伸びるゲート電極トラックの方向と垂直に伸びるものと考えられる。さらに、一実施形態において、ゲート電極は、セル(すなわち、PMOS又はNMOSセル)のトップとボトムの境界を通って伸びることが可能である。この実施形態は、隣接するセルの橋渡しを可能とするであろう。
さらに図5により、拡散コンタクト503は、それぞれの拡散四角405で、共振画像に対する拡散コンタクトのプリントを向上させるものとして定義付けられる。拡散四角405は、拡散コンタクト503のパワー及びグランド・コネクション・ポリゴンのプリントを向上させるため、すべての拡散コンタクト503の周りに存在する。
ゲート電極形状501及び拡散コンタクト503は、共通のグリッドスペーシングを分け合っている。特に、ゲート電極形状501の配置は、拡散コンタクト503に関連して2分の1グリッドスペーシングにより相殺される。例えば、ゲート電極形状501と拡散コンタクト503のグリッドスペーシングが0.36μmであり、拡散コンタクトの中心のx座標が0.36μmの整数倍の位置にあるように拡散コンタクトが配置されている場合、ゲート電極形状501のそれぞれの中心のx座標引く0.18μmは、0.36μmの整数倍であるべきである。本実施形態では、x座標は、以下のように表される:
拡散コンタクトの中心のx座標=I*0.36μm,ここでIはグリッド番号;
ゲート電極形状の中心のx座標=0.18μm+I*0.36μm,
ここでIはグリッド番号。
ダイナミックアレイのグリッドベースシステムは、すべてのコンタクト(拡散及びゲート電極)が、拡散コンタクトのグリッドの半分の倍数に等しい水平グリッド、及びメタル1ピッチによって設定される垂直グリッドの上に乗ることを確実にする。上記の例において、ゲート電極形状及び拡散コンタクトのグリッドは、0.36μmである。拡散コンタクト及びゲート電極コンタクトは、0.18μmの倍数の垂直グリッドの上に乗る。また、90nmプロセス技術の垂直グリッドは、およそ0.24μmである。
図6は、本発明の一実施形態において、図5のゲート電極層の上及び隣に定義付けされたゲート電極コンタクト層を示す図である。ゲート電極コンタクト層において、ゲート電極コンタクト601は、上にあるメタル導電ラインへゲート電極形状501を接続することを可能にするように描かれている。一般に、デザインルールは、ゲート電極コンタクト601の最適な配置を規定するであろう。一実施形態において、ゲート電極コンタクトは、トランジスタのエンドキャップ領域の上部に描かれる。デザインルールが長いトランジスタ・エンドキャップを明記しているとき、この実施形態は、ダイナミックアレイ内のホワイトスペースを最小化する。いくつかのプロセス技術において、ホワイトスペースは、セルの多くのゲート電極コンタクトをそのセルの中心に配置することにより、最小化することができる。また、当然のことながら本発明において、ゲート電極コンタクト601は、ゲート電極コンタクト601とゲート電極形状501の間のオーバーラップを確実にするために、ゲート電極形状501と垂直の方向にオーバーサイズされている。
図7Aは、ゲート電極(例えば、ポリシリコン形状)のコンタクトを作る従来のアプローチを示す図である。図7Aの従来の構成において、拡大化された矩形のゲート電極領域707は、ゲート電極コンタクト709が置かれるところに定義付けされる。拡大化された矩形のゲート電極領域707は、ゲート電極内に屈曲距離705を生み出す。拡大化された矩形のゲート電極領域707における屈曲は、望ましくない光の相互作用を起こし、ゲート電極ライン711をゆがめる。ゲート電極ライン711の歪みは、特に、ゲート電極の幅がトランジスタ長とほぼ等しいときに問題になる。
図7Bは、本発明の一実施形態により定義付けされるゲート電極コンタクト601(例えば、ポリシリコンコンタクト)を示す図である。ゲート電極コンタクト601は、ゲート電極形状501のエッジをオーバーラップするように描かれており、ゲート電極形状501と実質的に垂直な方向に伸びている。一実施形態において、ゲート電極コンタクト601は、垂直寸法703が、拡散コンタクト503で使用される垂直寸法と同じになるように描かれている。例えば、拡散コンタクト503のオープニングが0.12μm四角であるように指定されている場合、ゲート電極コンタクト601の垂直寸法は0.12μmで描かれる。しかし、他の実施形態では、垂直寸法703が拡散コンタクト503で使用される垂直寸法と異なるように、ゲート電極コンタクト601は描かれることが可能である。
一実施形態において、ゲート電極形状501を越えたゲート電極コンタクト601の伸長701は、ゲート電極コンタクト601とゲート電極形状501の間で最大のオーバーラップが達成されるように設定される。伸長701は、ゲート電極コンタクト601のライン終端ショート、及びゲート電極コンタクト層とゲート電極形状層との間のミスアライメントを配慮して定義付けされる。ゲート電極コンタクト601の長さは、ゲート電極コンタクト601とゲート電極形状501の間の最大の表面領域コンタクトを確実にするように定義付けされる。ここで、最大の表面領域コンタクトは、ゲート電極形状501の幅によって定義付けされる。
図8Aは、本発明の一実施形態において、図6のゲート電極コンタクト層の上に定義付けされたメタル1層を示す図である。メタル1層は、ダイナミックアレイをわたって平行関係で伸びた線形形状を含むように定義付けされた多くのメタル1トラック801−821を含んでいる。メタル1トラック801−821は、下に横たわる図5のゲート電極層内のゲート電極形状501と実質的に垂直な方向に伸びている。したがって、本実施形態において、メタル1トラック801−821は、ダイナミックアレイをわたって第1参照方向(x)に直線的に伸びている。隣接するメタル1トラック801−821によってもたらされるリソグラフィの補強(すなわち、共振画像)の最適化を確実にすると同時に、メタル1トラック801−821のピッチ(中心−中心間スペーシング)は、最小化される。例えば、一実施形態において、メタル1トラック801−821は、90nmプロセス技術では、約0.24μmの垂直グリッドに中心が合わせられている。
メタル1トラック801−821のそれぞれは、特定の論理機能を実現するための必要な電気接続を設けるために、ダイナミックアレイを横切る直線的な横断で、何回も割り込まれて(すなわち、中断されて)いてもよい。所定のメタル1トラック801−821が割り込まれることが必要なとき、割り込みの位置におけるメタル1トラックセグメントの終端間の分離は、可能なかぎり、製造能力と電気的影響を考慮して最小化される。割り込みの位置におけるメタル1トラックセグメントの終端間の分離の最小化は、隣接するメタル1トラックによってもたらされるリソグラフィの補強、及び均一性を最大化するのに役立つ。また、一実施形態において、隣接するメタル1トラックが割り込まれることが必要なとき、隣接するメタル1トラックの割り込みは、割り込みの隣接する位置の発生を可能な限り避けるように、割り込みのそれぞれの位置がお互いに相殺されるように行われる。特に、隣接するメタル1トラックの中の割り込みの位置は、それぞれ、見えるラインが割り込みの位置を通して存在しないように配置される。ここで、見えるラインは、基板の上を伸びるメタル1トラックの方向と垂直に伸びるものと考えられる。
図8Aの例において、メタル1トラック801はグランドに接続されており、メタル1トラック821は電源電圧に接続されている。図8Aの実施形態において、メタル1トラック801及び821の幅は、他のメタル1トラック803−819と同じである。しかし、別の実施形態において、メタル1トラック801及び821の幅は、他のメタル1トラック803−819の幅より大きい。図8Bは、他のメタル1トラックにおいて、他のメタル1トラック803−819と比較して、メタル1のグランド及び電源のトラックのためのより幅の広いトラック(801A及び821A)を有する図8Aのメタル1層を示す図である。
メタル1トラック・パターンは、“ホワイトスペース”(トランジスタによって占められていないスペース)の使用を最適化するように最適に構成されている。図8Aの例は、2つに分配されたメタル1トラック801及び821と、9つのメタル1信号トラック803−819を含んでいる。メタル1トラック803,809,811及び819は、ホワイトスペースを最小化するためのゲート電極コンタクトトラックとして定義付けされる。メタル1トラック805及び807は、nチャンネルトランジスタのソース及びドレインに接続するように定義付けされる。メタル1トラック813,815及び817は、pチャンネルトランジスタのソース及びドレインに接続するように定義付けされる。また、9つのメタル1信号トラック803−819は、接続が必要でない場合は、いずれもフィードスルーとして使用することができる。例えば、メタル1トラック813及び815は、フィードスルー接続として構成されている。
図9は、本発明の一実施形態において、図8Aのメタル1層の上及び隣に定義付けされたビア1層を示す図である。ビア901は、メタル1トラック801−821のより高いレベルの導電ラインへの接続を可能にするビア1層で定義付けされる。
図10は、本発明の一実施形態において、図9のビア1層の上及び隣に定義付けされたメタル2層を示す図である。メタル2層は、ダイナミックアレイをわたって平行関係に伸びた線形形状として定義付けされた多くのメタル2トラック1001を含む。メタル2トラック1001は、下に横たわる図8Aのメタル1層のメタル1トラック801−821と実質的に垂直な方向に、及び下に横たわる図5のゲート電極層のゲート電極トラック501と実質的に平行な方向に、伸びている。したがって、本実施形態において、メタル2トラック1001は、ダイナミックアレイを横切って第2参照方向(y)に直線的に伸びている。
隣接するメタル2トラックによりもたらされるリソグラフィ補強(すなわち、共振画像)の最適化を確実にすると同時に、メタル2トラック1001のピッチ(中心−中心間スペーシング)は最小化される。当然のことながら、ゲート電極及びメタル1層の実現方法と同様にして、より高いレベルの配線層で規則性が維持される。一実施形態において、ゲート電極形状501のピッチと、メタル2トラックのピッチは、同じである。別の実施形態において、コンタクトされたゲート電極のピッチ(例えば、間に拡散コンタクトを有するポリシリコン−ポリシリコン間スペース)は、メタル2トラックのピッチより大きい。この実施形態において、メタル2トラックのピッチは、コンタクトされたゲート電極のピッチの2/3又は3/4になるように最適に設定される。したがって、この実施形態において、ゲート電極トラックとメタル2トラックは、2つのゲート電極トラック・ピッチごと、及び3つのメタル2トラック・ピッチごとに位置合わせする。例えば、90nmプロセス技術において、コンタクトされたゲート電極トラックの最適なピッチは、0.36μmであり、メタル2トラックの最適なピッチは、0.24μmである。別の実施形態において、ゲート電極トラック及びメタル2トラックは、3つのゲート電極ピッチごと、及び4つのメタル2ピッチごとに位置合わせする。例えば、90nmプロセス技術において、コンタクトされたゲート電極トラックの最適なピッチは、0.36μmであり、メタル2トラックの最適なピッチは、0.27μmである。
メタル2トラック1001のそれぞれは、特定の論理機能を実現するための必要な電気接続を設けるために、ダイナミックアレイを横切る直線的な横断で、何回も割り込まれる(すなわち、中断される)ことが可能である。所定のメタル2トラック1001が割り込まれることが必要なとき、割り込みの位置におけるメタル2トラックセグメントの終端間の分離は、可能なかぎり、製造能力と電気的影響を考慮して最小化される。割り込みの位置におけるメタル2トラックセグメントの終端間の分離の最小化は、隣接するメタル2トラックによってもたらされるリソグラフィの補強、及び均一性を最大化するのに役立つ。また、一実施形態において、隣接するメタル2トラックが割り込まれることが必要なとき、隣接するメタル2トラックの割り込みは、割り込みの隣接する位置の発生を可能な限り避けるように、割り込みのそれぞれの位置がお互いに相殺されるように行われる。特に、隣接するメタル2トラックの中の割り込みの位置は、それぞれ、見えるラインが割り込みの位置を通して存在しないように配置される。ここで、見えるラインは、基板の上を伸びるメタル2トラックの方向と垂直に伸びるものと考えられる。
上述のとおり、ゲート電極層の上の所定のメタル層の導電ラインは、第1参照方向(x)又は第2参照方向(y)のどちらかに一致した方向に、ダイナミックアレイを横断してもよい。当然のことながら、ゲート電極層の上の所定のメタル層の導電ラインは、第1参照方向(x)及び第2参照方向(y)に対して対角線方向にダイナミックアレイを横断してもよい。図11は、本発明の一実施形態において、第1及び第2参照方向(x)及び(y)に対して第1対角線方向にダイナミックアレイを横断する導電体トラック1101を示す図である。図12は、本発明の一実施形態において、第1及び第2参照方向(x)及び(y)に対して第2対角線方向にダイナミックアレイを横断する導電体トラック1201を示す図である。
メタル1及びメタル2トラックについて上述したように、図11及び図12の対角線方向に横断する導電体トラック1101及び1201は、特定の論理機能を実現するための必要な電気接続を設けるために、ダイナミックアレイを横切る直線的な横断で、何回も割り込まれる(すなわち、中断される)ことが可能である。対角線状に横断する所定の導電体トラックが割り込まれることが必要なとき、割り込みの位置における対角線状導電体トラックの終端間の分離は、可能なかぎり、製造と電気的効果を考慮して最小化される。割り込みの位置における対角線状導電体トラックの終端間の分離の最小化は、隣接する対角線状導電体トラックによってもたらされるリソグラフィの補強、及び均一性を最大化するのに役立つ。
ダイナミックアレイの中の最適なレイアウト密度は、以下のデザインルールの実行によって、達成される:
・少なくとも2つのメタル1トラックがnチャンネルデバイス領域を横切って設けられる;
・少なくとも2つのメタル1トラックがpチャンネルデバイス領域を横切って設けられる;
・少なくとも2つのゲート電極トラックがnチャンネルデバイスに設けられる;及び
・少なくとも2つのゲート電極トラックがpチャンネルデバイスに設けられる。
コンタクト及びビアは、リソグラフィの観点から、もっとも困難なマスクになっている。これは、コンタクト及びビアが、より小さくなり、間隔がより近くなり、ランダムに分散されていることによるものである。カット(コンタクト又はビア)のスペーシング及び密集化は、形状を確実にプリントすることを極端に困難にする。例えば、隣接形状からの破壊的な干渉縞、又は孤立した形状のエネルギ不足により、カット形状が不適切にプリントされてもよい。カットが適切にプリントされた場合、関連するコンタクト又はビアの製造歩留まり極めて高くなる。サブ解像度コンタクトは、そのサブ解像度コンタクトが消散しない限り、実在のコンタクトの露光を補強することができる。また、サブ解像度コンタクトは、それらが、リソグラフィプロセスの解像度の能力より小さい限り、どんな形状も持つことができる。
図13Aは、本発明の一実施形態において、リソグラフィ補強の拡散コンタクト及びゲート電極コンタクトに使用されるサブ解像度コンタクトレイアウトの一例を示す図である。サブ解像度コンタクト1301は、それらがリソグラフィシステムの解像度より下であるように描かれ、プリントされない。サブ解像度コンタクト1301の機能は、共振画像を通じて、所望のコンタクト位置(例えば、503,601)の光エネルギを増加させるものである。一実施形態において、ゲート電極コンタクト601及び拡散コンタクト503の両方がリソグラフィ補強されるようなグリッドに、サブ解像度コンタクト1301が置かれる。例えば、ゲート電極コンタクト601及び拡散コンタクト503の両方に良い影響を与えるように、サブ解像度コンタクト1301は、拡散コンタクト503のグリッドスペーシングの2分の1と等しくなるようなグリッド上に置かれる。一実施形態において、サブ解像度コンタクト1301の垂直方向のスペーシングは、ゲート電極コンタクト601及び拡散コンタクト503の垂直方向のスペーシングに従う。
図13Aのグリッド位置1303は、隣接しているゲート電極コンタクト601の間の位置を指示する。製造プロセスにおけるリソグラフィのパラメータによっては、このグリッド位置でのサブ解像度コンタクト1301が、2つの隣接しているゲート電極コンタクト601の間の望ましくないブリッジを生成する可能性がある。ブリッジングが起こりそうであるなら、位置1303でのサブ解像度コンタクト1301を省くことができる。図13Aは、サブ解像度コンタクトが実在する形状に隣接して置かれて、消散されてどこにもないところの実施形態を示すが、当然のことながら、別の実施形態によって、グリッドを満たすために、利用可能なそれぞれのグリッド位置にサブ解像度コンタクトを置くことが可能である。
図13Bは、本発明の一実施形態において、可能な範囲内でグリッドを満たすように定義付けされたサブ解像度のコンタクトを有する図13Aのサブ解像度コンタクトレイアウトを示す図である。当然のことながら、図13Bの実施形態は、可能な範囲内で、サブ解像度コンタクトでグリッドを満たしているが、完全に消散する隣接する形状の間における望ましくないブリッジングが潜在的に発生する位置に、サブ解像度コンタクトを配置するのを避けている。
図13Cは、本発明の一実施形態において、種々の形状をしたサブ解像度コンタクトを利用したサブ解像度コンタクトレイアウトの一例を示す図である。サブ解像度コンタクトが製造プロセスの解像度の能力より下である限り、別のサブ解像度コンタクト形状を利用することができる。図13Cは、隣接するコンタクトのコーナに光エネルギの焦点を合わせるために“X形状”サブ解像度コンタクト1305を使用することを示している。一実施形態において、X形状サブ解像度コンタクト1305の終端は、隣接するコンタクトのコーナにおける光エネルギの堆積をさらに高めるために伸びている。
図13Dは、本発明の一実施形態において、サブ解像度コンタクトを有する交互位相シフトマスク(APSM)の一例を示す図である。図13Aのように、サブ解像度コンタクトは、拡散コンタクト503及びゲート電極コンタクト601をリソグラフィ的に補強するのに利用される。ASPMは、隣接形状が破壊的な干渉縞を生成するときに、解像度を改良するのに使用される。APSM技術は、マスクを通して隣接形状に移動する光の位相が180度位相ずれるようにマスクを修正する。この位相シフトは、破壊的な干渉を排除し、コンタクト密度をより高めるのに役立つ。一例として、プラス“+”印でマークされた図13Dのコンタクトは、第1位相の光波で露光されるコンタクトを表し、マイナス“−”印でマークされたコンタクトは、“+”印のコンタクトで使用される第1位相に対して180度位相がシフトされた光波で露光されるコンタクトを表している。当然のことながら、APSM技術は、隣接するコンタクトがお互いに分離されるのを確実にするために利用される。
形状寸法が小さくなるに従い、半導体のダイは、より多くのゲートを含むことが可能になる。しかし、より多くのゲートが含まれるのに従い、配線層の密度によって、ダイ寸法が決定されるようになる。配線層におけるこの増大する需要は、配線層の高レベル化を牽引する。しかし、配線層の積み重ねは、下に横たわる層のトポロジにより部分的に制限される。例えば、配線層が積み重ねられると、島、隆起、及び溝が発生し得る。これらの島、隆起、及び溝は、それらを横切る配線ラインの遮断を引き起こすおそれがある。
これらの島及び溝を軽減するために、半導体製造プロセスは、実質的に平坦な表面上に各配線層が後から堆積された半導体ウェハの表面を機械的に及び化学的に磨く化学的機械研磨(CMP)処理を利用する。フォトリソグラフィプロセスと同じように、CMPプロセスの品質は、レイアウトパターンに依存する。特に、ダイ又はウェハを横断するレイアウト形状の平坦でない分布によって、ある場所では除去されるのには多すぎる材料があり、他の場所では除去されるには充分な材料がないということになり、これにより、配線厚さの変動が発生し、配線層の容量及び抵抗で許容できない変動が発生し得る。配線層内の容量及び抵抗の変動は、設計欠陥の原因となるクリティカルネットのタイミングを変えてもよい。
ディッシングを避けて中心−端間の均一性を改良するために、実質的に均一なウェハトポロジが提供されるように、配線の形状がない領域内にダミーフィルが追加されることを、CMPプロセスは要求する。従来は、ダミーフィルは、設計の後に配置された。したがって、従来のアプローチにおいて、設計者はダミーフィルの特性を知らなかった。その結果、設計の後に置かれたダミーフィルは、設計者によって評価されていないため、設計特性に悪影響を及ぼすことがある。ダミーフィルの前の従来のトポロジは無拘束である(すなわち、均一ではない)ので、設計後のダミーフィルは均一にならず、予測不可能である。したがって、従来のプロセスでは、ダミーフィル領域と隣接する活性ネットの間の容量性カップリングは、設計者には予測不可能である。
前述のように、ここで開示されたダイナミックアレイは、すべての配線トラックをゲート電極層から上方向に最大限に満たすことにより、最適な規則性を提供する。1つの配線トラック内に複数のネットが必要な場合、配線トラックは、最小限に間隔を空けて分けられる。例えば、図8Aのメタル1導電ラインを表すトラック809は、それぞれのネットが特定のトラックセグメントに一致する、同じトラック内に分離された3つのネットを表している。特に、トラックセグメント間の最適なスペーシングでトラックを満たす2つのポリコンタクトネットと1つのフローティングネットがある。トラックを事実上完全に満たすことは、ダイナミックアレイをわたって共振画像を生成する規則的なパターンを維持する。また、最大限に満たされた配線トラックを持つダイナミックアレイの規則正しい構造は、ダイを横断して均等にダミーフィルが配置されることを確実にする。ダイナミックアレイの規則的な構造は、CMPプロセスがダイ/ウェハをわたって実質的に均一な結果をもたらすことを助ける。また、ダイナミックアレイの規則的なゲートパターンは、ゲートエッチングの均一性(マイクロローディング)を助ける。さらに、最大限に満たされた配線トラックと組み合わされたダイナミックアレイの規則的な構造は、設計段階の間及び製造に先立って、最大限に満たされたトラックについての容量性のカップリング効果を設計者が解析するのを可能にする。
ダイナミックアレイは、それぞれのマスク層において、線形形状の寸法及びスペーシング(すなわち、トラック及びコンタクト)を設定するので、ダイナミックアレイの設計は、製造設備及びプロセスの最大能力に合わせて最適化することができる。すなわち、ダイナミックアレイは、拡散の上のそれぞれの層の規則的な構造で制限されているので、製造者は、規則的な構成の特定の特徴のための製造プロセスを最適化することが可能である。当然のことながら、ダイナミックアレイで、製造者は、従来の制約のないレイアウトに存在するような、広範囲に変化する任意形状のレイアウト形状の組み合わせの製造調整をすることを気にする必要がない。
製造装置の能力が最適化され得る方法の一例を以下に示す。メタル2のピッチが280nmである90nmプロセスについて考える。この280nmのメタル2ピッチは、装置の最大能力によって設定されない。むしろ、この280nmのメタル2ピッチは、ビアのリソグラフィによって設定される。ビアのリソグラフィの問題が除去されることにより、装置の最大能力は、約220nmのメタル2ピッチを可能にする。したがって、メタル2ピッチのデザインルールは、ビアリソグラフィの予期できない光の相互作用を考慮して、約25%のマージンを含む。
ダイナミックアレイ内で実現される規則的な構成は、ビアリソグラフィにおける相互作用の予測不可能性を除去することを可能にし、これにより、メタル2ピッチのマージンの減少を可能にする。このようなメタル2ピッチのマージンの減少は、高密度の設計を可能にする(すなわち、チップ面積利用率の最適化が可能になる。)。さらに、ダイナミックアレイによってもたらされる制限された(すなわち、規則的な)トポロジによって、デザインルールのマージンを削減することが可能になる。さらにまた、プロセスの能力を超えた余分なマージンを減らせるばかりでなく、ダイナミックアレイによる制限的なトポロジは、必要なデザインルールの数を実質的に減らすことも可能にする。例えば、制約のないトポロジの典型的なデザインルール・セットは、600デザインルールより多く持つ。ダイナミックアレイを使用するデザインルール・セットは、約45デザインルールを持てばよい。したがって、デザインルールに対する設計の解析と検証を行うのに必要とされる努力は、ダイナミックアレイの制限的なトポロジにより、10以上のファクタで減少する。
ダイナミックアレイのマスク層の所定のトラック内におけるライン終端−ライン終端間のギャップ(すなわち、トラックセグメント−トラックセグメント間のギャップ)について、わずかの光相互作用が存在する。このわずかの光相互作用は、前もって、識別、予測、及び正確に補償することができ、OPC/RETの必要性をめざましく削減し、又は完全に排除することができる。ライン終端−ライン終端間のギャップにおける光相互作用の補償は、描かれた形状に関しての相互作用のモデリング(例えば、OPC/RET)に基づく補正とは対照的に、描かれた形状のリソグラフィ的な修正を表す。
また、ダイナミックアレイで、描かれたレイアウトへの変更は、必要なところのみに行われる。対照的に、OPCは、従来の設計フローですべてのレイアウトについて実施される。一実施形態において、補正モデルは、ダイナミックアレイのレイアウト生成の部分として実施され得る。例えば、限られた数の可能性のライン終端ギャップの相互作用により、回りの関数として(すなわち、その特定のライン終端ギャップの光相互作用の関数として)定義される特徴を持つラインブレークを挿入するように、ルータはプログラムされ得る。当然のことながら、ダイナミックアレイの規則的な構成は、頂点を追加するよりむしろ頂点を変更することにより、ライン終端が調整されることを可能にする。したがって、OPCプロセスによる制約のないトポロジと対比して、ダイナミックアレイは、顕著にコストとマスク製作のリスクを削減する。また、ダイナミックアレイでは設計段階で、ライン終端ギャップ相互作用を正確に予測できるので、設計段階の間における予測されたライン終端ギャップ相互作用の補償は、デザイン欠陥のリスクを増やさない。
従来の制約のないトポロジにおいて、設計者は、設計依存欠陥の存在のために、製造プロセスについての物理的知識を有していることが必要とされていた。ここで開示したダイナミックアレイのグリッドベースシステムにより、論理設計は、物理的設計から分離することができる。特に、ダイナミックアレイの規則的な構成、ダイナミックアレイの中で評価される限られた数の光相互作用、及びダイナミックアレイの設計依存性質により、物理的ネットリストとは対照的に、グリッドポイントベース・ネットリストを使用して設計を表現することが可能になる。
ダイナミックアレイにより、物理的情報の用語で設計を表す必要がない。むしろ、シンボリックレイアウトにより、設計を表すことができる。したがって、設計者は、物理的特徴(例えば、設計寸法)を示す必要なしに、純粋な論理的視野から設計を表すことができる。当然のことながら、グリッドベース・ネットリストは、物理的に変換されたとき、ダイナミックアレイ・プラットフォームの最適なデザインルールにまさに適合する。グリッドベース・ダイナミックアレイが、新しい技術(例えば、より小さい技術)に移行するとき、設計表現の中に物理的データが存在しないので、グリッドベース・ネットリストは、新しい技術に直接的に移行されることができる。一実施形態において、グリッドベース・ダイナミックアレイシステムは、ルール・データベース、グリッドベース(シンボリック)・ネットリスト、及びダイナミックアレイ・アーキテクチャを含む。
当然のことながら、グリッドベース・ダイナミックアレイは、従来の制約のない構成についてのトポロジ関連の欠陥を排除する。また、グリッドベース・ダイナミックアレイの生産性は、設計と無関係であるので、ダイナミックアレイで達成される設計歩留まりは、設計と無関係である。したがって、ダイナミックアレイの正当性及び歩留まりは、予め検証されるので、グリッドベース・ネットリストは、予め検証した歩留まり特性を有するダイナミックアレイで達成されることができる。
図14は、本発明の一実施形態において、半導体チップ構成1400を示す図である。半導体チップ構成1400は、そこで定義付けされた多くの導電ライン1403A−1403Gを持つ拡散領域1401を含む半導体チップの部分の一例を示している。拡散領域1401は、少なくとも1つのトランジスタデバイスの活性領域を定義するため、基板1405内で定義付けされている。拡散領域1401は、基板1405表面に対して任意の形の領域を覆うように定義付けされることができる。
導電ライン1403A−1403Gは、基板1405の上を共通方向1407に伸びるように配列されている。また、当然のことながら、多くの導電ライン1403A−1403Gのそれぞれは、拡散領域1401の上を共通方向1407に伸びるように制限されている。一実施形態において、基板1405の上ですぐに定義付けされた導電ライン1403A−1403Gは、ポリシリコンラインである。一実施形態において、導電ライン1403A−1403Gのそれぞれは、伸長する共通方向1407に対して垂直な方向の本質的に同一の幅1409を持つように定義付けされる。一実施形態において、導電ライン1403A−1403Gのいくつかは、他の導電ラインと比較して異なる幅を持つように定義付けされる。しかし、導電ライン1403A−1403Gの幅に関係なく、導電ライン1403A−1403Gのそれぞれは、本質的に同一の中心−中心間ピッチ1411により、隣の導電ラインから離れて間隔が空いている。
図14に示されているように、導電ラインのいくつか(1403B−1403E)は、拡散領域1401の上を伸びており、他の導電ライン(1403A,1403F,1403G)は、基板1405の非拡散部分の上を伸びている。当然のことながら、導電ライン1403A−1403Gは、拡散領域1401の上で定義付けされているか否かに関係なく、それらの幅1409及びピッチ1411を維持する。また、当然のことながら、導電ライン1403A−1403Gは、拡散領域1401の上で定義付けされているか否かに関係なく、本質的に同一の長さ1413を維持しており、それによって、基板を横断する導電ライン1403A−1403Gの間のリソグラフィ的な補強を最大化している。このようにして、拡散領域1401の上で定義付けされたいくつかの導電ライン(例えば、1403D)は、必要な活性部1415、及び1つ以上の画一伸長部1417を含む。
当然のことながら、半導体チップ構成1400は、図2−図13Dを参照した上述のダイナミックアレイの一部を表している。したがって、当然のことながら、導電ライン(1403B−1403E)の画一伸長部1417は、隣接する導電ライン1403A−1403Gのリソグラフィ的な補強を提供するために存在する。また、導電ライン1403A,1403F,及び1403Gのそれぞれは、回路動作を必要とされなくてもよいが、隣接する導電ライン1403A−1403Gのリソグラフィ的な補強を提供するために存在する。
必要な活性部1415及び画一伸長部1417の概念は、また、より高いレベルの配線層に適用される。ダイナミックアレイ構成について前述したように、ダイナミックアレイ内で実現された論理デバイスにより必要な配線接続を可能にするために、隣接する配線層が基板の上を横方向に(例えば、垂直又は対角線方向に)横断する。導電ライン1403A−1403Gと同様に、配線層の中の導電ラインのそれぞれは、必要な配線接続を可能にするために必要な部分(必要な活性部)、及び隣接する導電ラインにリソグラフィ的な補強を提供するために不必要な部分(画一伸長部)を含むことができる。また、導電ライン1403A−1403Gと同様に、配線層の中の導電ラインは基板の上の共通方向に伸びており、本質的に同一の幅を持ち、本質的に一定ピッチによりお互いに間隔が空いている。
一実施形態において、配線層内の導電ラインは、ライン幅とラインスペーシング間の同一比率に本質的に従う。例えば、90nmでは、メタル4ピッチは280nmであり、ライン幅及びラインスペーシングはそれぞれ140nmに等しい。もし、ライン幅がラインスペーシングに等しいのであれば、より広い導電ラインを、より広いラインピッチでプリントすることができる。
本明細書で記載するダイナミックアレイ・アーキテクチャは、線形導電体形状が複数のレベルのそれぞれにおける仮想格子に沿って定義されている半導体デバイスの設計パラダイムを表す。複数のレベルは、その中に定義された1つまたは複数の拡散領域を有してもよい半導体基板の一部上に定義される。あるレベルの仮想格子は、隣接するレベルにおける仮想格子に対して実質的に垂直であるように配向される。また、線形導電体形状は、実質的に方向変化がないように各仮想格子のそれぞれのラインに沿って定義される。複数のレベルのそれぞれのレベル内の各導電体形状は、線形導電体形状の内の1つによって定義されることは理解されるべきである。したがって、ダイナミックアレイ・アーキテクチャは、関連するレベルの平面内に1つまたは複数の屈曲部を含む非線形導電体形状の使用は明確に回避している。
ある実施形態では、ダイナミックアレイ・アーキテクチャの複数のレベルは基板から上方に延びて、チップ全体を経由してチップの外部パッケージングまで及ぶ。別の実施形態では、ダイナミックアレイ・アーキテクチャの複数のレベルは基板から上方に延びて、チップ全体の合計レベル数よりは少ない数のレベルまで及ぶ。この実施形態では、ダイナミックアレイ・アーキテクチャに応じて定義されたレベルの数は、ダイナミックアレイ・アーキテクチャによって提供される正確な製造予測性の高い可能性から得られるレベル、またはその可能性を要求するレベルを含む。例えば、ダイナミックアレイ・アーキテクチャを、基板上の第3の配線レベルまでの各レベルを定義するために使用してもよい。その後、形状サイズおよび間隔の増大、およびまたは、第3の配線レベルより上の形状の数の減少により、任意のレイアウト技術を用いて第3の配線レベル上の形状を定義してもよい。任意の数のレベルにダイナミックアレイ・アーキテクチャを採用したチップの任意の部分は、ダイナミックアレイ・アーキテクチャ領域を表すと考えられることは認識されるべきである。
ダイナミックアレイ・アーキテクチャに従って定義されたあるレベル内では、隣接する線形導電体形状の近接端部は、実質的に一定のギャップで互いに分離されていてもよい。より具体的には、仮想格子の共通ラインに沿って定義された線形導電体形状の隣接する端部は、ギャップによって分離され、仮想格子に関連したレベル内のそうしたギャップは、実質的に一定の距離になるように定義されてもよい。また、ダイナミックアレイ・アーキテクチャ内では、ビアとコンタクトは、例えば、トランジスタや電子回路などの多くの機能的な電子装置を形成するように、様々なレベルにおける多くの線形導電体形状を配線するように定義される。したがって、複数のレベルにおける多くの線形導電体形状は、電子回路の機能部品を形成する。さらに、複数のレベル内の線形導電体形状の一部は、電子回路に関する機能は持たないが、周辺の線形導電体形状の製造を強化するように製造されてもよい。ダイナミックアレイ・アーキテクチャは、高い見込みを有する半導体デバイスの製造可能性の正確な予測を可能とするように定義されることは理解されるべきである。
図15は、本発明の一実施形態において、ダイナミックアレイ・アーキテクチャを実装する典型的なチップ1501を示す図である。典型的なチップは、ダイナミックアレイ・アーキテクチャ領域1509を含む。また、典型的なチップ1501は、メモリ領域1503、入出力(I/O)領域1505およびプロセッサ領域1507も含む。メモリ領域1503、I/O領域1505およびプロセッサ領域1507は例示の目的で示されており、チップの必要な部分、必要なチップ・アーキテクチャあるいはダイナミックアレイ・アーキテクチャに必要な随伴物を表すように意図されたものではないことは理解されるべきである。
図15に示したものなどの一実施形態では、1つまたは複数のダイナミックアレイ・アーキテクチャ部分内で定義された回路は、必要に応じて、チップの他の部分の回路とインターフェースするように定義されているより大規模なチップ、すなわちダイの、1つまたは複数の部分を定義するように、ダイナミックアレイ・アーキテクチャを用いることができることは理解されるべきである。別の実施形態では、ダイナミックアレイ・アーキテクチャに従ってチップ全体を定義することができる。この実施形態では、チップ全体はダイナミックアレイ・アーキテクチャに従って定義されるが、該チップ全体を、それぞれがダイナミックアレイ・アーキテクチャに従って定義される多くの別個の領域に分割できる。前述の実施形態において、チップの基板の一部上に定義された1つまたは複数のダイナミックアレイ・アーキテクチャ領域を含むように半導体チップを構成し、各ダイナミックアレイ・アーキテクチャ領域が1つまたは複数の、別個ではあるが機能的にインターフェースされたダイナミックアレイ・セクションを含むように構成できる。
図16は、本発明の一実施形態において、ダイナミックアレイ・アーキテクチャ領域1509のブランクキャンバスを示す図である。ダイナミックアレイ・セクション(DAS)グリッド1601は、ダイナミックアレイ・セクションの配置と配列を容易にするために、ダイナミックアレイ・アーキテクチャ領域1509のブランクキャンバス全域で定義される。DASグリッド1601は、基板上のダイナミックアレイ・セクション(DAS)の場所を決めるための垂直線1603A/1603B、すなわちグリッド・ラインの仮想ネットワークで定義される。前述のように、DASグリッド1601は、ダイの一部上あるいはダイ全体に定義されてもよい。DASグリッド1601を表す垂直線1603A/1603Bの仮想ネットワークは物理的実体としては存在しないが、実質的に存在する。DASグリッド1601は、その上にDASが構築される基板上面と実質的に一致する平面内で定義される。したがって、DASグリッド1601は、チップの基板上面と平行な平面内で定義される。2つの垂直な方向のそれぞれにおいて、DASグリッド1601のライン1603A/1603Bの間隔は、それぞれ同じであっても違っていてもよい。しかしながら、一実施形態では、共通の方向を有するDASグリッド1601のライン1603A/1603Bの間隔は均一である。
DASグリッド1601の隣接する平行線(1603Aまたは1603B)間の間隔は、隣接する平行線(1603Aまたは1603B)のピッチとして定義される。一実施形態では、ゲート電極形状と平行なDASグリッド1601ライン(1603Aまたは1603B)のピッチは、隣接するコンタクトされたゲート電極形状間の最小中心−中心間分離距離の2分の1である定義される。議論の簡略化のために、隣接するコンタクトされたゲート電極形状間の最小中心−中心間分離距離の2分の1を、ゲート電極ハーフピッチと呼ぶ。本実施形態において、ゲート電極ハーフピッチに基づいて定義されたDASグリッド・ラインと一致するDAS境界は、それ自体、ゲート電極ハーフピッチの上に存在するであろう。したがって、そのようなDAS内において、かつその端部で定義されたセルは、ゲート電極ハーフピッチに位置するセル境界を持つであろう。
ダイナミックアレイ・セクション(DAS)は、ダイナミックアレイ・アーキテクチャの細分として定義され、その細分の垂直に輪郭付けられた各レベルに存在する形状は、該細分のあるレベルにおける形状間および該細分の別のレベルにおける形状間の関係を統率するために構築されたルール・セットに従って、該細分の他の形状を考慮して定義される。DASは、任意の形およびサイズの基板領域を占めるように定義される。また、DASは、基板上の任意の形およびサイズの領域を占めるようにも定義される。また、DASグリッド1601の垂直線1603A/1603BはDAS境界を定義するためにも使用される。
図17Aは、本発明の一実施形態において、ダイナミックアレイ・アーキテクチャ領域1509内に定義された多くの典型的なダイナミックアレイ・セクション(DAS1〜DAS11)を示す図である。図16で説明したように、各DAS(DAS1〜DAS11)のそれぞれの境界は、DASグリッド1601のグリッド・ライン1603A/1603B上に沿って定義される。DASグリッド1601の均一性によって、様々なDASの配置および機能的なインターフェース化が容易になることは認識されるべきである。典型的なDAS(DAS1〜DAS11)の特定の形は、目的を説明するために定義されたものであり、DASの可能な形を限定するものではない。より具体的には、あるDASは、DASがダイナミックアレイ・アーキテクチャに適合する限り、DAS内に存在するデバイスおよび回路を定義するための必要性に応じて、本質的に任意の形およびサイズを有するように定義できる。
また、DASはチップの基板部を含む必要はなく、あるいはチップの基板部の真上およびそれに接して定義される必要はないことも理解されるべきである。より具体的には、DASは、チップの基板の特定の領域上ではあるが、基板の真上およびそれに接してはいない領域上に、チップの多くの層を占めるように垂直に定義される。この点では、一実施形態は、多くの積み重ねられたDASを含むことができ、積み重ねられたDASのそれぞれは、その上または下のいずれかの隣接するDASからは独立に定義される。また、垂直に積み重ねられたDASは、互いに異なるサイズと形を有するように定義できる。この点で、より高い位置にあるDASは、1)低い位置にある複数のDAS、2)低い位置にある複数のDASの部分、あるいは、3)低い位置にある単一のDASの一部分、をカバーしてもよい。
図17Bは、本発明の一実施形態において、ダイナミックアレイ・アーキテクチャ領域1509内のより高い位置で定義された多くのダイナミックアレイ・セクション(DAS12〜DAS15)を示す図である。図17Bの例では、DAS12〜DAS15のコレクションは、図17AのDAS1〜DAS11のコレクション上に位置する。図17Cは、本発明の一実施形態において、図17BのDASの積み重ねの側面図を示す図である。図17A〜17Cに描かれたDAS配置は議論の目的で提供されたものであり、DASの可能性のある配置の包含的セットを表すように意図されたものではないことは理解されるべきである。また、様々な実施形態において、ダイナミックアレイ・アーキテクチャと合致する本質的に任意の方法で、DASは基板の一部上に水平および垂直に配置できることは認識されるべきである。
ダイナミックアレイ・アーキテクチャのより詳細な説明を可能にするために、図17AのDAS10に関するダイナミックアレイ・アーキテクチャの典型的な実装を図18〜24Dに記載する。図18は、本発明の一実施形態において、DAS10の基板レベルを示す図である。DASの基板レベルは、任意の数の拡散領域を含むことができる。例えば、DAS10は、その上にDAS10が構築されている基板部1803内に定義された拡散領域1801A〜1801Dを含む。DASの基板部内の各拡散領域の形は、DAS内に形成されるデバイスつまりトランジスタの知識に基づいて、また、活用されるべきより高いレベルの線形導電体形状の間隔や配向性に関する知識に基づいて定義される。図4で既に説明したように、拡散層のレイアウトは、DAS10例を含む任意のDASの基板レベルに等しく適用可能であることは理解されるべきである。したがって、あるDAS内の拡散領域のそれぞれは、任意の2次元の形を持つように定義できる。しかしながら、一実施形態では、リソグラフィ解像度を向上させてより正確なデバイス抽出を可能とするように、拡散領域は余分な湾曲部やコーナ部を含まないように定義される。
各DASは、周囲のDAS製造保証ハロ(DASハロ)を有するように定義される。例えば、図18では、DAS10はDASハロ1805を持つとして示されている。DASハロは、チップのあるレベル内のあるDASを囲む領域として定義され、DASハロ内の形状の製造は、そのDAS内の形状の製造予測可能性に影響を与え得る。DASハロは、関連するDAS内の形状の製造予測可能性を維持しあるいは高めることを確実にするために、サイズが決められ管理される。DASグリッド上にDASが配置されると、関連するDASハロの一部は、周囲のDASからの形状がないバッファー領域を表わしてもよい。また逆に、DASグリッド上にDASが配置されると、関連するDASハロの一部は周囲のDASの一部を含むが、該周囲のDASの一部内にある形状の製造によって、DASハロが周囲に存在するDAS内の形状の製造は悪影響を受けない。したがって、周囲のDASそれぞれに対するDASハロの機能が、周囲のDASそれぞれにおける形状の製造予測可能性が維持されあるいは高められることを確実にすることが満たされる限り、DASハロまたはその一部は、周囲のDASハロとオーバーラップでき、あるいは周囲のDAS内に侵入できる。DASハロについては図25〜27でより詳細に説明する。
ゲート電極レベルはDAS10例の基板レベル上に定義される。ゲート電極レベルは、ゲート電極レベルに関連した仮想格子に従って定義された多くの線形導電体形状を含むように定義される。ゲート電極レベルを含む任意のDASレベル内の仮想格子は、線形導電体形状をDASレベル内に配置するための平行線の仮想ネットワークとして定義される。あるDASレベルの仮想格子を表す平行線の仮想ネットワークは、物理的実体としては存在しないが、実質的に存在する。任意のDASレベルの仮想格子は、その上にDASが構築される下位の基板の上面に対して実質的に平行な面内に定義される。また、一実施形態では、あるDASレベルの仮想格子の平行線は、一定のピッチに従って配置される。あるDASレベルの仮想格子の定義に用いられる一定のピッチは、該DASレベル内の線形導電体形状の適切な配置を容易にするために必要な本質的に任意の数値に設定できる。
一般的には、各DASレベルにおいて、線形導電体形状は、DASレベルに関連した仮想格子のラインに沿って定義される。各線形導電体形状は、線形導電体形状の中心ラインが仮想格子の特定のラインに実質的に集中するように、仮想格子の特定のラインに沿って定義される。線形導電体形状の中心ラインの配列と仮想格子の特定のラインの配列間の偏差が、それらの配列間の実際の配列によって達成可能な製造プロセスウィンドウを低減しないほど十分に小さい場合には、線形導電体形状は、仮想格子の特定のライン上に実質的に集中すると考えられる。一実施形態では、前述の製造プロセスウィンドウは、線形導電体形状の許容範囲の忠実度を生む焦点と露光を有するリソグラフ領域で定義される。一実施形態では、線形導電体形状の忠実度は、線形導電体形状の特有の次元で定義される。また、上記のとおり、ある線形導電体形状の図心は、該線形導電体形状の長さに沿うすべての点において、その断面図心を通る仮想ラインとして定義され、そこでは、その線形導電体形状の長さに沿う任意の点における線形導電体形状の断面図心は、その点における垂直断面領域の図心であることも理解されるべきである。
あるDASレベル内に定義された線形導電体形状のそれぞれは、線形導電体形状の側壁の形状に対応した関連側壁側面を有することは認識されるべきである。この点で、線形導電体形状の側壁は、線形導電体形状の中心ラインに垂直な垂直断面カットとして見ると、線形導電体形状の側面として定義される。DASアーキテクチャは、長さに沿ったある線形導電体形状の側壁側面の変動が製造の観点から予測可能であり、その線形導電体形状または隣接する線形導電体形状の製造に悪影響を与えない限り、側壁側面の変動を受け入れる。線形導電体形状の長さに沿った側壁変動は、線形導電体形状の長さに沿った幅変動に対応することは認識されるべきである。したがって、DASアーキテクチャは、長さに沿ったある線形導電体形状の幅変動が製造の観点から予測可能であり、その線形導電体形状または隣接する線形導電体形状の製造に悪影響を与えない限り、その幅変動も受け入れる。
前述に加えて、ダイナミックアレイ・アーキテクチャの各レベルにおけるそれぞれの線形導電体形状あるいはその断片は、その長さに沿った実質な方向変化がないものとして定義されることは理解されるべきである。したがって、線形導電体形状の実質的な方向変化がないことは、線形導電体形状がそれに沿って定義される仮想格子のラインに関連すると考えられる。一実施形態では、線形導電体形状の実質的な方向変化は、任意の点における線形導電体形状の幅が、その全長に沿って線形導電体形状の名目上の幅の50%を超えて変化する場合に存在する。別の実施形態では、線形導電体形状の実質的な方向変化は、線形導電体形状の任意の第1の場所における線形導電体形状の幅と任意の第2の場所におけるその幅とが、第1の場所における線形導電体形状の幅の50%を超えて変化する場合に存在する。
ダイナミックアレイ・アーキテクチャでは、各DASレベルは、任意の数の線形導電体形状で占有される仮想格子の任意の数のラインを有するように定義できる。一例では、あるDASレベルは、その仮想格子のすべてのラインが少なくとも1つの線形導電体形状で占有されるように定義されてもよい。別の例では、あるDASレベルは、その仮想格子の一部のラインが少なくとも1つの線形導電体形状で占有され、その仮想格子の他のラインは空、すなわち、いかなる数の線形導電体形状によっても占有されないように定義されてもよい。更に、あるDASレベルでは、任意の数の連続的に隣接する仮想格子ラインを空にしておくことができる。さらに、あるDASレベルでは、任意の数の連続的に隣接する仮想格子ラインはそれぞれ、任意の数の線形導電体形状で占有することができる。また、一部のDASレベル例では、仮想格子線上の線形導電体形状の存在に関して、占有仮想格子ライン対空き仮想格子ラインは、DASレベル全域におけるパターンあるいは繰り返しパターンに従ってもよい。
さらに、あるDASレベル内の異なる線形導電体形状は、同じ幅を有するように、あるいは違った幅を有するように設計できる。また、ある仮想格子の隣接するラインに沿って定義された多くの線形導電体形状の幅は、その数の線形導電体形状が、その合計の幅に等しい幅を有する単一の線形導電体形状を形成するように互いに接触するように設計されてもよい。
図19Aは、本発明の一実施形態において、DAS10例のゲート電極レベルの仮想格子を示す図である。ゲート電極レベルの仮想格子は、一定のピッチP1で配置された平行線1901の枠組みによって定義される。ゲート電極レベルの仮想格子は、そのラインが、上にDAS10が構築される基板部1803上の第1の方向、すなわち、y方向に延びるように配向される。ゲート電極レベルの仮想格子の位置(第2の方向、すなわち、x方向における)および関連するピッチP1は、ゲート電極レベル内に定義された多くの線形導電体形状がトランジスタデバイスのゲート電極部品として機能できるように、それに沿って線形導電体形状が定義される仮想格子のラインが、下に存在する拡散領域10801A〜1801Dに対して適切に配置されることを確実にするように構築される。
図19Aの典型的な実施形態では、仮想格子のピッチP1は、隣接するコンタクトされたゲート電極形状間に使用される最小中心−中心間スペーシングに等しい。しかしながら、他の実施形態では、ゲート電極レベルの仮想格子のピッチP1は、特定のDASに適切と見なされる本質的に任意の数値に設定できることは理解されるべきである。例えば、図19A1は、隣接するコンタクトされたゲート電極形状間の最小中心−中心間スペーシングの2分の1に等しいピッチP1Aに設定されたゲート電極レベルの仮想格子を示している。前記のとおり、隣接するコンタクトされたゲート電極形状間の最小中心−中心分離の2分の1をゲート電極ハーフピッチと呼ぶ。
一実施形態では、ゲート電極レベルの仮想格子を定義するピッチは、仮想格子のラインに沿って定義された線形導電体形状の製造中のリソグラフィック補強を最適化するように設定される。別の実施形態では、ゲート電極レベルの仮想格子を定義するピッチは、仮想格子のラインに沿って定義された線形導電体形状の密度を最適化するように設定される。仮想格子のラインに沿って定義された線形導電体形状の密度の最適化は、製造中の形状−形状リソグラフィック補強に対応しなくてもよいことが認識されるべきである。また、別の実施形態では、ゲート電極レベルの仮想格子を定義するピッチは、回路性能、製造可能性または信頼性の最適化に基づいて設定することができる。
図19Bは、本発明の一実施形態において、図19Aのゲート電極レベルの仮想格子の各ライン1901に沿って定義されたノーカットの線形導電体形状1903を示す図である。図19Bの例は、線形導電体形状によって占められるゲート電極レベルの仮想格子の各ラインを示しているが、ゲート電極レベルの仮想格子のすべてのライン、あるいは、さらに言えば、任意の仮想格子が線形導電体形状によって占められる必要はないことは理解されるべきである。さらに、任意のDASレベルにおける仮想格子の各ラインは、それに沿って1つまたは複数の線形導電体形状が定義できる潜在的な線形導電体形状のトラックを表わしていることも認識されるべきである。ノーカットの線形導電体形状1903はそれぞれ、仮想格子のあるライン1901の最大形状占有を表している。しかしながら、ゲート電極レベルにおける一部のノーカットの線形導電体形状1903は、トランジスタデバイスの製作を可能にするように区分化される必要があってもよい。図19Cは、本発明の一実施形態において、図19Bの線形導電体形状1903の区分化を示す図である。
ダイナミックアレイ・アーキテクチャの各レベルにおけるそれぞれの線形導電体形状あるいはそのセグメントは、その長さに沿って実質的に一定の幅を持つように定義される。例えば、図19Bのゲート電極レベルに関して、各線形導電体形状1903あるいはそのセグメントは、その長さに沿って実質的に一定の幅W1を持つように定義される。ダイナミックアレイ・アーキテクチャ内の各線形導電体形状の幅は、線形導電体形状が定義される仮想格子を定義する平行線の枠組みに対して、同一平面および垂直の関係で測定される。相応して、ダイナミックアレイ・アーキテクチャ内の各線形導電体形状の長さは、線形導電体形状が定義される仮想格子のラインの方向に測定される。
図19Bに示されるような一実施形態では、あるDASレベル内の線形導電体形状はそれぞれ、実質的に等しい幅を持つように定義される。しかしながら、別の実施形態では、あるDASレベル内の仮想格子の様々なラインに沿って定義された線形導電体形状は,異なる幅を持つように定義できる。例えば、図19B1は、本発明の一実施形態において、図19A1のゲート電極レベルの仮想格子の様々なライン1902に沿って定義された線形導電体形状1904A、1904Bおよび1904Cを示す図である。図19B1は、仮想格子に沿った線形導電体形状の定義に関して上記で言及したオプションのいくつかを明示している。特に、図19B1の領域1906は、多くの仮想格子ラインが空であることを明示している。また、図19B1は、どのようにして、あるDASレベル内の線形導電体形状が異なる幅、例えば、W1A、W1BおよびW1Cを持つように定義されるかも明示している。また、図19B1の領域1908は、多くの隣接する線形導電体形状を組み合わせて単一の線形導電体形状を形成するために、どのようにして多くの隣接する線形導電体形状の幅が定義されるかも明示している。
図19Cに示されるように、ゲート電極レベルの実際のレイアウトは、図19Bの多くのノーカットの線形導電体形状1903の区分化により達成される。任意のあるDASレベルにおけるノーカットの線形導電体形状の区分化は、その長さに沿って多くのギャップを配置することで実行される。例えば、図19Cのゲート電極レベルの例では、距離G1の多くのギャップは、様々なノーカットの線形導電体形状1903に沿って配置される。様々な実施形態では、共配列された線形導電体形状セグメントの隣接する端部を分離するために使用されるギャップのサイズは、あるDASレベル全域において、一定であってもなくてもよい。一実施形態では、ダイナミックアレイ・アーキテクチャのあるレベルにおけるノーカットの線形導電体形状の区分化に用いたそれぞれのギャップは、実質的に一定であるように定義される。したがって、この実施形態では、実質的に一定のギャップは、仮想格子の共通の線を占める隣接する線形導電体形状セグメントの近接端部間で維持される。また、この実施形態では、隣接する線形導電体形状セグメントの近接端部間の実質的に一定のギャップは、複数の線形導電体形状セグメントによって占められる仮想格子のそれぞれのライン内で維持される。
一実施形態では、ダイナミックアレイ・アーキテクチャのあるレベルにおける隣接する線形導電体形状の近接端部間で維持されるギャップサイズは、そのレベルの仮想格子を定義するラインの線形導電体形状全体の占有量を最大化するように、電気的性能の制約条件内で最小化される。別の実施形態では、ダイナミックアレイ・アーキテクチャのあるレベルにおいて、隣接する線形導電体形状の近接端部間で維持されるギャップサイズは、隣接する線形導電体形状および周辺の線形導電体形状の製造可能性が正確に予測できることを確実にするように定義される。別の実施形態では、ダイナミックアレイ・アーキテクチャのあるレベルにおいて、隣接する線形導電体形状の近接端部間で維持されるギャップは、そのレベルの仮想格子を定義する隣接するラインでの隣接するギャップがないように配置される。また、別の実施形態では、あるDASレベルにおいて隣接する線形導電体形状の近接端部間のギャップは、回路性能、製造可能性あるいは信頼性の目的のために定義される。
ダイナミックアレイ・アーキテクチャのあるレベルにおける線形導電体形状が区分化されると、一部の線形導電体形状セグメントは、機能を持たない線形導電体形状を表わしてもよい。機能を持たない線形導電体形状は、回路機能性を必要としないが、周辺の線形導電体形状の製造を支援するように製造された線形導電体形状として定義される。ある実施形態では、機能を持たない線形導電体形状の一部は、半導体チップの製造可能性を予測性を高めるように定義される。例えば、図19Cは、ノーカットの導電体形状1903を区分化してDAS10のゲート電極レベルのレイアウトを形成後の、多くの機能を持たない線形導電体形状1903Bおよび多くの機能的な線形導電体形状1903Aを示す。
周辺の線形導電体形状セグメントの製造を高めるために、すべての機能を持たない線形導電体形状セグメントを保持する必要がない場合、ダイナミックアレイ・アーキテクチャは、そうしたすべてのセグメントの保持を厳密に要求しないことは理解されるべきである。例えば、ダイナミックアレイ・アーキテクチャのあるレベル内では、隣接する機能的な線形導電体形状の製造に積極的に影響を与えない、もしくは支援しない1つまたは複数の機能を持たない線形導電体形状は、レイアウトから削除できる。例えば、図19Dは、機能を持たない線形導電体形状が削除された領域1905を有する図19Cのゲート電極レベルの区分化形状を示す図である。図19Dの例において、削除された機能を持たない線形導電体形状は、周辺の機能的な線形導電体形状の製造を支援する点に関しては不必要であると考えられた。
さらに、図19Cに関して、ゲート電極レベルの線形導電体形状1903は、場所1910によって識別されるように、DASの境界内部の場所で終わるように定義されることは注目されるべきである。ゲート電極レベルの線形導電体形状のそれぞれをDASの境界内部で終わらせることによって、隣接する2つのDAS内の、同一ライン的に配列されたゲート電極レベルの線形導電体形状間にギャップが存在することになる。この例では、隣接する2つのDAS内の、同一ライン的に配列されたゲート電極レベルの線形導電体形状間のギャップの2分の1が隣接する2つのDASのそれぞれに存在することになる。また、明確には描かれていないが、図19Cと図19Dにおける区分化および機能的形状と機能を持たない形状のコンセプトは、図19A1と図19B1の典型的な実施形態にも同じく適用可能であることは理解されるべきである。
図7Bで既に議論したように、ダイナミックアレイ・アーキテクチャは、トランジスタデバイスのゲート電極として機能するように定義された線形導電体を、半導体チップの1つまたは複数の層において定義された導電体形状に電気的に接続するように定義された多くのゲート電極コンタクトを含む。多くのゲート電極コンタクトのそれぞれは、トランジスタデバイスのゲート電極として機能するように定義された線形導電体形状と垂直にオーバーラップするように定義される。図7Bの典型的な実施形態に示されるように、ゲート電極コンタクト601は、矩形状であるように定義できる。別の実施形態では、ゲート電極コンタクトは実質的に正方形であるように定義できる。
図20Aは、本発明の一実施形態において、DAS10例の第1の配線レベルの仮想格子を示す図である。第1の配線レベルの仮想格子は、一定のピッチP2で配置された平行線2001の枠組みによって定義される。第1の配線レベルの仮想格子は、そのラインがDAS10が構築される基板部1803上の方向(x方向)に延び、ゲート電極レベルの仮想格子に対して垂直な方向に延びるように配向される。第1の配線レベルの仮想格子のy方向の位置および関連するピッチP2は、関連するトランジスタデバイスを配線して機能的な電子回路が形成できるように、線形導電体形状が定義される第1の配線レベルの仮想格子のラインを下位にあるゲート電極の形状に対して適切に配置することを確実にするように構築される。
図20Aの典型的な実施形態では、仮想格子のピッチP2は、第1の配線レベルの隣接するコンタクトされた線形導電体形状間で使用される最小の中心−中心間スペーシングに等しい。しかしながら、他の実施形態では、第1の配線レベルの仮想格子のピッチP2は、特定のDASに対して適切と思われる本質的に任意の値に設定できることは理解されるべきである。例えば、図20A1は、第1の配線レベルの隣接するコンタクトされた線形導電体形状間の最小中心−中心間スペーシングの2分の1に等しいピッチP2Aに設定された第1の配線レベルの仮想格子を示す。議論の目的のために、第1の配線レベルの隣接するコンタクトされた線形導電体形状間の最小中心−中心間スペーシングの2分の1をメタル1ハーフピッチと呼ぶ。また、図20A1の例では、パワー・レール形状は、第1の配線レベルの仮想格子とは別に定義されたパワー・レールの仮想ラインに沿って定義されることとは対照的に、第1の配線レベルの仮想格子に沿って定義された線形導電体形状によって取り替えられる。
一実施形態では、第1の配線レベルの仮想格子を定義するピッチは、仮想格子のラインに沿って定義された線形導電体形状の製造中のリソグラフィック補強を最適化するように設定される。別の実施形態では、第1の配線レベルの仮想格子を定義するピッチP2は、仮想格子のラインに沿って定義された線形導電体形状の密度を最適化するように設定される。仮想格子のラインに沿って定義された線形導電体形状の密度の最適化は、製造中の形状−形状リソグラフィック補強に対応していなくてもよいことは認識されるべきである。また、別の実施形態では、第1の配線レベルの仮想格子を定義するピッチは、回路性能、製造可能性あるいは信頼性の最適化に基づいて設定できる。
また、図20Aは、パワー・レール配置に対する仮想ライン2003を示す。仮想ライン2003は、第1の配線レベルの仮想格子のライン2001と同様な方法で定義される。パワー・レール仮想ライン2003のそれぞれは、その周辺の仮想格子ラインから、パワー・レール・ピッチPP1と呼ばれる距離だけ離れている。仮想格子ライン2001の場合のように、パワー・レール仮想ライン2003は、その上に定義された線形導電体形状を有するように定義される。パワー・レール・ピッチPP1は、第1の配線レベルの仮想格子のピッチP2とは独立に定義されることは理解されるべきである。
一実施形態では、パワー・レール・ピッチPP1は、第1の配線レベルの仮想格子のピッチP2と同じである。例えば、第1の配線レベルの仮想格子ピッチP2が第3の配線レベルの仮想格子ピッチP5に等しい場合、パワー・レール・ピッチPP1は、第1の配線レベルの仮想格子ピッチP2に等しくてもよい。別の例では、第3の配線レベルの仮想格子ピッチP5が第1の配線レベルの仮想格子ピッチP2より大きい場合、パワー・レール・ピッチPP1は、第1の配線レベルの仮想格子ピッチP2と異なり、第1の配線レベルと第3の配線レベル間の仮想格子ラインカウントにおける差を補っており、これによって、第1の配線レベルの仮想格子と第3の配線レベルの仮想格子とがDASの境界で配列されてもよい。
図20Bは、本発明の一実施形態において、図20Aの第1の配線レベルの仮想格子の各ライン2001に沿って定義されたノーカットの線形導電体形状2005を示す図である。図20Bの例が線形導電体形状によって占められた第1の配線レベルの仮想格子の各ラインを示しているのに対して、第1の配線レベルの仮想格子のすべてのライン、あるいは、さらに言えば、任意の仮想格子が線形導電体形状によって占められる必要はないことは理解されるべきである。各線形導電体形状2005またはそのセグメントは、その長さに沿って実質的に一定の幅W3を持つように定義される。また、第1の配線レベルは、パワー・レール仮想ライン2003に沿って定義された線形導電体パワー・レール形状2007も含む。線形導電体パワー・レール形状2007のそれぞれは、その長さに沿って実質的に一定の幅W2を持つように定義される。第1の配線レベル内で、ノーカットの線形導電体形状2005のそれぞれは、仮想格子のあるライン2001の最大形状占有を表す。しかしながら、第1の配線レベルにおける一部のノーカットの線形導電体形状2005は、機能的な電子回路を形成するために、トランジスタデバイスやその他の電子部品(例えば抵抗器、ダイオード、コンデンサなど)の配線ができるように区分化される必要があってもよい。
図18〜24DのDAS10例は、DASハロ領域へ延びるあるレベルの線形導電体形状を示しているが、DASハロ領域内の線形導電体形状の存在は、DASのDASグリッド上への配置後のDASハロ領域のコンテンツを表す。様々な実施形態では、DASハロ領域の特定のコンテンツは、DASのDASグリッド上の配置前あるいは配置後に定義されてもよい。これについては、図25A〜26D−2でさらに議論する。
図20Bなどの一実施形態では、あるDASレベル内の線形導電体形状のそれぞれは、実質的に等しい幅を持つように定義される。しかしながら、前述のように、あるDASレベル内の仮想格子の様々なラインに沿って定義された線形導電体形状は、異なる幅を持つように定義できる。例えば、図20B1は、本発明の一実施形態において、図20A1の第1の配線レベルの仮想格子の様々なライン2002に沿って定義された線形導電体形状2004A、2004Bおよび2004Cを示す図である。図20B1は、仮想格子に沿った線形導電体形状の定義に関する前述のオプションのいくつかを明示している。特に、図20B1の領域2006は、多くの仮想格子ラインが空であることを明示している。また、図20B1は、どのようにして、あるDASレベル内の線形導電体形状が異なる幅、例えば、W3A、W3BおよびW3Cを持つように定義されるかも明示している。また、図20B1の領域2008は、多くの隣接する線形導電体形状を組み合わせて単一の線形導電体形状を形成するために、どのようにして多くの隣接する線形導電体形状の幅が定義されるかも明示している。
図20Cは、本発明の一実施形態において、図20Bの線形導電体形状2005の区分化を示す図である。図20Cに示されるように、第1の配線レベルの実際のレイアウトは、そこに定義された多くのノーカットの線形導電体形状を区分化することで達成される。例えば、距離G2の多くのギャップは、様々なノーカットの線形導電体形状2005に沿って配置される。ある実施形態では、ダイナミックアレイ・アーキテクチャの第1の配線レベルにおける、ノーカットの線形導電体形状の区分化に用いられる距離G2の各ギャップは、実質的に一定であるように定義される。別の実施形態では、第1の配線レベルにおけるノーカットの線形導電体形状の区分化に用いられるギャップは、製造可能性を確実にするための必要性に応じてその寸法を変えられる。
図20Cに関して、線形導電体形状2005の区分化は、場所2012で示されるように、DAS境界近くの場所での線形導電体形状2005の一部分の除去も含むことは理解されるべきである。一実施形態では、DAS境界での線形導電体形状2005の一部の除去は、該DASから隣接するDASへの該線形導電体形状2005の連続性が必要でない場合に行われる。別の実施形態では、DAS境界での線形導電体形状2005の一部の除去は、該DAS内で定義される回路の機能要件を満たすために行なわれる。さらに別の実施形態では、DAS境界での線形導電体形状2005の一部の除去は、該DAS内の1つまたは複数の形状の製造可能性を支援するために行なわれる。一実施形態では、ある線形導電体形状2005の一部は、場所2012で示されるように、線形導電体形状2005の一部をDASハロ領域に残しながらDAS境界で除去される。DAS境界に近い場所で除去された線形導電体形状2005の一部の長さは、DASの要件に応じて、あるいはDAS−DAS間のインターフェース要件に応じて変えられることは認識されるべきである。しかしながら、DAS境界での線形導電体形状2005の一部分の除去は、DAS内の周辺の線形導電体形状2005の製造可能性に悪影響を与えないように行わねばならないことも理解されるべきである。
図21Aは、本発明の一実施形態において、DAS10例の第2の配線レベルの仮想格子を示す図である。第2の配線レベルの仮想格子は、一定のピッチP3で配置された平行線2101の枠組みによって定義される。第2の配線レベルの仮想格子は、DAS10が構築される基板部1803上の方向(y方向)にそのラインが延び、第1の配線レベルの仮想格子に対して垂直な方向に延びるように配向される。
x方向における第2の配線レベルの仮想格子の位置および関連するピッチP3は、ゲート電極レベルの仮想格子と第2の配線レベルの仮想格子との関係に基づいて構築される。図21Bは、本発明の一実施形態において、第2の配線レベルの導電体形状とゲート電極レベルの導電体形状間での3:2ピッチ関係に基づいて定義された、DAS10例の第2の配線レベルの仮想格子とゲート電極レベルの仮想格子間の間隔関係を示す図である。DAS10例の第2の配線レベルの仮想格子は、第2の配線レベルの仮想格子ライン2101とゲート電極レベルの仮想格子ライン1901とのピッチ比が3:2となるように定義される。この例において、第2の配線レベルの仮想格子のピッチP3は、第2の配線レベルの仮想格子ライン2101の3ピッチがゲート電極レベルの仮想格子ライン1901の2ピッチごとに与えられるように定義される。
ダイナミックアレイ・アーキテクチャの第2の配線レベルとゲート電極レベル間の3:2仮想格子ピッチ比は、ある特定の実施形態の例として提供されることは認識されるべきである。別の実施形態では、ダイナミックアレイ・アーキテクチャの第2の配線レベルとゲート電極レベル間で、異なる仮想格子ピッチ比を定義することができる。一般的には、第2の配線レベルとゲート電極レベル間の仮想格子ピッチ比は、第2の配線レベルの導電体形状とゲート電極レベルの導電体形状との連続する配列間の、第2の配線レベルの多くの導電体形状のピッチを表す整数(a)とゲート電極レベルの多くの導電体形状のピッチを表す整数(b)を用いて、整数比(a/b)で表される。一実施形態では、仮想格子ピッチ比(a/b)を可能な限り1に近く設定するよう試みられている。この実施形態では、第2の配線レベルの導電体形状とゲート電極レベルの導電体形状間の配列パターンは、DAS全域において最小間隔で繰り返されるであろう。しかしながら、特定の実施形態にもかかわらず、ピッチと配列の観点での特定の間隔関係は、第2の配線レベルの仮想格子とゲート電極レベルの仮想格子間に存在することは理解されるべき点である。
図21Cは、本発明の一実施形態において、第2の配線レベルの仮想格子の各ライン2101に沿って定義されたノーカットの線形導電体形状2103を示す図である。図21Cの例は、線形導電体形状によって占められた第2の配線レベルの仮想格子の各ラインを示しているが、第2の配線レベルの仮想格子のすべてのライン、あるいは、さらに言えば、任意の仮想格子が線形導電体形状によって占められる必要はないことは理解されるべきである。図21Cの例では、各線形導電体形状2103あるいはそのセグメントは、その長さに沿って実質的に一定の幅W4を持つように定義される。しかしながら、他の実施形態においては、DASの第2の配線レベルの全域で定義された様々な線形導電体形状は、その長さに沿った幅が実質的に一定の、異なる幅を有するように定義できることは理解されるべきである。また、第2の配線レベル内で、ノーカットの線形導電体形状2103のそれぞれは、仮想格子のあるライン2101の最大形状占有を表す。しかしながら、第2の配線レベルにおける一部のノーカットの線形導電体形状2103は、機能的な電子回路を形成するために、トランジスタデバイスやその他の電子部品(例えば抵抗器、ダイオード、コンデンサなど)の配線ができるように区分化される必要があってもよい。
図21Dは、本発明の一実施形態において、第2の配線レベル内の線形導電体形状2103の区分化を示す図である。図21Dに示されるように、第2の配線レベルの実際のレイアウトは、多くのノーカットの線形導電体形状2103の区分化によって達成される。例えば、距離G3の多くのギャップは、様々なノーカットの線形導電体形状2103に沿って配置される。一実施形態では、ダイナミックアレイ・アーキテクチャの第2の配線レベルにおけるノーカットの線形導電体形状の区分化に用いられる距離G3のギャップはそれぞれ、実質的に一定であるように定義される。別の実施形態では、第2の配線レベルにおけるノーカットの線形導電体形状の区分化に用いられるギャップは、製造可能性を確実にするための必要性に応じてその寸法を変えられる。
図22Aは、本発明の一実施形態において、第2の配線レベルの導電体形状とゲート電極レベルの導電体形状間で4:3のピッチ関係に基づいて定義された、DAS10例の第2の配線レベルの仮想格子を示す図である。図22Aの例における第2の配線レベルの仮想格子は、一定のピッチP4で配置された平行線2201の枠組みによって定義される。図22Aの第2の配線レベルの仮想格子は、そのラインが第1の配線レベルの仮想格子に対して垂直な方向に延びるように配向される。
第2の配線レベルの仮想格子のx方向の位置および関連するピッチP4は、第2の配線レベルの導電体形状とゲート電極レベルの導電体形状間の4:3のピッチ関係に基づいて構築される。図22Bは、本発明の一実施形態において、第2の配線レベルの導電体形状とゲート電極レベルの導電体形状間で4:3のピッチ関係に基づいて定義された、ダイナミックアレイ・セクションの第2の配線レベル仮想格子とゲート電極レベルの仮想格子間の間隔関係を示す図である。図22Bに示されるように、第2の配線レベルの仮想格子のピッチP4は、第2の配線レベルの仮想格子ラインの4ピッチがゲート電極レベルの仮想格子ラインの3ピッチごとに与えられるように、定義される。
図22Cは、本発明の一実施形態において、第2の配線レベルの仮想格子の各ライン2201に沿って定義されたノーカットの線形導電体形状2203を示す図である。各線形導電体形状2203あるいはそのセグメントは、その長さに沿って実質的に一定の幅W5を持つように定義される。第2の配線レベル内で、ノーカットの線形導電体形状2203のそれぞれは、仮想格子のあるライン2201の最大形状占有を表す。しかしながら、第2の配線レベルにおける一部のノーカットの線形導電体形状2203は、機能的な電子回路を形成するために、トランジスタデバイスやその他の電子部品(例えば抵抗器、ダイオード、コンデンサなど)の配線ができるように区分化される必要があってもよい。
図22Dは、本発明の一実施形態において、第2の配線レベル内の図22Cの線形導電体形状2203の区分化を示す図である。図22Dに示されるように、第2の配線レベルの実際のレイアウトは、多くのノーカットの線形導電体形状2203の区分化により達成される。例えば、距離G4の多くのギャップは、様々なノーカットの線形導電体形状2203に沿って配置される。一実施形態では、ダイナミックアレイ・アーキテクチャの第2の配線レベルにおけるノーカットの線形導電体形状の区分化に用いられる距離G4の各ギャップは、実質的に一定であると定義される。別の実施形態では、第2の配線レベルにおけるノーカットの線形導電体形状の区分化に用いられるギャップは、製造可能性を確実にするための必要性に応じてその寸法を変えられる。
図23は、本発明の一実施形態において、識別された多くの典型的なビア位置2301を有する図22Dの第2の配線レベルを示す図である。各DAS内で、仮想格子ラインが互いに交差するそれぞれの場所はビアの潜在的な場所である。したがって、仮想ビアグリッドは、それぞれがビアの潜在的な場所を表す、2つの異なるDASレベルの仮想格子ラインが互いに交差する様々な場所によって定義される。例えば、典型的なビア位置2301は、第2の配線レベルの仮想格子ラインが第1の配線レベルの仮想格子ラインと交差する場所に定義される。下位にある導電体形状上へのビアの完全な配置を確実にするために、下位にある導電体形状を実際のビア場所を超えて拡張することが必要とされてもよい。下位の導電体形状の拡張距離、すなわち、末端オーバーラップによって、下位の導電体形状に関連したライン末端短縮効果のために、下位の導電体形状上へのビアの完全な配置が妨げられないことが確実となる。この点を示すために、典型的なビア位置2301のすぐ下の第1の配線レベルの線形導電体形状間のギャップG2は、その上にビアが典型的なビア位置2301に配置される各線形導電体形状の拡張、すなわち、末端オーバーラップを許容するように配置される。
図24Aは、本発明の一実施形態において、DAS10例の第3の配線レベルの仮想格子を示す図である。第3の配線レベルの仮想格子は、一定のピッチP5で配置された平行線2401の枠組みによって定義される。第3の配線レベルの仮想格子は、DAS10が構築される基板部1803上のx方向にそのラインが延び、第2の配線レベルの仮想格子に対して垂直な方向に延びるように配向される。
第3の配線レベルの仮想格子のy方向の位置および関連するピッチP5は、第1の配線レベルの仮想格子(図20A〜20C参照)と第3の配線レベルの仮想格子間の関係に基づいて構築される。図24Bは、本発明の一実施形態において、DAS10例の第3の配線レベルの仮想格子と第1の配線レベルの仮想格子間の間隔関係を示す図である。一実施形態では、DASの第3の配線レベルの仮想格子と第1の配線レベルの仮想格子間の間隔関係は、以下の式1で定義される。
式中、P5は第3の配線レベルの仮想格子のピッチを、P2は第1の配線レベルの仮想格子のピッチを、PP1は第1の配線レベルに用いられるパワー・レール・ピッチを、aは第3の配線レベルの仮想格子内に定義される平行線の数を、bは第1の配線レベルのパワー・レール仮想ラインの数を含まない第1の配線レベルの仮想格子内に定義される平行線の数である。DAS10例において、aは10、bは9である。したがって、DAS10の例では、P5は以下の式によるPP1とP2の関数として定義される。
P5(1/9)=[(2*PP1)+(8*P2)]
式1は、あるDAS実施形態における第3の配線レベルの仮想格子と第1の配線レベルの仮想格子間の関係を定義しているが、その他のDAS実施形態では、第3の配線レベルの仮想格子と第1の配線レベルの仮想格子間で異なる関係を用いてもよいことは認識されるべきである。特定の実施形態にかかわらず、ピッチと配列の観点からの特定の間隔関係は、第3の配線レベルの仮想格子と第1の配線レベルの仮想格子間に存在することは理解されるべき点である。
図24Cは、本発明の一実施形態において、第3の配線レベルの仮想格子の各ライン2401に沿って定義されたノーカットの線形導電体形状2403を示す図である。図24Cの例は、線形導電体形状によって占められた第3の配線レベルの仮想格子の各ラインを示しているが、第3の配線レベルの仮想格子のすべてのライン、あるいは、さらに言えば、任意の仮想格子が線形導電体形状によって占められる必要はないことは理解されるべきである。各線形導電体形状2403あるいはそのセグメントは、その長さに沿って実質的に一定の幅W6を持つように定義される。しかしながら、その他の実施形態では、DASの第3の配線レベル全域で定義された様々な線形導電体形状は、その長さに沿った幅が実質的に一定の、異なる幅を有するように定義できることは理解されるべきである。また、第3の配線レベル内で、ノーカットの線形導電体形状2403のそれぞれは、仮想格子のあるライン2401の最大形状占有を表す。しかしながら、第3の配線レベルにおける一部のノーカットの線形導電体形状2403は、機能的な電子回路を形成するために、トランジスタデバイスやその他の電子部品(例えば抵抗器、ダイオード、コンデンサなど)の配線ができるように区分化される必要があってもよい。
図24Dは、本発明の一実施形態において、第3の配線レベル内の線形導電体形状2403の区分化を示す図である。図24Dに示されるように、第3の配線レベルの実際のレイアウトは、多くのノーカットの線形導電体形状2403の区分化により達成される。例えば、距離G5の多くのギャップは、様々なノーカットの線形導電体形状2403に沿って配置される。一実施形態では、ダイナミックアレイ・アーキテクチャの第3の配線レベルにおけるノーカットの線形導電体形状の区分化に用いられる距離G5の各ギャップは、実質的に一定であると定義される。別の実施形態では、第3の配線レベルにおけるノーカットの線形導電体形状の区分化に用いられるギャップは、製造可能性を確実にするための必要性に応じてその寸法を変えられる。
図21D、22Dおよび24Dに関して、線形導電体形状2103、2203および2403の区分化は、DAS境界近くの場所での線形導電体形状の一部の除去も含むことができることは理解されるべきである。一実施形態では、DAS境界での線形導電体形状2103、2203、2403の一部の除去は、該DASから周辺のDASへの線形導電体形状の連続性が必要でない場合に行われる。DAS境界近くの場所で除去された線形導電体形状2103、2203、2403の一部の長さは、DAS−DAS間のインターフェース要件に応じて変えられることは認識されるべきである。しかしながら、DAS境界での線形導電体形状2103、2203および2403の一部の除去は、DAS内の周辺の線形導電体形状の製造可能性に悪影響を与えないように行われるべきであることも理解されるべきである。
図18で既に説明したように、各DASは関連する製造保証ハロ(DASハロ)を有する。各DASハロは、関連するDAS内の機能的形状が周辺のDASによる製造への悪影響を受けないように、また、周辺の各DASの製造に与える影響に関して、関連するDASの特性が適切に考慮されるように、関連するDASのDASグリッド(図17参照)上への配置を容易にするように定義される。言い換えれば、DASハロは、チップ領域利用の最適化を可能にしながら、あるDASの別のDASへの近接配置を調整して、それぞれのDASの製造可能性を確実することができる機構を定義する。
あるDASのDASハロは、多くの適合性指定を含むように区分化できる。例えば、DASの各レベルにおけるダイナミックアレイ・アーキテクチャの線形特性が与えられると、一実施形態では、あるDASの境界がDASグリッドの第1の方向に平行であるか、あるいはDASグリッドの第2の方向(第2の方向は第1の方向に対して垂直である)に平行であるかに応じて、異なる適合性指定が該DASの境界に関するDASハロのセグメントに適用されることが期待できる。さらに、一実施形態では、DASグリッドの第1の方向のDAS境界に沿って定義されたDASハロ・セグメントはそれぞれ、共通の適合性指定を有してもよい。同様に、DASグリッドの第2の方向のDAS境界に沿って定義されたDASハロ・セグメントはそれぞれ、共通の適合性指定を有してもよい。
図25Aは、本発明の一実施形態において、それぞれの境界適合性指定(c1〜c5)を有する多くの典型的なDAS(DAS1〜DAS11)を示す図である。図25Aに関して、各DAS(DAS1〜DAS11)の周囲の点線はDASのDASハロを表す。各DASハロの境界適合性指定は、ラベルc1、c2、c3、c4あるいはc5で識別される。一実施形態では、DASの各境界セグメントは境界適合性指定を与えられる。したがって、DAS境界適合性指定を手段として、各DASのそれぞれの境界の配置は、それに近接する各DASのそれぞれの境界に関連すると考えられる。
一実施形態では、多くのDASはDASライブラリを形成するように定義されてもよい。共通のDAS境界適合性指定をあるDASライブラリにおけるDASそれぞれに適用できるように、該ライブラリのDASそれぞれが同様な特性を有するように定義されている、様々な電子論理ゲート、デバイス、回路あるいは構成要素を形成する多くのDASを含むように、DASライブラリは定義されてもよい。また、この実施形態では、共通のDAS境界適合性指定を、共通の方向に延びるDASライブラリにおける各DASのそれぞれの境界に適用することもできる。さらに、第1の方向に延びる各DASのそれぞれの境界が第1のDAS境界適合性指定を割り当てられ、第2の方向に延びる各DASのそれぞれの境界が第2のDAS境界適合性指定を割り当てられるように、異なるDAS境界適合性指定をDASライブラリに適用することもできる。
図25Bは、本発明の一実施形態において、それぞれのDAS境界適合性指定に従って、DASグリッド上の図25AのDASの典型的なアセンブリを示す図である。DASクラスタは、DASグリッド上のDASのアセンブリとして定義され、DASアセンブリにおける各DASは、DAS境界の少なくとも一部を該DASアセンブリの別のDASと共有する。図25Bの以下の説明において、第1のDASクラスタは、DAS1、DAS3、DAS4、DAS7、DAS8、DAS9およびDAS10で定義される。また、図25Bに関して、第2のDASクラスタは、DAS3、DAS5、DAS6およびDAS11で定義される。一実施形態では、別個のDASの特定の境界に対する同様なDAS境界適合性指定は、同様なDAS境界適合性指定を有するそれらの該特定の境界が同一ラインに配列できるように、該別個のDASをDASグリッド上に配置できることを示している。例えば、DAS1およびDAS2はそれぞれ、DAS境界適合性指定がc2の隣接する境界を有する。したがって、DAS1とDAS2は、DAS境界適合性指定がc2のそれらの隣接する境界が同一ラインに配列されるように、互いにDASグリッド上に配置される。このように、他のDAS境界は、DAS1とDAS4、DAS1とDAS7、DAS4とDAS8、DAS7とDAS8、DAS7とDAS9、DAS8とDAS9、DAS9とDAS10、DAS3とDAS5、DAS5とDAS6およびDAS6とDAS11で例示されるように、DASグリッド上で同一ラインに配列できる。
一実施形態では、特定の境界に対して異なるDAS境界適合性指定を有する個々のDASは、該個々のDASの製造が互いに悪影響を与えないことを確実にするために、グリッド上で離れて配置されるべきであることを示している。一実施形態では、異なるDAS境界適合性指定を有する個々のDASの隣接する境界は離れて配置し、それらの隣接する境界に関連するDASハロ部分がオーバーラップしないようにする。例えば、DAS2とDAS3はそれぞれ、DAS境界適合性指定がc2とc3の隣接する境界を有している。したがって、DAS2とDAS3は、それらの隣接する境界に関連するDASハロ部分がオーバーラップしないように互いにDASグリッド上に配置される。このように、不適合なDAS境界指定を有する他のDAS境界は、DAS41とDAS5、DAS8とDAS5、DAS10とDAS11、DAS3とDAS6およびDAS5とDAS11で例示されるように、互いに離間して配置される。
同様なDAS境界適合性指定を有する個々のDASの境界は、DASグリッド上の同一ラインに配列できるが、こうした同一ライン上の配置は厳密には必要でないことは理解されるべきである。例えば、近接する境界に関して同様なDAS境界適合性指定を有するDASは、近接する境界間に意図的に分離を与えるように、DASグリッド上に配置されてもよい。図25Cは、本発明の一実施形態において、意図的に定義された空領域2501を有する、それぞれのDAS境界適合性指定に従った、DASグリッド上の図25AのDASの典型的なアセンブリを示す図である。具体的に、図25Cの例では、DAS8の上部境界およびDAS4の下部境界のDAS境界適合性指定は、DASグリッド上でのそれらの同一ライン上の配置を可能にするが、DAS8は、DSA4から離間するようにDASグリッド上に配置され、これによって空の領域2501を形成している。通常、チップ領域は貴重であるため、意図的にそのような空領域2501を形成することは通常発生しなくてもよい。しかしながら、ダイナミックアレイ・アーキテクチャは十分に柔軟であり、必要であれば、そうした空の領域501を意図的に形成することができることは認識されるべきである。
図26A−1は、本発明の一実施形態において、典型的なDAS2600のあるレベルを示す図である。典型的なDAS2600は、関連するDAS境界2601および関連するDASハロ境界2603を有しており、これによって、DAS境界2601の外側にDASハロ領域2605を形成する。多くの線形導電体形状2607は、DAS2600のレベル内に見られる。ある実施形態では、DASのあるレベルのDASハロ領域、例えばDASハロ領域2605の初期コンテンツは、該DASのDASグリッド上への配置に先立って、DASの該レベルと共に事前定義される。図26A−2は、多くの補強形状2609を含むように事前定義されたDASハロ領域2605を有する典型的なDAS2600を示す図である。補強形状2609は例示の目的で示されており、DASハロ領域内に定義される補強形状の長さ、配置、数あるいは区分化に関して特定の要件を伝えるようには意図されていないことは理解されるべきである。
図26B−1は、本発明の一実施形態において、別の典型的なDAS2602のレベルを示す図である。典型的なDAS2602は、関連するDAS境界2611および関連するDASハロ境界2617を有しており、これによって、DAS境界2611の外側にDASハロ領域2615を形成している。多くの線形導電体形状2613がDAS2602のレベル内に示される。図26B−2は、多くの補強形状2619を含むように事前定義されたDASハロ領域2615を有する典型的なDAS2602を示す図である。補強形状2619は例示の目的で示されており、DASハロ領域内に定義される補強形状の長さ、配置、数あるいは区分化に関して特定の要件を伝えるようには意図されていないことは理解されるべきである。
一実施形態では、多くのDASは、それぞれのDASハロ領域コンテンツと共にDASグリッド上に配置されて、DASクラスタを形成する。この実施形態では、各DASのあるレベルのDASハロ領域の事前定義されたコンテンツは、DASがDASグリッド上に配置されると変更される可能性がある。より具体的には、あるDASがDASグリッド上に配置されると、該DASに関連したDASハロ領域の一部は、周辺のDASによってDASハロ領域の一部の場所が占有されることによって削除することができる。さらに、この実施形態では、DASハロ領域の一部の事前定義されたコンテンツは、DASがDASグリッド上に配置されると、該DASハロ領域がそれ自体で見出すコンテクストに応じて変更される可能性がある。
図26C−1は,本発明の一実施形態において、図26A−2のDAS2600と図26B−2のDAS2602のDASグリッド上への典型的な配置を示す図である。図26C−1の実施形態では、DASハロ領域2605の事前定義されたコンテンツは、DAS2600と共にDASグリッド上に配置される。また、図26C−1の実施形態では、DASハロ領域2615の事前定義されたコンテンツは、DAS2602と共にDASグリッド上に配置される。DAS2600とDAS2602のそれぞれは、DAS2600の下部境界とDAS2602の上部境界とが同一ライン上に配列され、DAS2600の下部境界とDAS2602の上部境界は、DAS2600とDAS2602の図示された配向に対して参照されるように、DASグリッド上に配置される。したがって、DAS2600の下部境界とDAS2602の上部境界は、共通のDAS境界適合性指定を共有する。
DAS2600のDASハロ領域2605の中央下方部はDAS2602によって占められるので、DAS2600のDASハロ領域2605の中央下方部は削除される。同様に、DAS2602のDASハロ領域2615の中央上方部はDAS2600によって占められるので、DAS2602のDASハロ領域2615の中央上方部は削除される。また、図26C−1に示されるように、DAS2600およびDAS2602がDASグリッド上に配置されると、DASハロ・オーバーラップ領域2621ができる。具体的には、オーバーラップ領域2621において、DAS2600のDASハロ領域2605の外部下方部とDAS2602のDASハロ領域2615の外部上方部は、互いにオーバーラップする。
一実施形態では、複数のDASのDASグリッド上への配置は、DASハロ・オーバーラップ領域内のDASハロ領域部の事前定義されたコンテンツが、複数のDASの任意のものにおける形状の製造可能性に悪影響を与えないように、適合性を有するようにコントロールされる。例えば、図26C−1で、DASハロ・オーバーラップ領域2621内のDASハロ領域2605およびDASハロ領域2615のコンテンツは実際に、DASハロ領域2605と2615の初期の事前定義されたコンテンツを維持するように配列する。しかしながら、DASハロ・オーバーラップ領域の生成コンテンツが、周辺のDAS内の形状の製造可能性に悪影響を与えない限り、同領域の生成コンテンツが、オーバーラップに関わるそれぞれのDASハロ領域の初期の事前定義されたコンテンツを維持することは厳密には必要ではないことは理解されるべきである。
別の実施形態では、多くのDASは、DASハロ領域コンテンツのないDASグリッド上に配置されてDASクラスタを形成する。この実施形態では、様々なDASは、関連するDASハロコンテンツを考慮せずに、そのDAS境界適合性指定に従って配置される。例えば、図26C−2は、本発明の一実施形態において、それぞれのDASハロを考慮せずに、DAS2600とDAS2602のDASグリッド上への典型的な配置を示す図である。図26C−2の実施形態では、DAS2600の下部境界とDAS2602の上部境界が、それらの共通のDAS境界適合性指定に応じて、同一ラインに配列されるように、DAS2600とDAS2602はそれぞれDASグリッド上に配置される。 DAS2600とDAS2602のアセンブリはDASクラスタを表す。
DAS境界適合性指定に従って様々なDASを配置してDASクラスタを形成後、DASハロ境界を該DASクラスタの周辺に配置できる。例えば、図26C−3は、DASハロ領域2623を形成するために、DASクラスタの周辺に定義されたDASハロ境界2625を有する、図26C−2のDASクラスタを示す図である。その後、DASクラスタを補うDASにおける様々な形状の製造可能性の補強/支援の必要性に応じて、DASハロ領域2623のコンテンツを定義できる。例えば、図26C−4は、DASハロ領域2623の定義されたコンテンツを示す図である。多くの補強形状2627は、DASハロ領域2623内に示される。補強形状2627は例示の目的で示されており、DASハロ領域内に定義される補強形状の長さ、配置、数あるいは区分化に関して特別の要求を伝えるようには意図されないことは理解されるべきである。別の実施形態では、DASクラスタの周辺に定義されたDASハロ領域のすべてまたは一部は、DASクラスタのDAS内の形状の良好な製造可能性にとって適切であれば、空にしておくことができる。例えば、この実施形態では、DASハロ領域2623のすべてあるいは一部は空、すなわち、補強形状なしであってもよい。
一旦DASがDASグリッドに配置されれば、あるDASから別のDASに線形導電体形状を機能的に配線することが必要とされてもよい。一実施形態では、多くのDAS配線セグメントは、場所とルートのプロセスの間に定義され、各DAS配線セグメントは、第1のDASのあるレベルにおける線形導電体形状を、第1のDASに隣接する第2のDASのあるレベルにおける同一ライン上の線形導電体形状と接続するように定義される。例えば、図26C−5は、図26C−4で定義された多くのDAS配線セグメント2629を有する同図のDASクラスタの特定のレベルを示す図である。DAS配線セグメント2629は例示の目的で示されており、DAS間に定義されるDAS配線セグメントの長さ、配置あるいは数に関して特定の要件を伝えるようには意図されていないことは理解されるべきである。
図26D−1は、本発明の一実施形態において、半導体チップのダイナミックアレイ・アーキテクチャ領域の定義方法のフローチャートを示す図である。この方法は、対応するDASハロを有する多くのDASをDASグリッドに配置してDASクラスタを形成する操作2631を含む。DASグリッドに多くのDASを配置する際、別のDASの内部領域に重なるDASハロ部分はそれぞれ除外される。したがって、DASグリッド上の場所はそれぞれ、DASの内部領域あるいはDASハロ領域のいずれかによって占められ得るが、その両方ではない。この概念は、図26C−1で既に説明している。対応するDASハロを有するDASをDASグリッド上に配置すると、チップのあるレベルにおけるDASハロの残りの部分とそれぞれのコンテンツを用いて、チップの該レベルにおけるDASクラスタのためのDASハロを形成する。
また、この方法は、DASクラスタの各レベル内の必要なDAS−DAS間の機能的な配線を定義する操作2633も含む。DAS−DASの機能的な配線は、図26C−5に関して既に説明したように、DAS配線に対応する。一実施形態では、必要なDAS配線を定義する操作2633は、場所とルートプロセスの間に行なわれる。しかしながら、他の実施形態では、操作2633は場所とルートプロセス外で行なうことができる。
図26D−2は、本発明の一実施形態において、半導体チップのダイナミックアレイ・アーキテクチャ領域の定義方法のフローチャートを示す図である。この方法は、多くのDASをDASグリッド上に配置して、該多くのDASに関連した様々なDASハロを考慮せずにDASクラスタを形成する操作2641を含む。また、この方法は、DASクラスタ周囲外にDASハロ領域を形成するように、DASクラスタの周囲のDASハロ境界の定義操作2643も含む。その後、操作2645を行って、DASクラスタを形成するよりDAS内の形状の製造可能性を確実にするために、DASハロ領域のコンテンツを定義する。様々な実施形態では、DASクラスタのDASの内の形状の製造を補強するために、DASハロ領域のコンテンツは、配向、サイズおよび間隔に関して定義された多くの補強形状を含むことができる。また、一部の実施形態では、DASハロ領域の1つまたは複数の部分は空にしておく、つまり、補強形状なしとすることができる。
この方法はさらに、DASクラスタを形成する各DASの各レベル内に、必要となるDAS−DASの機能的な配線を定義する操作2647を含む。図26C−5に関して既に説明したように、DAS−DASの機能的な配線はDAS配線に対応する。一実施形態では、必要なDAS配線の定義操作2647は場所とルートプロセスの間に行なわれる。しかしながら、その他の実施形態では、操作2647は場所とルートプロセス外に行なうことができる。
DASは、1つまたは複数の論理セル部分、1つまたは複数の完全な論理セル、あるいは完な論理セルと部分的な論理セルの組み合わせを形成するように定義することができることは理解されるべきである。一実施形態では、論理セル境界は複数のゲート電極形状を含む。より具体的には、この実施形態では、ゲート電極形状に平行な論理セルの境界はゲート電極ハーフピッチに位置する。したがって、この実施形態では、論理セルの境界は、論理セル境界がゲート電極ハーフピッチに位置するように、ゲート電極レベルの仮想格子に基づいて定義される。各論理セルは、基板面に対して垂直な方向から見て、セル高さとセル幅を持つように定義される。一実施形態では、第1の配線レベルと第3の配線レベルにおける導電体形状間隔の関係は、第1の配線レベルと第3の配線レベルの導電体形状が高さ定義の論理セル境界に配列するように、論理セル高さが選択できる。
論理セルのあるレベル、つまり論理セルを含むDASのあるレベルにおける導電体形状は、論理セルの始点に対してインデックス付けされる。議論の目的のために、あるレベルにおける論理セルの始点が、基板面に対して垂直な方向から見て、論理セルの左下コーナに位置すると考えられる。論理セル幅は可変であるため、幅方向の論理セル境界は必ずしも、あるDASレベル内の(ゲート電極レベル上の)導電体形状ピッチあるいはハーフピッチに位置しなくてもよい。したがって、該DASレベルの仮想格子に対する論理セルの始点に応じて、該DASレベルにおける導電体形状は、該DASレベルの仮想格子と一致するために、論理セル始点に対してシフトする必要があってもよい。論理セルの始点に対する論理セルのあるレベルにおける導電体形状のシフトはフェイジングと呼ばれる。従って、フェイジングによって、論理セルの始点の場所に応じて、論理セルのあるレベルにおける導電体形状を該レベルにおけるDASの仮想格子に配列させることができる。例えば、ゲート電極の仮想格子が論理セル境界を横切って延びる場合、フェイジングによって、ある論理セルにおける第2の配線レベルの導電体形状の、第2の配線レベルの仮想格子との配列を維持させる必要があってもよい。
図33は、本発明の一実施形態において、DAS内に定義された、隣接して配置された論理セルの第2の配線レベルにおける異なるフェイジングの一例を示す図である。図33は、DASにおいて互いに隣接して配置された3つの典型的なセル(セル1、フェイズA;セル1、フェイズB;セル1、フェイズC)を示す。したがって、3つのセルのそれぞれは、該DASの各レベルにおける仮想格子を共有する。フェイジング概念の説明を容易にするために、各レベルの第2の配線レベルの導電体形状3303は、各セルのゲート電極レベルの導電体形状3301上に重ね合わせて示される。幅方向のセル境界はゲート電極ハーフピッチに位置している。また、第2の配線レベルの導電体形状とゲート電極レベルの導電体形状との間隔は、第2の配線レベルの導電体形状の4ピッチがゲート電極レベルの導電体形状の3ピッチごとに与えられるように、4:3ピッチ比に基づいて定義される。各セルの始点は、セルの下部左コーナに存在するように示される。
第2の配線レベルに対するセル1の各フェイジングは、セルの始点に対して第2の配線レベルの導電体形状のインデックス付けにより定義される。図33の例で示されるように、始点に対する第2の配線レベルの導電体形状のインデックス、つまり間隔は、フェイズA、BおよびCのそれぞれに対して連続的に縮小される。各論理セルの各レベルが適切なフェイズを有するように定義することにより、ある共通のDASにおいて論理セルを互いに隣に配置して、あるDASレベル内の様々な論理セル内で定義された導電体形状が、該DASレベルに関連する共通の仮想格子に配列できるようにすることが可能である。さらに、DASの1つまたは複数のレベルにおいて導電体形状を共有するように、DAS内の隣接するセルを定義し配置できることは認識されるべきである。例えば、図33のセル1のフェイズA、BおよびCは、ゲート電極レベルの導電体形状と第2の配線レベルの導電体形状とを共有するとして描かれている。
図27Aは、本発明の一実施形態において、論理セルを定義する典型的なDAS2700を示す図である。例示の目的で、DAS2700は完全な論理セルを定義する。図27AのDAS2700は、基板の一部内に定義された多くの拡散領域2703、多くの拡散コンタクト2705、多くのゲート電極の線形導電体形状2707および多くのゲート電極コンタクト2709を示す。DAS境界2701はDAS2700の周囲に定義される。図18〜24Dに関して既に説明したDAS10例などの一部の実施形態では、DASの各構成要素はDAS境界内に定義される。しかしながら、一部の実施形態では、拡散領域や拡散コンタクトなどのDAS内部形状は、DAS境界を越えて延び、DASの不可欠な構成要素と考えられ続けると定義できる。例えば、DAS2700においては、拡散領域2703と多くの拡散コンタクト2705は、DAS境界2701を越えて延びると定義される。DAS境界2701の外部に延びる拡散領域2703と拡散コンタクト2705の部分は、DAS2700の不可欠な構成要素として残る。
DAS境界を超えるDAS構成要素の拡張によって、拡張されたDAS構成要素が1つまたは複数の周辺のDASにより共有化されてもよい。例えば、図27Bは、DAS境界を超えて延びるDAS構成要素を共有化するように、互いに隣接して配置されたDAS2700の多くの例を示す。より具体的には、DAS例2701Aおよび2701Bは、DAS2700例と同じ方法で配向され、それらの周辺の境界が同一ラインになるように互いに隣に配置される。DAS例2701Aおよび2701Bの配置によって、それら2つの間の拡散領域部分と拡散コンタクト部分の共有化が可能となる。DAS例2701Cと2701Dのそれぞれは、y方向に反転されたDAS2700例を表す。DAS例2701Cおよび2701Dのそれぞれは、それらの周辺の境界が同一ラインになるように互いに隣に配置される。DAS例2701Cおよび2701Dの配置によって、それらの間の拡散領域部分と拡散コンタクト部分の共有化が可能となる。また、DAS例2701Cと2701Dの配置によって、DAS例DAS2701CとDAS2701A間、DAS例DAS2701CとDAS2701B間、およびDAS例2701Dと2701B間それぞれの拡散領域部分と拡散コンタクト部分の共有化が可能となる。
図28Aは,本発明の一実施形態において、1つまたは複数の機能的にインターフェースされたダイナミックアレイ・セクション(DAS)を有する半導体チップの設計方法のフローチャートを示す図である。この方法は、DASのゲート電極レベルを定義するために使用される導電体形状用の仮想格子のレイアウト操作2801を含む。仮想格子は、実質的に一定のピッチで定義された平行線の枠組みによって定義される。操作2803は、仮想格子のすべてのラインに沿って1つまたは複数の導電体形状を配列するために提供される。各導電体形状は、その幅がある仮想格子のあるライン上に実質的に集中するように、該仮想格子の該ライン上に配置される。既に言及したように、導電体形状の幅は、導電体形状が配置される仮想格子を定義する平行線の枠組みに対して同一平面および垂直の関係で測定される。
操作2803における導電体形状の配置は、トランジスタデバイスを形成し、トランジスタデバイスと他の電子部品(例えば抵抗器、ダイオード、コンデンサなど)との配線により機能的電子回路を形成するために行われることは認識されるべきである。仮想格子の各ラインに対して操作2805を行い、仮想格子の共通の線に沿って配置された隣接する導電体形状のそれぞれの組の近接端部間のギャップを定義する。各ギャップは、導電体形状の近接端部間の実質的に一貫した間隔を維持するように定義される。DASのゲート電極レベル内で、一部の導電体形状は、トランジスタデバイスのゲート電極を定義するように設計される。一実施形態では、ダイナミックアレイ・セクションのあるレベルにおける隣接する導電体形状のそれぞれの組の近接端部間で定義される各ギャップのサイズは、そのレベルの仮想格子を定義するラインに対して導電体形状全体の占有を最大化するように、電気的性能の制約条件内で最小化される。また、一実施形態では、DASのあるレベルの仮想格子のすべてのラインに沿った1つまたは複数の導電体形状の配置は、そのレベルの仮想格子を定義する隣接するラインにおいて隣接するギャップを回避するために行われる。
この方法はさらに、導電体形状が仮想格子の平行線の枠組みに実質的に配列してとどまるように、各導電体形状を実質的に方向変化がないように定義する操作2807を含む。一実施形態では、任意の導電体形状の任意の点における幅がこの導電体形状の公称幅の50%を超えて変化する場合、この導電体形状の方向の実質的変化が起こる。別の実施形態では、任意の導電体形状の任意の第1の場所と任意の第2の場所における幅の差が、第1の場所の幅の50%を超えて変化する場合、この導電体形状の方向の実質的変化が起こる。
図28Bは、本発明の一実施形態において、図28Aの方法のフローチャートの続きを示す図である。操作2809は、該DASの別のレベルの導電ラインを定義するために使用される導電体形状用の別の仮想格子をレイアウトするために行われる。操作2809の他の仮想格子は、実質的に一定のピッチで定義される平行線の枠組みによって定義される。また、操作2809の他の仮想格子は、DASの各仮想格子が隣接するレベルの仮想格子に対して垂直となるように定義される。操作2811は、操作2809でレイアウトされた他の仮想格子のすべてのラインに沿って1つまたは複数の導電体形状を配置するために行われる。操作2811では、トランジスタデバイスと他の電子部品(例えば抵抗器、ダイオード、コンデンサなど)との配線により機能的電子回路を形成できるように、導電体形状は、操作2809でレイアウトされた仮想格子のすべてのラインに沿って配置される。
操作2809でレイアウトされた仮想格子の各ラインに対して操作2813を行い、仮想格子の共通の線に沿って配置された隣接する導電体形状のそれぞれの組の近接端部間のギャップを、各ギャップが実質的に一貫した間隔を維持するように定義する。また、操作2815では、操作2811で配置された各導電体形状が仮想格子の平行線の枠組みに実質的に配列してとどまるように、各導電体形状は実質的な方向変化がないように定義される。この方法はさらに、操作2809〜2815を繰り返してDASの追加されるレベルを設計するための操作2817も含む。
さらに、この方法は、DASのゲート電極レベルにおけるトランジスタデバイスのゲート電極を定義するように設計された導電体形状を、該DASの1つまたは複数の他のレベルにおいて設計された導電体形状に電気的に接続するための多くのゲート電極コンタクトを定義する操作2819を含む。ゲート電極コンタクトはそれぞれ、DASのゲート電極レベルにおけるトランジスタデバイスのゲート電極を定義するように設計された導電体形状に垂直にオーバーラップするように定義される。また、操作2820も、DASにおけるトランジスタデバイスのソース/ドレイン領域を、該DASの1つまたは複数のレベルにおいて定義された導電体形状に電気的に接続する多くの拡散コンタクトを定義するために提供される。また、操作2821も、DASの異なるレベル内の導電体形状を電気的に接続して、機能的な電子回路を形成するように、DAS内の多くのヴィアを定義するために提供される。
図28Cは、本発明の一実施形態において、図28Bの操作2809の展開を示す図である。操作2823は、操作2809においてレイアウトされる他の導電体形状と同じ方向に配向されるDASのあるレベルの導電体形状を定義するために使用される実質的に一定のピッチを識別するために提供される。その後、操作2825を行って、操作2823で識別された実質的に一定のピッチと、操作2809でレイアウトされる他の仮想格子の定義に用いられる実質的に一定のピッチ間のピッチ関係を決定する。その後、操作2827を行い、操作2823で識別された実質的に一定のピッチと操作2825で決定されたピッチ関係を用いて、操作2809でレイアウトされる他の導電体形状の定義に用いられる実質的に一定のピッチを決定する。
一実施形態では、操作2825で決定されたピッチ関係により、操作2823で識別された実質的に一定のピッチを乗算して操作2809でレイアウトされる他の仮想格子を定義するための実質的に一定のピッチを決定するピッチ乗数が定義される。一実施形態では、実質的に一定のピッチが操作2823で識別されたDASのレベルは、ダイナミックアレイ・セクションの第1の配線レベル(DASのゲート電極レベル上の)であり、また、2809でレイアウトされた他の仮想格子によって定義されるDASの他のレベルは、DASの第3の配線レベルであることを考えると、操作2825で決定されたピッチ関係は以下の式2で与えられる。
式中、3rd_level_pitchは第3の配線レベルの仮想格子が定義される実質的に一定のピッチであり、1st_level_power_pitchは第1の配線レベルにおけるパワー・レールと第1の配線レベルにおける隣接する導電体形状間の中心−中心間の分離であり、パワー・レールはダイナミックアレイ・セクションに電力かアースを供給するための導電体形状であり、1st_level_pitchは第1の配線レベルの仮想格子が定義される実質的に一定のピッチであり、aは第3の配線レベルの仮想格子内で定義される平行線の数であり、bは、パワー・レールのための仮想ラインを含まない、第1の配線レベルの仮想格子内で定義される平行線の数である。
一実施形態では、あるレベルの仮想格子の平行線の枠組みを定義する実質的に一定のピッチは、該レベルの仮想格子のラインに沿って配置された導電体形状の製造中のリソグラフィック補強を最適化するように設定され。別の実施形態では、あるレベルの仮想格子の平行線の枠組みを定義する実質的に一定のピッチは、該レベルの仮想格子のラインに沿って配置された導電体形状の密度を最適化するように設定される。さらに別の実施形態では、あるレベルの仮想格子の平行線の枠組みを定義する実質的に一定のピッチは、該レベルの仮想格子のラインに沿って配置された導電体形状の製造可能性の正確な予測を可能にするように設定される。
ダイナミックアレイ・セクションの1つまたは複数のレベルにおける導電体形状の一部は、電気回路機能に関して機能を持たないものとすることができることは理解されるべきである。このような機能を持たない形状は、他の導電体形状の製造可能性を高めるように定義される。一実施形態では、機能を持たない形状の一部は、機能を持たない形状による他の導電体形状の製造可能性が必要でない場所では削除される。さらに、DASの任意のレベルの定義に用いられる仮想格子のあるラインは、該ラインが1つまたは複数の機能を持たない形状によって完全に占められるように、その上に1つまたは複数の機能を持たない形状を配置させられることは理解されるべきである。また、DASの任意のレベルの定義に用いられた仮想格子のあるラインは、任意の機能を持たない形状を含まない1つまたは複数の導電体形状をその上に配置できることも理解されるべきである。さらに、DASの任意のレベルの定義に用いられた仮想格子のあるラインは、電子回路機能性に関して機能的な形状である多くの導電体形状および多くの機能を持たない形状をその上に配置できることも理解されるべきである。
図29Aは,本発明の一実施形態において、1つまたは複数の機能的にインターフェースされたダイナミックアレイ・セクションを有する半導体チップの設計方法のフローチャートを示す図である。この方法は、チップの一部上にDASグリッドを定義する操作2901を含む。DASグリッドは、チップの一部上に投影された垂直なグリッド・ラインの仮想ネットワークによって定義される。操作2903は、DASの定義された境界のそれぞれがDASグリッドのグリッド・ラインに一致するように、DASの境界を定義するために行なわれる。DASは、ダイナミックアレイ・アーキテクチャに従って定義されたチップの一部を表す。DASは、それぞれの境界がDASグリッドのグリッド・ラインに沿って定義された本質的に任意の二次元の形を有するように定義できることは理解されるべきである。また、この方法は、DASの基板部内に形成される1つまたは複数の拡散領域を定義する操作2905も含む。操作2907はさらに、DASの内の基板部上のチップの複数のレベルを定義するために提供される。
図29Bは、本発明の一実施形態において、図29Aの操作2907の展開を示す図である。操作2909は、DASの複数のレベルそれぞれの仮想格子を定義するために提供される。DASの特定のレベルの仮想格子を定義する平行線の枠組みが、該特定のレベルの上部または下部のいずれかのレベルの仮想格子を定義する平行線の枠組みに対して実質的に垂直となるように配向されるように、操作2909の仮想格子は、実質的に一定のピッチの間隔を有する平行線の枠組みで定義される。一実施形態では、DASの特定のレベルの仮想格子の定義に使用される実質的に一定のピッチは、特定のレベルの仮想格子と同じ配向を有するDASの別のレベルの仮想格子の定義に用いられる実質的に一定のピッチを有する関係で決定される。また、一実施形態では、DASのあるレベルの仮想格子の平行線の枠組みを定義する実質的に一定のピッチは、該レベルの仮想格子を定義する線形導電体形状の製造可能性の正確な予測可能にするように設定される。
この方法はさらに、DASの複数のレベルのそれぞれにおける仮想格子を定義するラインの枠組みに沿って多くの線形導電体形状を定義する操作2911を含む。各線形導電体形状は、線形導電体形状が定義される仮想格子のラインに対して実質的に方向変化がないように定義される。DASの複数のレベルにおける仮想格子を定義するラインの一部は、ギャップによって分離した近接端部を有する複数の線形導電体形状をその上に定義する。一実施形態では、これらのギャップの各々は、DASの内のチップのあるレベル内の線形導電体形状の近接端部間で実質的に一定の距離を維持するように定義される。
DASのゲート電極レベル内で、多くの線形ゲート電極形状は、基板部内に形成された1つまたは複数の拡散領域上に定義された線形導電体形状の一部によって形成される。この方法はさらに、線形ゲート電極形状をゲート電極レベル上の1つまたは複数のレベルにおいて定義された線形導電体形状に電気的に接続するために、多くのゲート電極コンタクト定義するための操作2913を含む。一実施形態では、ゲート電極コンタクトのそれぞれは、線形ゲート電極形状と垂直にオーバーラップするように定義される。また、DASにおけるトランジスタデバイスのソース/ドレイン領域をDASの1つまたは複数のレベルで定義された導電体形状に電気的に接続するために、多くの拡散コンタクトを定義するための操作2914も提供される。また、この方法は、DASの異なるレベル内の線形導電体形状を電気的に接続するように、DAS内の多くのビアを定義するための操作2915も含む。
図30は、本発明の一実施形態において、半導体チップのDAS設計方法のフローチャートを示す図である。この方法は、チップの基板部内に形成される1つまたは複数の拡散領域の定義する操作3001を含む。また、この方法は、チップの基板部上のDASの複数のレベルを定義する操作3003も含む。DASの各レベルは、レベルに関連した仮想格子に沿って配置された線形導電体形状を含むように定義される。DASの各レベルの仮想格子は、実質的に一定のピッチの間隔を有する平行線の枠組みによって定義される。DASの隣接するレベルにおける仮想格子は、互いに垂直なように配向される。
また、この方法は、DASの各レベルの各仮想格子のそれぞれのラインの全長に沿って、ノーカットの線形導電体形状をレイアウトする操作3005も含む。操作3007では、ノーカットの線形導電体形状の一部は多くの線形導電体セグメントに区分化される。あるノーカットの線形導電体形状の区分化は、ノーカットの線形導電体形状に沿って1つまたは複数のギャップを配置することにより行なわれる。ある実施形態では、DASのあるレベル内のノーカットの導電体形状に沿って配置されたギャップは均一に定義される。
DASの全体にわたって、線形導電体セグメントの第1の部分は、電子回路の導電体素子を形成するように定義される。相応して、該線形導電体セグメントの残りの部分は、第1の部分の製造を支援するように定義され、電子回路の導電体素子は形成しないように定義される。
さらに、DAS全体にわたる線形導電体セグメントはそれぞれ、該線形導電体セグメントがレイアウトされる仮想格子のラインに対して実質的に方向変化がないように定義される。
この方法はさらに、DASのゲート電極レベル内の導電体形状、すなわち線形ゲート電極形状を、DASのゲート電極レベル上の1つまたは複数のレベルにおいて定義された線形導電体形状に電気的に接続する多くのゲート電極コンタクトを定義する操作3009を含む。一実施形態では、ゲート電極コンタクトのそれぞれは、線形ゲート電極形状と垂直にオーバーラップするように定義される。また、DASにおけるトランジスタデバイスのソース/ドレイン領域を、DASの1つまたは複数のレベルで定義された導電体形状に電気的に接続する多くの拡散コンタクトを定義する操作3010も提供される。この方法はさらに、DASの異なるレベル内の線形導電体形状またはそのセグメントを電気的に接続するように、ダイナミックアレイ・セクション内の多くのビアを定義する操作3011を含む。
図31は、本発明の一実施形態において、半導体チップ上に製造されるダイナミックアレイ・セクションの定義方法のフローチャートを示す図である。この方法はダイナミックアレイ・セクションの周辺境界を定義する操作3101を含む。また、この方法は、ダイナミックアレイ・セクションの境界外に製造保証ハロを定義する操作3103も含む。ダイナミックアレイ・セクションの周辺境界から離れる垂直方向の製造保証ハロの範囲は、製造保証ハロの外部で定義されたチップ・レイアウト形状のそれぞれが、ダイナミックアレイ・セクション境界内部の導電体形状の製造に悪影響を及ぼせないことを確実にするように定義される。一実施形態では、ダイナミックアレイ・セクションの境界内部の多くの導電体形状は、製造保証ハロを経由しダイナミックアレイ・セクションの境界を越えて延びるように定義される。また、一実施形態では、製造保証ハロの外周は、ダイナミックアレイ・セクションの周辺境界の配列に使用されるダイナミックアレイ・セクション・グリッドのグリッド・ラインと一致する。また、一実施形態では、ダイナミックアレイ・セクションは、ダイナミックアレイ・セクションの境界内のチップの複数のレベルによって定義され、個々の製造保証ハロは独立に、かつそれぞれ、ダイナミックアレイ・セクションの複数のレベルのそれぞれに対して定義される。
この方法はさらに、ダイナミックアレイ・セクションの境界内部の導電体形状の製造は、製造保証ハロ内のチップ・レイアウト形状によって悪影響を受けないことを確実にするために、製造保証ハロ内のチップ・レイアウト形状をコントロールする操作3105を含む。一実施形態では、製造保証ハロ内のチップ・レイアウト形状のコントロールは、ダイナミックアレイ・セクションに関連しないチップ・レイアウト形状の製造保証ハロ内への侵入がダイナミックアレイ・セクションの境界内部の導電体形状の製造に悪影響を及ぼさない場合にのみ許容されるように、チップ上にダイナミックアレイ・セクションを配置することによって行われる。
一実施形態では、ダイナミックアレイ・セクションの境界は、境界セグメントの遠回りの配置によって定義される。各境界セグメントは関連する製造保証ハロ・セグメントを有する。また、各製造保証ハロ・セグメントは製造適合性識別子を割り当てられる。この実施形態では、各製造保証ハロ・セグメントは、同じ製造適合性識別子を有する周辺のダイナミックアレイ・セクションの製造保証ハロにオーバーラップできるように、ダイナミックアレイ・セクションをチップ上に配置することによって、製造保証ハロ内のチップ・レイアウト形状のコントロールが行われる。また、この実施形態では、各製造保証ハロ・セグメントは、異なる製造適合性識別子を有する周辺のダイナミックアレイ・セクションの製造保証ハロ・セグメントにオーバーラップできないように、ダイナミックアレイ・セクションをチップ上に配置することにより、製造保証ハロ内のチップ・レイアウト形状のコントロールがおこなわれる。
図32は、本発明の一実施形態において、1つまたは複数の機能的にインターフェースされたダイナミックアレイ・セクションを有する半導体チップの設計方法のフローチャートを示す図である。この方法は、チップの一部上に定義されるダイナミックアレイ・セクションを選択する操作3201を含む。選択されたダイナミックアレイ・セクションは、その境界外に定義された関連する製造保証ハロを有する。また、この方法は、選択されたダイナミックアレイ・セクションに関係せず、かつ、製造保証ハロ内にあるレイアウト形状は、選択されたダイナミックアレイ・セクションの製造可能性に悪影響を与えないために製造保証ハロと適合するように、選択されたダイナミックアレイ・セクションをチップの一部のレイアウト内に配置する操作3203も含む。
一ある実施形態では、選択されたダイナミックアレイ・セクションは、その境界内のチップの複数のレベルによって定義される。この実施形態では、別個の製造保証ハロは独立に、かつそれぞれ、選択されたダイナミックアレイ・セクションの複数のレベルのそれぞれに関連する。選択されたダイナミックアレイ・セクションの境界から離れる垂直方向の各製造保証ハロの範囲は、製造保証ハロの外部で定義されたチップ・レイアウト形状のそれぞれが、選択されたダイナミックアレイ・セクション境界内部の導電体形状の製造に悪影響を及ぼせないことを確実にするように定義される。
図32の方法の一実施形態では、選択されたダイナミックアレイ・セクションの境界は、境界セグメントの遠回りの配置によって定義され、また、各境界セグメントは関連する製造保証ハロ・セグメントを有する。製造保証ハロ・セグメントはそれぞれ、製造適合性識別子を割り当てられる。この実施形態では、各製造保証ハロ・セグメントは、同じ製造適合性識別子を有する周辺のダイナミックアレイ・セクションの製造保証ハロ・セグメントにオーバーラップできるように、選択されたダイナミックアレイ・セクションをチップの一部のレイアウト内に配置することによって、操作3203が行われる。また、この実施形態では、各製造保証ハロ・セグメントは、異なる製造適合性識別子を有する周辺のダイナミックアレイ・セクションの製造保証ハロ・セグメントにオーバーラップできないように、選択されたダイナミックアレイ・セクションをチップの一部のレイアウト内に配置することにより、操作3203が行われる。
一実施形態では、多くの製造保証ハロ・セグメントを、同じ製造適合性識別子を有する1つまたは複数の周辺のダイナミックアレイ・セクションの多くの製造保証ハロ・セグメントから分離し、これによって、選択されたダイナミックアレイ・セクションと1つまたは複数の周辺のダイナミックアレイ・セクションとの間にスペースを設けるように、選択されたダイナミックアレイ・セクションをチップの一部のレイアウト内に配置することによって、操作3203が行われる。さらに、この実施形態の例では、ダイナミックアレイ・セクションに関連しないチップ・レイアウト形状は、選択されたダイナミックアレイ・セクションと1つまたは複数の周辺のダイナミックアレイ・セクションとの間のスペース内に定義される。
ここで記述された発明は、コンピュータ読み取り可能な媒体上で、コンピュータ読み取り可能なコードとして具体化することができる。コンピュータ読み取り可能な媒体は、データを格納することができ、後からコンピュータシステムによって読み出されることが可能な、いかなるデータストレージデバイスであってもよい。コンピュータ読み取り可能な媒体の例は、ハードドライブ、ネットワーク接続ストレージ(NAS)、読み出し専用メモリ、ランダムアクセスメモリ、CD−ROM、CD−R、CD−RW、磁気テープ、及び他の光学的・非光学的なデータストレージデバイスを含む。また、コンピュータ読み取り可能な媒体は、コンピュータ読み取り可能なコードが格納されて分散処理で実行されるように、コンピュータシステムが接続されたネットワークにわたって分散されているもよい。さらに、コンピュータ読み取り可能な媒体上のコンピュータ読み取り可能なコードとして実現されたグラフィカルユーザインターフェース(GUI)は、本発明の実施形態を行うためのユーザインターフェースを提供するように改良されることが可能である。
本発明は、いくつかの実施形態に関して記述されてきたが、当然のことながら、当業者が上記の記述を読んで図面を検討することにより、種々の変更、追加、置換及び同等物を考え出すであろう。したがって、本発明は、それらのすべての変更、追加、置換、及び同等物を、本発明の真の精神及び要旨の中にあるものとして、含むことを意図するものである。

Claims (20)

  1. ダイナミックアレイ・セクションの周辺境界を定義するステップと、
    前記ダイナミックアレイ・セクションの境界外側に製造保証ハロを定義するステップと、
    前記製造保証ハロ内のチップ・レイアウト形状によって前記ダイナミックアレイ・セクションの境界内側に導電体形状を製造するステップが悪影響を受けないことを確実にするように、前記製造保証ハロ内のチップ・レイアウト形状をコントロールするステップと、
    を備え、
    前記ダイナミックアレイ・セクションの前記周辺境界から垂直方向に離れる前記製造保証ハロの範囲は、前記ダイナミックアレイ・セクションの境界内側に導電体形状を製造するステップに対して前記製造保証ハロの外側に定義された各チップ・レイアウト形状が悪影響を与える能力を有しないことを確実にするように定義される、半導体チップ上に製造されるべきダイナミックアレイ・セクションの定義方法。
  2. 前記ダイナミックアレイ・セクションの境界内側の導電体形状の多くは、前記製造保証ハロを通って前記ダイナミックアレイ・セクションの境界を越えて延びるように定義される請求項1に記載の半導体チップ上に製造されるべきダイナミックアレイ・セクションの定義方法。
  3. 前記ダイナミックアレイ・セクションは、前記ダイナミックアレイ・セクションの境界内のチップの複数のレベルによって定義され、
    個々の製造保証ハロは、前記ダイナミックアレイ・セクションの前記複数のレベルのそれぞれに対して、独立してそれぞれ定義される請求項1に記載の半導体チップ上に製造されるべきダイナミックアレイ・セクションの定義方法。
  4. 前記製造保証ハロ内のチップ・レイアウト形状をコントロールするステップは、前記ダイナミックアレイ・セクションに関連しないチップ・レイアウト形状の前記製造保証ハロ内への侵入が前記ダイナミックアレイ・セクションの境界内側に導電体形状を製造するステップに悪影響を与えない場合にのみ許容されるようにして、前記チップ上に前記ダイナミックアレイ・セクションを配置することにより実行される請求項1に記載の半導体チップ上に製造されるべきダイナミックアレイ・セクションの定義方法。
  5. 前記ダイナミックアレイ・セクションの前記周辺境界は、ダイナミックアレイ・セクション・グリッドのグリッド・ラインと一致するように定義され、
    前記製造保証ハロの外周は、前記ダイナミックアレイ・セクション・グリッドのグリッド・ラインと一致する請求項1に記載の半導体チップ上に製造されるべきダイナミックアレイ・セクションの定義方法。
  6. 前記ダイナミックアレイ・セクションの境界は、境界セグメントの遠回りの配置によって定義され、各境界セグメントは、関連する製造保証ハロ・セグメントを有し、
    各製造保証ハロ・セグメントは、製造適合性識別子を割り当てられる請求項1に記載の半導体チップ上に製造されるべきダイナミックアレイ・セクションの定義方法。
  7. 前記製造保証ハロ内のチップ・レイアウト形状をコントロールステップは、各製造保証ハロ・セグメントが同じ製造適合性識別子を有する隣接したダイナミックアレイ・セクションの製造保証ハロ・セグメントに重なることが許容されるように、かつ、各製造保証ハロ・セグメントが異なる製造適合性識別子を有する隣接したダイナミックアレイ・セクションの製造保証ハロ・セグメントに重なることが許容されないようにして、前記チップ上に前記ダイナミックアレイ・セクションを配置することにより実行される請求項6に記載の半導体チップ上に製造されるべきダイナミックアレイ・セクションの定義方法。
  8. チップの一部上に定義されるべきダイナミックアレイ・セクションを選択するステップであって、選択されたダイナミックアレイ・セクションが前記選択されたダイナミックアレイ・セクションの境界外側に定義された関連する製造保証ハロを有するステップと、
    前記選択されたダイナミックアレイ・セクションの製造可能性に悪影響を与えないように、前記選択されたダイナミックアレイ・セクションに関連せずに前記製造保証ハロ内に含まれるレイアウト形状が前記製造保証ハロに適合するようにして、前記選択されたダイナミックアレイ・セクションを前記チップの一部のレイアウト内に配置するステップと、
    を備え、
    前記選択されたダイナミックアレイ・セクションの境界から垂直方向に離れる前記製造保証ハロの範囲は、前記製造保証ハロの外側で定義された各チップ・レイアウト形状が前記選択されたダイナミックアレイ・セクションの境界内側の導電体形状の製造に悪影響を与える能力を有しないことを確実にするように定義される、一以上の機能的にインターフェースされたダイナミックアレイ・セクションを有する半導体チップの設計方法。
  9. 前記選択されたダイナミックアレイ・セクションは、前記選択されたダイナミックアレイ・セクションの境界内の前記チップの複数のレベルによって定義され、
    個々の製造保証ハロは、前記選択されたダイナミックアレイ・セクションの前記複数のレベルのそれぞれに、独立してそれぞれ関連する請求項8に記載の一以上の機能的にインターフェースされたダイナミックアレイ・セクションを有する半導体チップの設計方法。
  10. 前記選択されたダイナミックアレイ・セクションは、前記選択されたダイナミックアレイ・セクションの境界が前記チップの一部上に投影されたダイナミックアレイ・セクション・グリッドのグリッド・ラインと一致するようにして配置される請求項8に記載の一以上の機能的にインターフェースされたダイナミックアレイ・セクションを有する半導体チップの設計方法。
  11. 前記選択されたダイナミックアレイ・セクションの境界は、境界セグメントの遠回りの配置によって定義され、各境界セグメントは、関連する製造保証ハロ・セグメントを有し、
    各製造保証ハロ・セグメントは、製造適合性識別子を割り当てられる請求項8に記載の一以上の機能的にインターフェースされたダイナミックアレイ・セクションを有する半導体チップの設計方法。
  12. 前記選択されたダイナミックアレイ・セクションを前記チップの一部のレイアウト内に配置するステップは、各製造保証ハロ・セグメントが同じ製造適合性識別子を有する隣接したダイナミックアレイ・セクションの製造保証ハロ・セグメントに重なることが許容されるように、かつ、各製造保証ハロ・セグメントが異なる製造適合性識別子を有する隣接したダイナミックアレイ・セクションの製造保証ハロ・セグメントに重なることが許容されないようにして実行される請求項11に記載の一以上の機能的にインターフェースされたダイナミックアレイ・セクションを有する半導体チップの設計方法。
  13. 前記選択されたダイナミックアレイ・セクションを前記チップの一部のレイアウト内に配置するステップは、多くの製造保証ハロ・セグメントが同じ製造適合性識別子を有する一以上の隣接したダイナミックアレイ・セクションの製造保証ハロの多くから分離され、前記選択されたダイナミックアレイ・セクションと前記一以上の隣接したダイナミックアレイ・セクションとの間にスペースが空くようにして実行される請求項11に記載の一以上の機能的にインターフェースされたダイナミックアレイ・セクションを有する半導体チップの設計方法。
  14. 前記選択されたダイナミックアレイ・セクションと前記一以上の隣接したダイナミックセクション・アレイとの間のスペース内に、ダイナミックアレイ・セクションに関連しないチップ・レイアウト形状を定義するステップをさらに備える請求項13に記載の一以上の機能的にインターフェースされたダイナミックアレイ・セクションを有する半導体チップの設計方法。
  15. 周辺境界を有するダイナミックアレイ・セクションであって、前記ダイナミックアレイ・セクションが前記周辺境界内のチップの複数のレベルにより定義され、前記ダイナミックアレイ・セクションが前記チップの複数のレベルのそれぞれ内に定義される多くの線形導電体形状を含み、各線形導電体形状がその線形導電体形状が定義される前記チップのレベルに関連する仮想格子のラインに沿って定義されるダイナミックアレイ・セクションと、
    前記ダイナミックアレイ・セクションの周囲に定義される製造保証ハロと、を備え、
    前記ダイナミックアレイ・セクション内に定義される前記線形導電体形状の多くが、前記製造保証ハロを通って前記ダイナミックアレイ・セクションの前記周辺境界の外側に延びるように定義され、
    前記ダイナミックアレイ・セクションの前記周辺境界から垂直方向に離れる前記製造保証ハロの範囲は、前記ダイナミックアレイ・セクションの前記周辺境界の内側に導電体形状を製造することに対して前記製造保証ハロの外側で定義された各チップ・レイアウト形状が悪影響を与える能力を有しないことを確実にするように定義される半導体チップ。
  16. 個々の製造保証ハロは、前記ダイナミックアレイ・セクションの前記複数のレベルのそれぞれに対して、独立してそれぞれ定義される請求項15に記載の半導体チップ。
  17. 前記ダイナミックアレイ・セクションの前記周辺境界の内側に導電体形状を製造することに対して前記製造保証ハロの外側で定義された各チップ・レイアウト形状が悪影響を与える能力を有しないことを確実にするようにして、前記ダイナミックアレイ・セクションの前記周辺境界から垂直方向に離れる前記製造保証ハロの範囲が定義される請求項15に記載の半導体チップ。
  18. 前記ダイナミックアレイ・セクションの製造可能性に悪影響を与えないように、前記ダイナミックアレイ・セクションに関連せずに前記製造保証ハロ内に含まれるチップレイアウト形状が前記製造保証ハロに適合するようにして、前記ダイナミックアレイ・セクションが前記チップ上に配置される請求項15に記載の半導体チップ。
  19. 前記ダイナミックアレイ・セクションの前記周辺境界は、境界セグメントの遠回り配置によって定義され、各境界セグメントは関連する製造保証ハロ・セグメントを有し、
    各製造保証ハロ・セグメントは、製造適合性識別子を割り当てられる請求項15に記載の半導体チップ。
  20. 各製造保証ハロ・セグメントが同じ製造適合性識別子を有する隣接したダイナミックアレイ・セクションの製造保証ハロ・セグメントに重なることが許容されるように、かつ、各製造保証ハロ・セグメントが異なる製造適合性識別子を有する隣接したダイナミックアレイ・セクションの製造保証ハロ・セグメントに重なることが許容されないようにして、前記ダイナミックアレイ・セクションが前記チップ上に配置される請求項18に記載の半導体チップ。
JP2015059324A 2007-08-02 2015-03-23 半導体チップ、定義方法および設計方法 Expired - Fee Related JP6093794B2 (ja)

Applications Claiming Priority (10)

Application Number Priority Date Filing Date Title
US96336407P 2007-08-02 2007-08-02
US60/963,364 2007-08-02
US97239407P 2007-09-14 2007-09-14
US60/972,394 2007-09-14
US12/013,342 US7917879B2 (en) 2007-08-02 2008-01-11 Semiconductor device with dynamic array section
US12/013,356 US7908578B2 (en) 2007-08-02 2008-01-11 Methods for designing semiconductor device with dynamic array section
US12/013,366 2008-01-11
US12/013,366 US7888705B2 (en) 2007-08-02 2008-01-11 Methods for defining dynamic array section with manufacturing assurance halo and apparatus implementing the same
US12/013,342 2008-01-11
US12/013,356 2008-01-11

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2012015484A Division JP5758815B2 (ja) 2007-08-02 2012-01-27 集積回路デバイス

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2016171635A Division JP6289567B2 (ja) 2007-08-02 2016-09-02 集積回路デバイス

Publications (2)

Publication Number Publication Date
JP2015149491A true JP2015149491A (ja) 2015-08-20
JP6093794B2 JP6093794B2 (ja) 2017-03-08

Family

ID=40337325

Family Applications (5)

Application Number Title Priority Date Filing Date
JP2010526886A Expired - Fee Related JP4991938B2 (ja) 2007-08-02 2008-07-31 ダイナミックアレイ・セクションを有する半導体デバイス
JP2012015484A Expired - Fee Related JP5758815B2 (ja) 2007-08-02 2012-01-27 集積回路デバイス
JP2015059324A Expired - Fee Related JP6093794B2 (ja) 2007-08-02 2015-03-23 半導体チップ、定義方法および設計方法
JP2016171635A Expired - Fee Related JP6289567B2 (ja) 2007-08-02 2016-09-02 集積回路デバイス
JP2017227099A Pending JP2018050072A (ja) 2007-08-02 2017-11-27 集積回路デバイス

Family Applications Before (2)

Application Number Title Priority Date Filing Date
JP2010526886A Expired - Fee Related JP4991938B2 (ja) 2007-08-02 2008-07-31 ダイナミックアレイ・セクションを有する半導体デバイス
JP2012015484A Expired - Fee Related JP5758815B2 (ja) 2007-08-02 2012-01-27 集積回路デバイス

Family Applications After (2)

Application Number Title Priority Date Filing Date
JP2016171635A Expired - Fee Related JP6289567B2 (ja) 2007-08-02 2016-09-02 集積回路デバイス
JP2017227099A Pending JP2018050072A (ja) 2007-08-02 2017-11-27 集積回路デバイス

Country Status (5)

Country Link
US (9) US7908578B2 (ja)
EP (1) EP2186136A4 (ja)
JP (5) JP4991938B2 (ja)
TW (1) TWI389298B (ja)
WO (1) WO2009017828A2 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2019526170A (ja) * 2016-07-12 2019-09-12 エーティーアイ・テクノロジーズ・ユーエルシーAti Technologies Ulc セル境界外に延在する金属層セグメントを有する標準セルを実装する集積回路

Families Citing this family (81)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7448012B1 (en) 2004-04-21 2008-11-04 Qi-De Qian Methods and system for improving integrated circuit layout
US8225239B2 (en) 2006-03-09 2012-07-17 Tela Innovations, Inc. Methods for defining and utilizing sub-resolution features in linear topology
US9563733B2 (en) 2009-05-06 2017-02-07 Tela Innovations, Inc. Cell circuit and layout with linear finfet structures
US8225261B2 (en) 2006-03-09 2012-07-17 Tela Innovations, Inc. Methods for defining contact grid in dynamic array architecture
US7446352B2 (en) 2006-03-09 2008-11-04 Tela Innovations, Inc. Dynamic array architecture
US9009641B2 (en) 2006-03-09 2015-04-14 Tela Innovations, Inc. Circuits with linear finfet structures
US8448102B2 (en) 2006-03-09 2013-05-21 Tela Innovations, Inc. Optimizing layout of irregular structures in regular layout context
US8839175B2 (en) 2006-03-09 2014-09-16 Tela Innovations, Inc. Scalable meta-data objects
US8245180B2 (en) 2006-03-09 2012-08-14 Tela Innovations, Inc. Methods for defining and using co-optimized nanopatterns for integrated circuit design and apparatus implementing same
US8653857B2 (en) 2006-03-09 2014-02-18 Tela Innovations, Inc. Circuitry and layouts for XOR and XNOR logic
US8247846B2 (en) 2006-03-09 2012-08-21 Tela Innovations, Inc. Oversized contacts and vias in semiconductor chip defined by linearly constrained topology
US9035359B2 (en) 2006-03-09 2015-05-19 Tela Innovations, Inc. Semiconductor chip including region including linear-shaped conductive structures forming gate electrodes and having electrical connection areas arranged relative to inner region between transistors of different types and associated methods
US8541879B2 (en) 2007-12-13 2013-09-24 Tela Innovations, Inc. Super-self-aligned contacts and method for making the same
US9230910B2 (en) 2006-03-09 2016-01-05 Tela Innovations, Inc. Oversized contacts and vias in layout defined by linearly constrained topology
US7956421B2 (en) 2008-03-13 2011-06-07 Tela Innovations, Inc. Cross-coupled transistor layouts in restricted gate level layout architecture
US8658542B2 (en) 2006-03-09 2014-02-25 Tela Innovations, Inc. Coarse grid design methods and structures
US7763534B2 (en) 2007-10-26 2010-07-27 Tela Innovations, Inc. Methods, structures and designs for self-aligning local interconnects used in integrated circuits
US7908578B2 (en) 2007-08-02 2011-03-15 Tela Innovations, Inc. Methods for designing semiconductor device with dynamic array section
US8286107B2 (en) * 2007-02-20 2012-10-09 Tela Innovations, Inc. Methods and systems for process compensation technique acceleration
US8667443B2 (en) 2007-03-05 2014-03-04 Tela Innovations, Inc. Integrated circuit cell library for multiple patterning
US8453094B2 (en) 2008-01-31 2013-05-28 Tela Innovations, Inc. Enforcement of semiconductor structure regularity for localized transistors and interconnect
US7939443B2 (en) 2008-03-27 2011-05-10 Tela Innovations, Inc. Methods for multi-wire routing and apparatus implementing same
KR101749351B1 (ko) 2008-07-16 2017-06-20 텔라 이노베이션스, 인코포레이티드 동적 어레이 아키텍쳐에서의 셀 페이징과 배치를 위한 방법 및 그 구현
US9122832B2 (en) 2008-08-01 2015-09-01 Tela Innovations, Inc. Methods for controlling microloading variation in semiconductor wafer layout and fabrication
JP2010061547A (ja) * 2008-09-05 2010-03-18 Nec Electronics Corp 半導体デバイス設計支援装置及び基板ネットリスト作成方法
JP2010278189A (ja) * 2009-05-28 2010-12-09 Renesas Electronics Corp 半導体集積回路の設計方法及び設計システム
US8495583B2 (en) 2009-09-11 2013-07-23 International Business Machines Corporation System and method to determine defect risks in software solutions
US8661392B2 (en) 2009-10-13 2014-02-25 Tela Innovations, Inc. Methods for cell boundary encroachment and layouts implementing the Same
US7969199B1 (en) * 2010-05-10 2011-06-28 Pdf Solutions, Inc. Pattern controlled IC layout
US9159627B2 (en) 2010-11-12 2015-10-13 Tela Innovations, Inc. Methods for linewidth modification and apparatus implementing the same
US8513978B2 (en) * 2011-03-30 2013-08-20 Synopsys, Inc. Power routing in standard cell designs
US9123562B2 (en) * 2011-09-19 2015-09-01 Texas Instruments Incorporated Layout method to minimize context effects and die area
CN103094251B (zh) * 2011-10-28 2015-08-19 上海华虹宏力半导体制造有限公司 用于评价opc效果的测试结构
US8581348B2 (en) 2011-12-13 2013-11-12 GlobalFoundries, Inc. Semiconductor device with transistor local interconnects
US9355910B2 (en) * 2011-12-13 2016-05-31 GlobalFoundries, Inc. Semiconductor device with transistor local interconnects
CN102663147B (zh) * 2012-02-28 2014-02-05 上海华力微电子有限公司 一种用于铜互连冗余金属图形的插入算法
US8533651B1 (en) * 2012-07-18 2013-09-10 Globalfoundries Inc. Providing conversion of a planar design to a FinFET design
US20140040847A1 (en) * 2012-08-01 2014-02-06 Lsi Corporation System and method for generating physical deterministic boundary interconnect features for dual patterning technologies
US9147029B2 (en) * 2013-03-11 2015-09-29 Taiwan Semiconductor Manufacturing Company, Ltd. Stretch dummy cell insertion in FinFET process
US8869090B2 (en) * 2013-03-11 2014-10-21 Taiwan Semiconductor Manufacturing Company, Ltd. Stretch dummy cell insertion in FinFET process
KR20140128619A (ko) 2013-04-29 2014-11-06 에스케이하이닉스 주식회사 차동 증폭기를 구비한 반도체 집적 회로 장치
US9501600B2 (en) * 2013-05-02 2016-11-22 Taiwan Semiconductor Manufacturing Company, Ltd. Standard cells for predetermined function having different types of layout
US9245076B2 (en) * 2013-06-03 2016-01-26 International Business Machines Corporation Orthogonal circuit element routing
US9331016B2 (en) * 2013-07-25 2016-05-03 Qualcomm Incorporated SOC design with critical technology pitch alignment
US9141744B2 (en) 2013-08-15 2015-09-22 United Microelectronics Corp. Method for generating layout pattern
TWI588596B (zh) * 2013-08-15 2017-06-21 聯華電子股份有限公司 產生佈局圖案的方法
EP3050085B1 (en) 2013-09-27 2021-02-24 Intel Corporation Self-aligned via and plug patterning for back end of line (beol) interconnects
US9793163B2 (en) 2013-09-27 2017-10-17 Intel Corporation Subtractive self-aligned via and plug patterning for back end of line (BEOL) interconnects
CN105493249B (zh) * 2013-09-27 2019-06-14 英特尔公司 用于后段(beol)互连的先前层自对准过孔及插塞图案化
US9653413B2 (en) * 2014-06-18 2017-05-16 Arm Limited Power grid conductor placement within an integrated circuit
US9690892B2 (en) * 2014-07-14 2017-06-27 Taiwan Semiconductor Manufacturing Company, Ltd. Masks based on gate pad layout patterns of standard cell having different gate pad pitches
CN105719688B (zh) * 2014-12-04 2019-03-29 中芯国际集成电路制造(上海)有限公司 Sram存储器和形成sram存储器的方法
US10204920B2 (en) 2015-04-09 2019-02-12 Samsung Electronics Co., Ltd. Semiconductor device including polygon-shaped standard cell
US9690896B2 (en) 2015-04-09 2017-06-27 Samsung Electronics Co., Ltd. Method for manufacturing a semiconductor device and semiconductor device manufactured by the same
US9698056B2 (en) 2015-04-09 2017-07-04 Samsung Electronics., Ltd. Method for designing layout of semiconductor device and method for manufacturing semiconductor device using the same
KR102321605B1 (ko) 2015-04-09 2021-11-08 삼성전자주식회사 반도체 장치의 레이아웃 설계 방법 및 그를 이용한 반도체 장치의 제조 방법
US9773772B2 (en) 2015-04-09 2017-09-26 Samsung Electronics Co., Ltd. Semiconductor device and method of fabricating the same
US9640480B2 (en) 2015-05-27 2017-05-02 Qualcomm Incorporated Cross-couple in multi-height sequential cells for uni-directional M1
KR102483254B1 (ko) 2016-03-21 2022-12-29 삼성전자주식회사 반도체 장치의 제조 방법
US10275560B2 (en) * 2016-05-26 2019-04-30 Synopsys, Inc. Placement of circuit elements in regions with customized placement grids
FR3053156B1 (fr) * 2016-06-28 2018-11-16 Stmicroelectronics (Rousset) Sas Composant a faible dispersion dans une puce electronique
US10147714B2 (en) * 2016-10-10 2018-12-04 Globalfoundries Inc. Method, apparatus, and system for two-dimensional power rail to enable scaling of a standard cell
US9837398B1 (en) * 2016-11-23 2017-12-05 Advanced Micro Devices, Inc. Metal track cutting in standard cell layouts
KR102630392B1 (ko) * 2016-12-06 2024-01-29 삼성전자주식회사 반도체 장치, 반도체 장치의 레이아웃 설계 방법, 및 반도체 장치의 제조 방법
US10923425B2 (en) * 2017-01-20 2021-02-16 Arm Limited Power distribution
KR102308779B1 (ko) 2017-04-10 2021-10-05 삼성전자주식회사 이종 컨택들을 구비하는 집적 회로 및 이를 포함하는 반도체 장치
US11347925B2 (en) 2017-05-01 2022-05-31 Advanced Micro Devices, Inc. Power grid architecture and optimization with EUV lithography
US11211330B2 (en) 2017-05-01 2021-12-28 Advanced Micro Devices, Inc. Standard cell layout architectures and drawing styles for 5nm and beyond
US10489548B2 (en) * 2017-05-26 2019-11-26 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit and method for manufacturing the same
US11334703B2 (en) * 2017-06-29 2022-05-17 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit layouts with fill feature shapes
US11271010B2 (en) * 2017-09-20 2022-03-08 Intel Corporation Multi version library cell handling and integrated circuit structures fabricated therefrom
CN107803230B (zh) * 2017-11-16 2019-10-08 北京工业大学 不同交汇角度的多层微流控芯片的制作方法
US10360337B2 (en) * 2017-11-22 2019-07-23 Taiwan Semiconductor Manufacturing Company Ltd. Method of forming conductive grid of integrated circuit
US10916498B2 (en) * 2018-03-28 2021-02-09 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnect structure for logic circuit
KR102387946B1 (ko) * 2018-05-21 2022-04-18 삼성전자주식회사 클럽풋 구조의 도전 패턴을 포함하는 집적 회로
US10748889B2 (en) 2018-06-15 2020-08-18 Samsung Electronics Co., Ltd. Power grid and standard cell co-design structure and methods thereof
US10769342B2 (en) * 2018-10-31 2020-09-08 Taiwan Semiconductor Manufacturing Company Ltd. Pin access hybrid cell height design
US10796061B1 (en) 2019-08-29 2020-10-06 Advanced Micro Devices, Inc. Standard cell and power grid architectures with EUV lithography
US20210134783A1 (en) * 2019-10-30 2021-05-06 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure
US11901286B2 (en) 2021-01-28 2024-02-13 Taiwan Semiconductor Manufacturing Company, Ltd. Diagonal via pattern and method
US12001772B2 (en) * 2021-09-24 2024-06-04 International Business Machines Corporation Ultra-short-height standard cell architecture

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH09282349A (ja) * 1996-04-17 1997-10-31 Shinko Electric Ind Co Ltd データ変換処理装置
JP2001166452A (ja) * 1999-12-03 2001-06-22 Toshiba Microelectronics Corp パターンの自動設計方法
JP2001351981A (ja) * 2000-06-06 2001-12-21 Asahi Kasei Microsystems Kk セルの配置方法およびその配置装置
JP2005123537A (ja) * 2003-10-20 2005-05-12 Sony Corp 半導体装置及び製造方法
JP2007123682A (ja) * 2005-10-31 2007-05-17 Elpida Memory Inc 基本セル設計方法、レイアウト設計方法、設計装置およびプログラム
US20070113216A1 (en) * 2005-11-14 2007-05-17 Youping Zhang Photolithographic mask correction
JP2007141971A (ja) * 2005-11-15 2007-06-07 Matsushita Electric Ind Co Ltd 半導体集積回路の設計方法

Family Cites Families (677)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4069493A (en) * 1970-10-02 1978-01-17 Thomson-Csf Novel integrated circuit and method of manufacturing same
US4197555A (en) * 1975-12-29 1980-04-08 Fujitsu Limited Semiconductor device
JPS5746536A (en) 1980-09-04 1982-03-17 Matsushita Electric Ind Co Ltd Gate circuit
US4424460A (en) 1981-07-14 1984-01-03 Rockwell International Corporation Apparatus and method for providing a logical exclusive OR/exclusive NOR function
JPS5943824B2 (ja) 1982-03-03 1984-10-24 三菱電機株式会社 半導体集積回路装置
JPS58182242A (ja) * 1982-04-19 1983-10-25 Nec Corp 半導体集積回路装置
JPS58182242U (ja) 1982-05-28 1983-12-05 パイオニア株式会社 スライドロツク付プツシユボタン
JPS58215827A (ja) 1982-06-09 1983-12-15 Toshiba Corp 論理回路
JPS5943548A (ja) 1982-09-06 1984-03-10 Hitachi Ltd 半導体集積回路装置
US4613940A (en) 1982-11-09 1986-09-23 International Microelectronic Products Method and structure for use in designing and building electronic systems in integrated circuits
JPS6035532A (ja) 1983-07-29 1985-02-23 Fujitsu Ltd マスタスライス集積回路装置
US4575648A (en) 1983-12-23 1986-03-11 At&T Bell Laboratories Complementary field effect transistor EXCLUSIVE OR logic gates
US5121186A (en) 1984-06-15 1992-06-09 Hewlett-Packard Company Integrated circuit device having improved junction connections
US5545904A (en) * 1986-01-17 1996-08-13 Quick Technologies Ltd. Personalizable gate array devices
JPH0695570B2 (ja) * 1985-02-07 1994-11-24 三菱電機株式会社 半導体集積回路装置
US4657628A (en) 1985-05-01 1987-04-14 Texas Instruments Incorporated Process for patterning local interconnects
US4804636A (en) 1985-05-01 1989-02-14 Texas Instruments Incorporated Process for making integrated circuits having titanium nitride triple interconnect
US4975756A (en) 1985-05-01 1990-12-04 Texas Instruments Incorporated SRAM with local interconnect
JPH0216605Y2 (ja) 1985-05-02 1990-05-08
US4602270A (en) 1985-05-17 1986-07-22 United Technologies Corporation Gate array with reduced isolation
US5097422A (en) 1986-10-10 1992-03-17 Cascade Design Automation Corporation Method and apparatus for designing integrated circuits
US4745084A (en) 1986-11-12 1988-05-17 Vlsi Technology, Inc. Method of making a customized semiconductor integrated device
US4884115A (en) 1987-02-27 1989-11-28 Siemens Aktiengesellschaft Basic cell for a gate array arrangement in CMOS Technology
US4801986A (en) 1987-04-03 1989-01-31 General Electric Company Vertical double diffused metal oxide semiconductor VDMOS device with increased safe operating area and method
JP2742052B2 (ja) 1987-06-12 1998-04-22 日本電信電話株式会社 相補型misマスタスライス論理集積回路
US5119313A (en) 1987-08-04 1992-06-02 Texas Instruments Incorporated Comprehensive logic circuit layout system
KR100212098B1 (ko) 1987-09-19 1999-08-02 가나이 쓰도무 반도체 집적회로 장치 및 그 제조 방법과 반도체 집적 회로 장치의 배선기판 및 그 제조 방법
US5068603A (en) 1987-10-07 1991-11-26 Xilinx, Inc. Structure and method for producing mask-programmed integrated circuits which are pin compatible substitutes for memory-configured logic arrays
US4812688A (en) 1987-12-30 1989-03-14 International Business Machines Corporation Transistor delay circuits
JPH01284115A (ja) 1988-05-11 1989-11-15 Sharp Corp 論理回路
US5268319A (en) 1988-06-08 1993-12-07 Eliyahou Harari Highly compact EPROM and flash EEPROM devices
JP2617217B2 (ja) * 1988-11-24 1997-06-04 シャープ株式会社 半導体装置の製造方法
US4928160A (en) 1989-01-17 1990-05-22 Ncr Corporation Gate isolated base cell structure with off-grid gate polysilicon pattern
JPH02198154A (ja) 1989-01-27 1990-08-06 Hitachi Ltd 配線の形成方法及びこれを利用した半導体装置
US5224057A (en) 1989-02-28 1993-06-29 Kabushiki Kaisha Toshiba Arrangement method for logic cells in semiconductor IC device
US5351197A (en) 1989-04-13 1994-09-27 Cascade Design Automation Corporation Method and apparatus for designing the layout of a subcircuit in an integrated circuit
JPH03165061A (ja) * 1989-11-22 1991-07-17 Hitachi Ltd 半導体集積回路装置
US5298774A (en) 1990-01-11 1994-03-29 Mitsubishi Denki Kabushiki Kaisha Gate array system semiconductor integrated circuit device
US5483104A (en) 1990-01-12 1996-01-09 Paradigm Technology, Inc. Self-aligning contact and interconnect structure
KR100199258B1 (ko) 1990-02-09 1999-06-15 가나이 쓰도무 반도체집적회로장치
US6100025A (en) 1990-04-20 2000-08-08 Cold Spring Harbor Laboratory Cloning by complementation and related processes
US5977305A (en) 1990-04-20 1999-11-02 Cold Spring Harbor Laboratories Cloning by complementation and related processes
US5047979A (en) 1990-06-15 1991-09-10 Integrated Device Technology, Inc. High density SRAM circuit with ratio independent memory cells
US5208765A (en) * 1990-07-20 1993-05-04 Advanced Micro Devices, Inc. Computer-based method and system for product development
US5079614A (en) * 1990-09-26 1992-01-07 S-Mos Systems, Inc. Gate array architecture with basic cell interleaved gate electrodes
JP3017789B2 (ja) 1990-10-18 2000-03-13 三菱電機株式会社 半導体集積回路装置のレイアウト設計方法
JP2851447B2 (ja) 1991-03-08 1999-01-27 三菱電機株式会社 形状シミュレーション方法
JPH04350954A (ja) * 1991-05-28 1992-12-04 Hitachi Ltd 半導体装置
JPH05152937A (ja) 1991-11-26 1993-06-18 Hitachi Ltd 論理ゲート回路
JP3129336B2 (ja) 1991-12-09 2001-01-29 沖電気工業株式会社 半導体記憶装置
US7071060B1 (en) 1996-02-28 2006-07-04 Sandisk Corporation EEPROM with split gate source side infection with sidewall spacers
US5242770A (en) 1992-01-16 1993-09-07 Microunity Systems Engineering, Inc. Mask for photolithography
JP2760195B2 (ja) 1992-01-20 1998-05-28 日本電気株式会社 論理回路
US5526307A (en) 1992-01-22 1996-06-11 Macronix International Co., Ltd. Flash EPROM integrated circuit architecture
JPH05218362A (ja) 1992-02-04 1993-08-27 Sharp Corp ゲートアレイのベーシックセル
JPH0669470A (ja) * 1992-08-18 1994-03-11 Mitsubishi Electric Corp 半導体装置
JP2776180B2 (ja) * 1992-12-18 1998-07-16 株式会社日立製作所 超電導マグネット、超電導マグネットコイル及びその製造方法
US5367187A (en) 1992-12-22 1994-11-22 Quality Semiconductor, Inc. Master slice gate array integrated circuits with basic cells adaptable for both input/output and logic functions
IT1257184B (it) 1992-12-22 1996-01-10 Applied Research Systems Preparato ad attivita' antinfiammatoria, anticoagulante e antitumorale
US5420447A (en) 1993-01-29 1995-05-30 Sgs-Thomson Microelectronics, Inc. Double buffer base gate array cell
US5359226A (en) 1993-02-02 1994-10-25 Paradigm Technology, Inc. Static memory with self aligned contacts and split word lines
US5497334A (en) * 1993-02-19 1996-03-05 International Business Machines Corporation Application generator for use in verifying a hierarchical circuit design
US5410107A (en) 1993-03-01 1995-04-25 The Board Of Trustees Of The University Of Arkansas Multichip module
FR2702595B1 (fr) 1993-03-11 1996-05-24 Toshiba Kk Structure de câblage multicouche.
US5536955A (en) 1993-03-29 1996-07-16 Toppan Electronics (Usa) Inc. Electronic devices for use in generating integrated circuit structures and method therefor
US5338963A (en) 1993-04-05 1994-08-16 International Business Machines Corporation Soft error immune CMOS static RAM cell
US5691218A (en) 1993-07-01 1997-11-25 Lsi Logic Corporation Method of fabricating a programmable polysilicon gate array base cell structure
US5396128A (en) 1993-09-13 1995-03-07 Motorola, Inc. Output circuit for interfacing integrated circuits having different power supply potentials
JP3144967B2 (ja) 1993-11-08 2001-03-12 株式会社日立製作所 半導体集積回路およびその製造方法
JP2746087B2 (ja) 1993-12-01 1998-04-28 日本電気株式会社 半導体集積回路
US5625568A (en) 1993-12-22 1997-04-29 Vlsi Technology, Inc. Method and apparatus for compacting integrated circuits with standard cell architectures
JP2684980B2 (ja) 1993-12-24 1997-12-03 日本電気株式会社 半導体記憶装置及びその製造方法
US5378649A (en) 1994-04-08 1995-01-03 United Microelectronics Corporation Process for producing non-volatile memory devices having closely spaced buried bit lines and non-overlapping code implant areas
US5636002A (en) 1994-04-29 1997-06-03 Lucent Technologies Inc. Auxiliary mask features for enhancing the resolution of photolithography
JP3463180B2 (ja) 1994-05-02 2003-11-05 Necトーキン株式会社 磁性ガーネット酸化物粉末の製造方法及び磁性ガーネット酸化物膜の製造方法
US5591995A (en) 1994-05-10 1997-01-07 Texas Instruments, Incorporated Base cell for BiCMOS and CMOS gate arrays
TW297158B (ja) 1994-05-27 1997-02-01 Hitachi Ltd
JP3202490B2 (ja) 1994-07-22 2001-08-27 株式会社東芝 集積回路のレイアウト方法及び集積回路のレイアウト装置
JP3469362B2 (ja) 1994-08-31 2003-11-25 株式会社東芝 半導体記憶装置
US5528177A (en) 1994-09-16 1996-06-18 Research Foundation Of State University Of New York Complementary field-effect transistor logic circuits for wave pipelining
US5497337A (en) * 1994-10-21 1996-03-05 International Business Machines Corporation Method for designing high-Q inductors in silicon technology without expensive metalization
US5852562A (en) 1994-12-13 1998-12-22 Matsushita Electric Industrial Co., Ltd. Method and apparatus for designing an LSI layout utilizing cells having a predetermined wiring height in order to reduce wiring zones
JPH08292938A (ja) 1995-02-24 1996-11-05 Fujitsu Ltd 有限要素メッシュ発生方法及び装置、並びに解析方法及び装置
JP2647045B2 (ja) 1995-02-28 1997-08-27 日本電気株式会社 半導体記憶装置及びその製造方法
US5682323A (en) 1995-03-06 1997-10-28 Lsi Logic Corporation System and method for performing optical proximity correction on macrocell libraries
US5581098A (en) 1995-05-05 1996-12-03 Circuit Integration Technology, Inc. Circuit routing structure using fewer variable masks
JP3708168B2 (ja) * 1995-06-13 2005-10-19 富士通株式会社 遅延装置
JP3535615B2 (ja) 1995-07-18 2004-06-07 株式会社ルネサステクノロジ 半導体集積回路装置
US5774367A (en) 1995-07-24 1998-06-30 Motorola, Inc. Method of selecting device threshold voltages for high speed and low power
US5764533A (en) 1995-08-01 1998-06-09 Sun Microsystems, Inc. Apparatus and methods for generating cell layouts
JPH0951083A (ja) * 1995-08-10 1997-02-18 Mitsubishi Electric Corp ゲートアレイ型半導体集積回路装置及びその製造方法
US5841663A (en) 1995-09-14 1998-11-24 Vlsi Technology, Inc. Apparatus and method for synthesizing integrated circuits using parameterized HDL modules
JPH0993118A (ja) 1995-09-22 1997-04-04 Kawasaki Steel Corp パストランジスタ論理回路
US5789776A (en) 1995-09-22 1998-08-04 Nvx Corporation Single poly memory cell and array
JPH0997885A (ja) 1995-09-28 1997-04-08 Denso Corp ゲートアレイ
US5723883A (en) 1995-11-14 1998-03-03 In-Chip Gate array cell architecture and routing scheme
US5640342A (en) 1995-11-20 1997-06-17 Micron Technology, Inc. Structure for cross coupled thin film transistors and static random access memory cell
JP3400215B2 (ja) 1995-11-21 2003-04-28 沖電気工業株式会社 半導体装置
JP3486725B2 (ja) 1995-11-28 2004-01-13 株式会社ルネサステクノロジ 可変論理集積回路
JP3934719B2 (ja) 1995-12-22 2007-06-20 株式会社東芝 光近接効果補正方法
US6043562A (en) 1996-01-26 2000-03-28 Micron Technology, Inc. Digit line architecture for dynamic memory
KR100229577B1 (ko) 1996-01-31 1999-11-15 포만 제프리 엘 게이트 어레이 셀 및 이것을 포함한 집적 회로 칩
US5798298A (en) 1996-02-09 1998-08-25 United Microelectronics Corporation Method of automatically generating dummy metals for multilevel interconnection
US6269472B1 (en) 1996-02-27 2001-07-31 Lsi Logic Corporation Optical proximity correction method and apparatus
US5705301A (en) * 1996-02-27 1998-01-06 Lsi Logic Corporation Performing optical proximity correction with the aid of design rule checkers
US5698873A (en) 1996-03-08 1997-12-16 Lsi Logic Corporation High density gate array base cell architecture
JP2914292B2 (ja) * 1996-04-25 1999-06-28 日本電気株式会社 半導体装置
US5740068A (en) 1996-05-30 1998-04-14 International Business Machines Corporation Fidelity enhancement of lithographic and reactive-ion-etched images by optical proximity correction
US5935763A (en) 1996-06-11 1999-08-10 International Business Machines Corporation Self-aligned pattern over a reflective layer
US6026223A (en) 1996-06-28 2000-02-15 Scepanovic; Ranko Advanced modular cell placement system with overlap remover with minimal noise
JP3311244B2 (ja) * 1996-07-15 2002-08-05 株式会社東芝 基本セルライブラリ及びその形成方法
US5796128A (en) 1996-07-25 1998-08-18 Translogic Technology, Inc. Gate array with fully wired multiplexer circuits
US5920486A (en) 1996-08-16 1999-07-06 International Business Machines Corporation Parameterized cells for generating dense layouts of VLSI circuits
JP3152635B2 (ja) 1996-09-09 2001-04-03 三洋電機株式会社 マスタスライス方式の基本セル、半導体集積回路装置、フリップフロップ回路、排他的論理和回路、マルチプレクサ及び加算器
US5858580A (en) 1997-09-17 1999-01-12 Numerical Technologies, Inc. Phase shifting circuit manufacture method and apparatus
US5790417A (en) 1996-09-25 1998-08-04 Taiwan Semiconductor Manufacturing Company Ltd. Method of automatic dummy layout generation
US5923060A (en) 1996-09-27 1999-07-13 In-Chip Systems, Inc. Reduced area gate array cell design based on shifted placement of alternate rows of cells
US5684733A (en) 1996-09-30 1997-11-04 Holtek Microelectronics, Inc. Fixed resistance high density parallel ROM device
JP3529563B2 (ja) 1996-10-09 2004-05-24 株式会社東芝 半導体集積回路の再レイアウト方法及び半導体集積回路の再レイアウトプログラムを記録した媒体
US6209123B1 (en) * 1996-11-01 2001-03-27 Motorola, Inc. Methods of placing transistors in a circuit layout and semiconductor device with automatically placed transistors
US6099584A (en) 1996-12-06 2000-08-08 Vsli Technology, Inc. System to fix post-layout timing and design rules violations
JP3523762B2 (ja) 1996-12-19 2004-04-26 株式会社東芝 半導体記憶装置
JP3352895B2 (ja) 1996-12-25 2002-12-03 株式会社東芝 半導体集積回路、半導体集積回路の設計方法および製造方法
JP3420694B2 (ja) 1996-12-27 2003-06-30 株式会社東芝 スタンダードセル方式の集積回路
JPH10189746A (ja) 1996-12-27 1998-07-21 Oki Electric Ind Co Ltd Lsi論理回路の配線レイアウト方法
JP3036588B2 (ja) 1997-02-03 2000-04-24 日本電気株式会社 半導体記憶装置
JP3180700B2 (ja) 1997-02-03 2001-06-25 日本電気株式会社 半導体集積回路装置
JP3352349B2 (ja) 1997-02-24 2002-12-03 シャープ株式会社 双方向サイリスタ素子
US5900340A (en) * 1997-03-03 1999-05-04 Motorola, Inc. One dimensional lithographic proximity correction using DRC shape functions
US5977574A (en) 1997-03-28 1999-11-02 Lsi Logic Corporation High density gate array cell architecture with sharing of well taps between cells
US5880991A (en) 1997-04-14 1999-03-09 International Business Machines Corporation Structure for low cost mixed memory integration, new NVRAM structure, and process for forming the mixed memory and NVRAM structure
US6393601B1 (en) 1997-04-14 2002-05-21 Matsushita Electric Industrial Co., Ltd. Layout designing apparatus for integrated circuit, transistor size determining apparatus, circuit characteristic evaluating method, and transistor size determining method
JP3178799B2 (ja) 1997-04-18 2001-06-25 シャープ株式会社 Mos論理回路及びこのmos論理回路を備えた半導体装置
KR100227621B1 (ko) 1997-05-22 1999-11-01 김영환 반도체 소자의 트랜지스터 제조방법
US6005296A (en) 1997-05-30 1999-12-21 Stmicroelectronics, Inc. Layout for SRAM structure
US6445049B1 (en) 1997-06-30 2002-09-03 Artisan Components, Inc. Cell based array comprising logic, transfer and drive cells
US6282696B1 (en) * 1997-08-15 2001-08-28 Lsi Logic Corporation Performing optical proximity correction with the aid of design rule checkers
US6370679B1 (en) * 1997-09-17 2002-04-09 Numerical Technologies, Inc. Data hierarchy layout correction and verification method and apparatus
US6470489B1 (en) 1997-09-17 2002-10-22 Numerical Technologies, Inc. Design rule checking system and method
US6009251A (en) 1997-09-30 1999-12-28 Synopsys, Inc. Method and system for layout verification of an integrated circuit design with reusable subdesigns
US6114071A (en) 1997-11-24 2000-09-05 Asml Masktools Netherlands B.V. Method of fine feature edge tuning with optically-halftoned mask
DE69727581D1 (de) 1997-11-28 2004-03-18 St Microelectronics Srl RAM-Speicherzelle mit niedriger Leistungsaufnahme
JP3701781B2 (ja) 1997-11-28 2005-10-05 株式会社ルネサステクノロジ 論理回路とその作成方法
JP3926011B2 (ja) * 1997-12-24 2007-06-06 株式会社ルネサステクノロジ 半導体装置の設計方法
JP3777768B2 (ja) 1997-12-26 2006-05-24 株式会社日立製作所 半導体集積回路装置およびセルライブラリを記憶した記憶媒体および半導体集積回路の設計方法
KR100278273B1 (ko) * 1997-12-30 2001-02-01 김영환 반도체장치의콘택홀형성방법
US6249902B1 (en) 1998-01-09 2001-06-19 Silicon Perspective Corporation Design hierarchy-based placement
US6571140B1 (en) 1998-01-15 2003-05-27 Eutech Cybernetics Pte Ltd. Service-oriented community agent
JPH11214662A (ja) 1998-01-29 1999-08-06 Mitsubishi Electric Corp 半導体装置
US6091845A (en) 1998-02-24 2000-07-18 Micron Technology, Inc. Inspection technique of photomask
US6378110B1 (en) 1998-03-31 2002-04-23 Synopsys, Inc. Layer-based rule checking for an integrated circuit layout
US6230299B1 (en) 1998-03-31 2001-05-08 Mentor Graphics Corporation Method and apparatus for extracting and storing connectivity and geometrical data for a deep sub-micron integrated circuit design
JPH11297856A (ja) 1998-04-16 1999-10-29 Mitsubishi Electric Corp スタティック半導体記憶装置
US5915199A (en) 1998-06-04 1999-06-22 Sharp Microelectronics Technology, Inc. Method for manufacturing a CMOS self-aligned strapped interconnection
US6262487B1 (en) 1998-06-23 2001-07-17 Kabushiki Kaisha Toshiba Semiconductor integrated circuit device, semiconductor integrated circuit wiring method, and cell arranging method
JP3964575B2 (ja) * 1998-06-23 2007-08-22 株式会社東芝 半導体集積回路装置、半導体集積回路配線方法およびセル配置方法
US6063132A (en) 1998-06-26 2000-05-16 International Business Machines Corporation Method for verifying design rule checking software
US6480989B2 (en) 1998-06-29 2002-11-12 Lsi Logic Corporation Integrated circuit design incorporating a power mesh
US6714903B1 (en) 1998-07-10 2004-03-30 Lsi Logic Corporation Placement and routing of circuits using a combined processing/buffer cell
US6240542B1 (en) * 1998-07-14 2001-05-29 Lsi Logic Corporation Poly routing for chip interconnects with minimal impact on chip performance
US6182272B1 (en) * 1998-07-16 2001-01-30 Lsi Logic Corporation Metal layer assignment
JP3562975B2 (ja) 1998-09-29 2004-09-08 株式会社東芝 集積回路設計方法及び集積回路設計装置
US20020008257A1 (en) 1998-09-30 2002-01-24 John P. Barnak Mosfet gate electrodes having performance tuned work functions and methods of making same
JP2000114262A (ja) * 1998-10-05 2000-04-21 Toshiba Corp 半導体装置及びその製造方法
JP3852729B2 (ja) * 1998-10-27 2006-12-06 富士通株式会社 半導体記憶装置
US6275973B1 (en) * 1998-10-30 2001-08-14 Lsi Logic Corporation Integrated circuit design with delayed cell selection
US6174742B1 (en) 1998-10-30 2001-01-16 Lsi Logic Corporation Off-grid metal layer utilization
US6166415A (en) 1998-11-02 2000-12-26 Mitsubishi Denki Kabushiki Kaisha Semiconductor device with improved noise resistivity
JP3680594B2 (ja) 1998-11-10 2005-08-10 株式会社日立製作所 半導体集積回路
TW476069B (en) 1998-11-20 2002-02-11 Via Tech Inc Placement and routing for array device
AU1913500A (en) 1998-11-25 2000-06-13 Nanopower, Inc. Improved flip-flops and other logic circuits and techniques for improving layouts of integrated circuits
US6477695B1 (en) 1998-12-09 2002-11-05 Artisan Components, Inc. Methods for designing standard cell transistor structures
WO2000036466A1 (fr) 1998-12-11 2000-06-22 Hitachi, Ltd. Dispositif a circuit integre a semiconducteurs et procede de fabrication
KR100291384B1 (ko) 1998-12-31 2001-07-12 윤종용 반도체장치의레이아웃방법
US6159839A (en) 1999-02-11 2000-12-12 Vanguard International Semiconductor Corporation Method for fabricating borderless and self-aligned polysilicon and metal contact landing plugs for multilevel interconnections
US6691297B1 (en) 1999-03-04 2004-02-10 Matsushita Electric Industrial Co., Ltd. Method for planning layout for LSI pattern, method for forming LSI pattern and method for generating mask data for LSI
US6480032B1 (en) 1999-03-04 2002-11-12 Intel Corporation Gate array architecture
US6974978B1 (en) 1999-03-04 2005-12-13 Intel Corporation Gate array architecture
US6194912B1 (en) 1999-03-11 2001-02-27 Easic Corporation Integrated circuit device
US6331733B1 (en) 1999-08-10 2001-12-18 Easic Corporation Semiconductor device
US6044007A (en) * 1999-03-24 2000-03-28 Advanced Micro Devices, Inc. Modification of mask layout data to improve writeability of OPC
JP3986036B2 (ja) 1999-04-16 2007-10-03 株式会社日立製作所 半導体集積回路装置
US6505328B1 (en) 1999-04-27 2003-01-07 Magma Design Automation, Inc. Method for storing multiple levels of design data in a common database
US6507941B1 (en) * 1999-04-28 2003-01-14 Magma Design Automation, Inc. Subgrid detailed routing
JP4565700B2 (ja) * 1999-05-12 2010-10-20 ルネサスエレクトロニクス株式会社 半導体装置
US6492066B1 (en) 1999-05-28 2002-12-10 Advanced Micro Devices, Inc. Characterization and synthesis of OPC structures by fourier space analysis and/or wavelet transform expansion
US6425112B1 (en) 1999-06-17 2002-07-23 International Business Machines Corporation Auto correction of error checked simulated printed images
US6381730B1 (en) 1999-07-09 2002-04-30 Sequence Design, Inc. Method and system for extraction of parasitic interconnect impedance including inductance
US6525350B1 (en) * 1999-07-16 2003-02-25 Kawasaki Steel Corporation Semiconductor integrated circuit basic cell semiconductor integrated circuit using the same
JP2001056463A (ja) 1999-08-20 2001-02-27 Casio Comput Co Ltd 液晶表示装置
JP2001068558A (ja) 1999-08-30 2001-03-16 Hitachi Ltd 半導体集積回路装置
US6436805B1 (en) 1999-09-01 2002-08-20 Micron Technology, Inc. Local interconnect structures and methods for making the same
US6496965B1 (en) 1999-09-20 2002-12-17 Magma Design Automation, Inc. Automated design of parallel drive standard cells
TW423218B (en) 1999-10-06 2001-02-21 Ind Tech Res Inst Charge-redistribution low-swing differential logic circuit
US6194104B1 (en) 1999-10-12 2001-02-27 Taiwan Semiconductor Manufacturing Company Optical proximity correction (OPC) method for improving lithography process window
US6737347B1 (en) 1999-10-20 2004-05-18 Texas Instruments Incorporated Semiconductor device with fully self-aligned local interconnects, and method for fabricating the device
US6426269B1 (en) 1999-10-21 2002-07-30 International Business Machines Corporation Dummy feature reduction using optical proximity effect correction
US6255845B1 (en) 1999-11-16 2001-07-03 Advanced Micro Devices, Inc. Efficient use of spare gates for post-silicon debug and enhancements
WO2001037345A1 (en) 1999-11-17 2001-05-25 Aeroflex Utmc Microelectronic Systems Inc. Radiation resistant integrated circuit design
JP2001144603A (ja) 1999-11-18 2001-05-25 Oki Micro Design Co Ltd レベルシフタ回路およびそれを含むデータ出力回路
WO2001037322A2 (en) 1999-11-18 2001-05-25 Pdf Solutions, Inc. System and method for product yield prediction using a logic characterization vehicle
JP2001168707A (ja) 1999-12-03 2001-06-22 Sony Corp 論理回路およびそれを用いた全加算器
US6421820B1 (en) * 1999-12-13 2002-07-16 Infineon Technologies Ag Semiconductor device fabrication using a photomask with assist features
US6303252B1 (en) 1999-12-27 2001-10-16 United Microelectronics Corp. Reticle having assist feature between semi-dense lines
KR100346832B1 (ko) 2000-01-12 2002-08-03 삼성전자 주식회사 스태틱 랜덤 억세스 메모리 소자 및 그 제조 방법
US6737199B1 (en) 2000-01-31 2004-05-18 Taiwan Semiconductor Manufacturing Company Using new pattern fracturing rules for optical proximity correction mask-making to improve critical dimension uniformity
US6408427B1 (en) 2000-02-22 2002-06-18 The Regents Of The University Of California Wire width planning and performance optimization for VLSI interconnects
US6756811B2 (en) 2000-03-10 2004-06-29 Easic Corporation Customizable and programmable cell array
US6331790B1 (en) 2000-03-10 2001-12-18 Easic Corporation Customizable and programmable cell array
US6399972B1 (en) * 2000-03-13 2002-06-04 Oki Electric Industry Co., Ltd. Cell based integrated circuit and unit cell architecture therefor
US6536028B1 (en) 2000-03-14 2003-03-18 Ammocore Technologies, Inc. Standard block architecture for integrated circuit design
JP2001272228A (ja) 2000-03-24 2001-10-05 Railway Technical Res Inst 相対変位量計測システム及び相対変位量計測方法
US6356112B1 (en) * 2000-03-28 2002-03-12 Translogic Technology, Inc. Exclusive or/nor circuit
US6553544B2 (en) * 2000-04-04 2003-04-22 Matsushita Electric Industrial Co., Ltd. Method for design of partial circuit
US6416907B1 (en) * 2000-04-27 2002-07-09 Micron Technology, Inc. Method for designing photolithographic reticle layout, reticle, and photolithographic process
TW512424B (en) 2000-05-01 2002-12-01 Asml Masktools Bv Hybrid phase-shift mask
US6583041B1 (en) 2000-05-01 2003-06-24 Advanced Micro Devices, Inc. Microdevice fabrication method using regular arrays of lines and spaces
JP4885365B2 (ja) 2000-05-16 2012-02-29 ルネサスエレクトロニクス株式会社 半導体装置
US6509952B1 (en) 2000-05-23 2003-01-21 Silicon Valley Group, Inc. Method and system for selective linewidth optimization during a lithographic process
US6610607B1 (en) 2000-05-25 2003-08-26 International Business Machines Corporation Method to define and tailor process limited lithographic features using a modified hard mask process
US6445065B1 (en) * 2000-06-06 2002-09-03 In-Chip Systems, Inc. Routing driven, metal programmable integrated circuit architecture with multiple types of core cells
US6617621B1 (en) 2000-06-06 2003-09-09 Virage Logic Corporation Gate array architecture using elevated metal levels for customization
US6425113B1 (en) 2000-06-13 2002-07-23 Leigh C. Anderson Integrated verification and manufacturability tool
US6889370B1 (en) 2000-06-20 2005-05-03 Unisys Corporation Method and apparatus for selecting and aligning cells using a placement tool
JP2002026296A (ja) 2000-06-22 2002-01-25 Internatl Business Mach Corp <Ibm> 半導体集積回路装置
JP2002009160A (ja) 2000-06-26 2002-01-11 Nec Microsystems Ltd 半導体集積回路の自動レイアウト方法、この方法で製造した半導体集積回路及びこの方法を記録した記録媒体
US7225423B2 (en) 2000-06-30 2007-05-29 Zenasis Technologies, Inc. Method for automated design of integrated circuits with targeted quality objectives using dynamically generated building blocks
US6787271B2 (en) 2000-07-05 2004-09-07 Numerical Technologies, Inc. Design and layout of phase shifting photolithographic masks
US6978436B2 (en) 2000-07-05 2005-12-20 Synopsys, Inc. Design data format and hierarchy management for phase processing
US7028285B2 (en) 2000-07-05 2006-04-11 Synopsys, Inc. Standard cell design incorporating phase information
US6733929B2 (en) 2000-07-05 2004-05-11 Numerical Technologies, Inc. Phase shift masking for complex patterns with proximity adjustments
US6516459B1 (en) 2000-07-10 2003-02-04 Mentor Graphics Corporation Integrated circuit design correction using fragment correspondence
JP4794030B2 (ja) 2000-07-10 2011-10-12 ルネサスエレクトロニクス株式会社 半導体装置
US6632741B1 (en) 2000-07-19 2003-10-14 International Business Machines Corporation Self-trimming method on looped patterns
US6574786B1 (en) 2000-07-21 2003-06-03 Aeroflex UTMC Microelectronics Systems, Inc. Gate array cell generator using cadence relative object design
US20050136340A1 (en) 2000-07-21 2005-06-23 Asml Netherlands B.V. Lithographic apparatus and methods, patterning structure and method for making a patterning structure, device manufacturing method, and device manufactured thereby
US6523162B1 (en) 2000-08-02 2003-02-18 Numerical Technologies, Inc. General purpose shape-based layout processing scheme for IC layout modifications
JP4764987B2 (ja) 2000-09-05 2011-09-07 富士電機株式会社 超接合半導体素子
AU2001290937A1 (en) 2000-09-13 2002-04-02 Massachusetts Institute Of Technology Method of design and fabrication of integrated circuits using regular arrays and gratings
US6800883B2 (en) 2000-09-21 2004-10-05 Matsushita Electric Industrial Co., Ltd. CMOS basic cell and method for fabricating semiconductor integrated circuit using the same
US6625801B1 (en) 2000-09-29 2003-09-23 Numerical Technologies, Inc. Dissection of printed edges from a fabrication layout for correcting proximity effects
US6557162B1 (en) 2000-09-29 2003-04-29 Numerical Technologies, Inc. Method for high yield reticle formation
US6453457B1 (en) 2000-09-29 2002-09-17 Numerical Technologies, Inc. Selection of evaluation point locations based on proximity effects model amplitudes for correcting proximity effects in a fabrication layout
US6794677B2 (en) 2000-10-02 2004-09-21 Matsushita Electric Industrial Co., Ltd. Semiconductor integrated circuit device and method for fabricating the same
US6555450B2 (en) 2000-10-04 2003-04-29 Samsung Electronics Co., Ltd. Contact forming method for semiconductor device
US6566720B2 (en) 2000-10-05 2003-05-20 United Memories, Inc. Base cell layout permitting rapid layout with minimum clock line capacitance on CMOS standard-cell and gate-array integrated circuits
US6978437B1 (en) 2000-10-10 2005-12-20 Toppan Photomasks, Inc. Photomask for eliminating antenna effects in an integrated circuit and integrated circuit manufacture with same
KR20020034313A (ko) 2000-10-31 2002-05-09 박종섭 에스램셀의 제조 방법
US6703170B1 (en) 2000-12-13 2004-03-09 Dupont Photomasks, Inc. Method and apparatus for reducing loading effects on a semiconductor manufacturing component during an etch process
JP2002184870A (ja) 2000-12-18 2002-06-28 Mitsubishi Electric Corp スタティック型半導体記憶装置
US6992394B2 (en) 2000-12-28 2006-01-31 Infineon Technologies Ag Multi-level conductive lines with reduced pitch
US6553559B2 (en) 2001-01-05 2003-04-22 International Business Machines Corporation Method to determine optical proximity correction and assist feature rules which account for variations in mask dimensions
US6578190B2 (en) 2001-01-11 2003-06-10 International Business Machines Corporation Process window based optical proximity correction of lithographic images
JP2002289703A (ja) 2001-01-22 2002-10-04 Nec Corp 半導体記憶装置およびその製造方法
JP2002252161A (ja) 2001-02-23 2002-09-06 Hitachi Ltd 半導体製造システム
US6792591B2 (en) 2001-02-28 2004-09-14 Asml Masktools B.V. Method of identifying an extreme interaction pitch region, methods of designing mask patterns and manufacturing masks, device manufacturing methods and computer programs
JP4928675B2 (ja) 2001-03-01 2012-05-09 エルピーダメモリ株式会社 半導体装置
JP4736206B2 (ja) 2001-03-05 2011-07-27 大日本印刷株式会社 フォトマスクパタン欠陥検査方法および微細図形パタンの検出方法
US6881523B2 (en) 2001-03-14 2005-04-19 Asml Masktools B.V. Optical proximity correction method utilizing ruled ladder bars as sub-resolution assist features
US6732334B2 (en) 2001-04-02 2004-05-04 Matsushita Electric Industrial Co., Ltd. Analog MOS semiconductor device, manufacturing method therefor, manufacturing program therefor, and program device therefor
US6514849B1 (en) * 2001-04-02 2003-02-04 Advanced Micro Devices, Inc. Method of forming smaller contact size using a spacer hard mask
US6574779B2 (en) 2001-04-12 2003-06-03 International Business Machines Corporation Hierarchical layout method for integrated circuits
US6505327B2 (en) * 2001-04-13 2003-01-07 Numerical Technologies, Inc. Generating an instance-based representation of a design hierarchy
US6524870B2 (en) 2001-04-24 2003-02-25 Pell, Iii Edwin A. Method and apparatus for improving resolution of objects in a semiconductor wafer
JP4187947B2 (ja) 2001-04-26 2008-11-26 株式会社東芝 パターン補正方法、パターン補正装置、およびパターン補正プログラムを記録した記録媒体
US6781170B2 (en) * 2001-05-01 2004-08-24 Lattice Semiconductor Corporation Integrated circuit base transistor structure and associated programmable cell library
US6936908B2 (en) 2001-05-03 2005-08-30 Ixys Corporation Forward and reverse blocking devices
US6553562B2 (en) 2001-05-04 2003-04-22 Asml Masktools B.V. Method and apparatus for generating masks utilized in conjunction with dipole illumination techniques
US6590289B2 (en) 2001-05-17 2003-07-08 Lsi Logic Corporation Hexadecagonal routing
US6523156B2 (en) 2001-06-08 2003-02-18 Library Technologies, Inc. Apparatus and methods for wire load independent logic synthesis and timing closure with constant replacement delay cell libraries
US6759282B2 (en) 2001-06-12 2004-07-06 International Business Machines Corporation Method and structure for buried circuits and devices
JP2002368135A (ja) 2001-06-12 2002-12-20 Hitachi Ltd 半導体記憶装置
JP4798881B2 (ja) * 2001-06-18 2011-10-19 富士通セミコンダクター株式会社 半導体集積回路装置
JP4746770B2 (ja) 2001-06-19 2011-08-10 ルネサスエレクトロニクス株式会社 半導体装置
US6609235B2 (en) * 2001-06-22 2003-08-19 Bae Systems Information And Electronic Systems Integration, Inc. Method for providing a fill pattern for an integrated circuit design
US7079989B2 (en) 2001-06-29 2006-07-18 Shmuel Wimer Arrangements for automatic re-legging of transistors
US6835591B2 (en) * 2001-07-25 2004-12-28 Nantero, Inc. Methods of nanotube films and articles
DE10137830A1 (de) 2001-08-02 2003-02-27 Infineon Technologies Ag Verfahren zum Herstellen einer selbstjustierten Struktur auf einem Halbleiter-Wafer
US6684382B2 (en) 2001-08-31 2004-01-27 Numerical Technologies, Inc. Microloading effect correction
DE10143723B4 (de) 2001-08-31 2006-09-28 Infineon Technologies Ag Verfahren zur Optimierung eines Layouts für eine Maske zur Verwendung bei der Halbleiterherstellung
US6633182B2 (en) 2001-09-05 2003-10-14 Carnegie Mellon University Programmable gate array based on configurable metal interconnect vias
JP4786836B2 (ja) 2001-09-07 2011-10-05 富士通セミコンダクター株式会社 配線接続部設計方法及び半導体装置
JP2003092250A (ja) 2001-09-18 2003-03-28 Hitachi Ltd 半導体装置及びその製造方法
JP3989213B2 (ja) 2001-09-25 2007-10-10 シャープ株式会社 パストランジスタ論理回路
JP3637299B2 (ja) 2001-10-05 2005-04-13 松下電器産業株式会社 半導体記憶装置
TWI228642B (en) 2001-10-09 2005-03-01 Asml Masktools Inc Method of two dimensional feature model calibration and optimization
JP2003124339A (ja) 2001-10-11 2003-04-25 Toshiba Corp 半導体装置およびその製造方法
JP3526450B2 (ja) 2001-10-29 2004-05-17 株式会社東芝 半導体集積回路およびスタンダードセル配置設計方法
JP2003142584A (ja) 2001-11-05 2003-05-16 Matsushita Electric Ind Co Ltd 半導体集積回路装置の設計方法
US6673638B1 (en) 2001-11-14 2004-01-06 Kla-Tencor Corporation Method and apparatus for the production of process sensitive lithographic features
JP3789351B2 (ja) 2001-11-30 2006-06-21 株式会社日立製作所 反射型液晶表示装置及びその製造方法
JP2003168640A (ja) 2001-12-03 2003-06-13 Hitachi Ltd 半導体装置の製造方法
JP2003188361A (ja) 2001-12-20 2003-07-04 Mitsubishi Electric Corp ゲートアレイ構造の半導体集積回路
JP3828419B2 (ja) 2001-12-25 2006-10-04 株式会社東芝 半導体装置及びその製造方法
US6787469B2 (en) 2001-12-28 2004-09-07 Texas Instruments Incorporated Double pattern and etch of poly with hard mask
US7159197B2 (en) 2001-12-31 2007-01-02 Synopsys, Inc. Shape-based geometry engine to perform smoothing and other layout beautification operations
US6817000B2 (en) 2002-01-02 2004-11-09 International Business Machines Corporation Delay correlation analysis and representation for vital complaint VHDL models
US7085701B2 (en) 2002-01-02 2006-08-01 International Business Machines Corporation Size reduction techniques for vital compliant VHDL simulation models
JP2003203993A (ja) 2002-01-10 2003-07-18 Mitsubishi Electric Corp 半導体記憶装置及びその製造方法
US6749972B2 (en) 2002-01-15 2004-06-15 Taiwan Semiconductor Manufacturing Co., Ltd. Optical proximity correction common process window maximization over varying feature pitch
US6721926B2 (en) 2002-01-25 2004-04-13 Intel Corporation Method and apparatus for improving digital circuit design
US6662350B2 (en) 2002-01-28 2003-12-09 International Business Machines Corporation FinFET layout generation
US6820248B1 (en) 2002-02-14 2004-11-16 Xilinx, Inc. Method and apparatus for routing interconnects to devices with dissimilar pitches
US6877144B1 (en) * 2002-02-28 2005-04-05 Dupont Photomasks, Inc. System and method for generating a mask layout file to reduce power supply voltage fluctuations in an integrated circuit
JP2003258109A (ja) * 2002-03-07 2003-09-12 Hitachi Ltd 半導体集積回路装置
JP2003264231A (ja) 2002-03-11 2003-09-19 Mitsubishi Electric Corp レイアウト設計方法および半導体装置
TWI252516B (en) 2002-03-12 2006-04-01 Toshiba Corp Determination method of process parameter and method for determining at least one of process parameter and design rule
US7386433B2 (en) 2002-03-15 2008-06-10 Synopsys, Inc. Using a suggested solution to speed up a process for simulating and correcting an integrated circuit layout
US6732338B2 (en) 2002-03-20 2004-05-04 International Business Machines Corporation Method for comprehensively verifying design rule checking runsets
US6765245B2 (en) * 2002-03-25 2004-07-20 Bae Systems Information And Electronic Systems Integration Inc. Gate array core cell for VLSI ASIC devices
US6754121B2 (en) 2002-03-29 2004-06-22 Stmicroelectronics, Inc. Sense amplifying circuit and method
US6745372B2 (en) * 2002-04-05 2004-06-01 Numerical Technologies, Inc. Method and apparatus for facilitating process-compliant layout optimization
US6789246B1 (en) 2002-04-07 2004-09-07 Barcelona Design, Inc. Method and apparatus for automatic layout of circuit structures
US7252909B2 (en) 2002-04-18 2007-08-07 Taiwan Semiconductor Manufacturing Co., Ltd. Method to reduce CD non-uniformity in IC manufacturing
JP4190796B2 (ja) 2002-04-24 2008-12-03 Necエレクトロニクス株式会社 露光原版の作成方法
US6992925B2 (en) 2002-04-26 2006-01-31 Kilopass Technologies, Inc. High density semiconductor memory cell and memory array using a single transistor and having counter-doped poly and buried diffusion wordline
US6826738B2 (en) 2002-05-10 2004-11-30 Pdf Solutions, Inc. Optimization of die placement on wafers
US6794914B2 (en) 2002-05-24 2004-09-21 Qualcomm Incorporated Non-volatile multi-threshold CMOS latch with leakage control
JP2004013920A (ja) 2002-06-03 2004-01-15 Mitsubishi Electric Corp 半導体記憶装置
US6980211B2 (en) 2002-06-04 2005-12-27 Springsoft, Inc. Automatic schematic diagram generation using topology information
US7712056B2 (en) 2002-06-07 2010-05-04 Cadence Design Systems, Inc. Characterization and verification for integrated circuit designs
US7774726B2 (en) 2002-06-07 2010-08-10 Cadence Design Systems, Inc. Dummy fill for integrated circuits
WO2003104921A2 (en) 2002-06-07 2003-12-18 Praesagus, Inc. Characterization adn reduction of variation for integrated circuits
US7124386B2 (en) 2002-06-07 2006-10-17 Praesagus, Inc. Dummy fill for integrated circuits
US7152215B2 (en) 2002-06-07 2006-12-19 Praesagus, Inc. Dummy fill for integrated circuits
US20030229875A1 (en) 2002-06-07 2003-12-11 Smith Taber H. Use of models in integrated circuit fabrication
US7363099B2 (en) 2002-06-07 2008-04-22 Cadence Design Systems, Inc. Integrated circuit metrology
US6795953B2 (en) 2002-06-11 2004-09-21 Hpl Technologies, Inc. Method for avoiding false failures attributable to dummy interconnects during defect analysis of an integrated circuit design
JP3879063B2 (ja) 2002-06-11 2007-02-07 富士通株式会社 半導体装置およびその製造方法
US7039882B2 (en) 2002-06-17 2006-05-02 Amar Pal Singh Rana Technology dependent transformations for Silicon-On-Insulator in digital design synthesis
JP2004022070A (ja) 2002-06-17 2004-01-22 Renesas Technology Corp 半導体記憶装置
JP4036688B2 (ja) 2002-06-18 2008-01-23 松下電器産業株式会社 自動配置配線用スタンダードセルライブラリ及び半導体集積装置
EP1376676A3 (en) 2002-06-24 2008-08-20 Interuniversitair Microelektronica Centrum Vzw Multibit non-volatile memory device and method
JP4462528B2 (ja) 2002-06-24 2010-05-12 株式会社日立製作所 半導体集積回路装置
US6687895B2 (en) 2002-07-03 2004-02-03 Numerical Technologies Inc. Method and apparatus for reducing optical proximity correction output file size
US6998722B2 (en) 2002-07-08 2006-02-14 Viciciv Technology Semiconductor latches and SRAM devices
JP2004040042A (ja) 2002-07-08 2004-02-05 Fujitsu Ltd 半導体記憶装置
US20040009409A1 (en) 2002-07-11 2004-01-15 Jiunn-Ren Hwang Optical proximity correction method
US7063923B2 (en) * 2002-07-11 2006-06-20 United Electronics Corp. Optical proximity correction method
US7231628B2 (en) 2002-07-12 2007-06-12 Cadence Design Systems, Inc. Method and system for context-specific mask inspection
JP4416384B2 (ja) 2002-07-19 2010-02-17 株式会社ルネサステクノロジ 半導体集積回路
KR100445638B1 (ko) 2002-07-26 2004-08-25 삼성전자주식회사 전기적으로 분리된 영역들을 연결하는 상호 연결 구조 및그 제조방법
US7739624B2 (en) 2002-07-29 2010-06-15 Synopsys, Inc. Methods and apparatuses to generate a shielding mesh for integrated circuit devices
US7171645B2 (en) 2002-08-06 2007-01-30 Matsushita Electric Industrial Co., Ltd. Semiconductor device, method of generating pattern for semiconductor device, method of manufacturing semiconductor device and device of generating pattern used for semiconductor device
KR100493025B1 (ko) 2002-08-07 2005-06-07 삼성전자주식회사 반도체 메모리 장치의 제조 방법
US6789244B1 (en) 2002-08-08 2004-09-07 Xilinx, Inc. Placement of clock objects under constraints
US7143380B1 (en) 2002-08-08 2006-11-28 Xilinx, Inc. Method for application of network flow techniques under constraints
US6785875B2 (en) 2002-08-15 2004-08-31 Fulcrum Microsystems, Inc. Methods and apparatus for facilitating physical synthesis of an integrated circuit design
US6854100B1 (en) 2002-08-27 2005-02-08 Taiwan Semiconductor Manufacturing Company Methodology to characterize metal sheet resistance of copper damascene process
JP3795846B2 (ja) 2002-08-29 2006-07-12 富士通株式会社 半導体装置
US7345511B2 (en) 2002-08-29 2008-03-18 Technion Research & Development Foundation Ltd. Logic circuit and method of logic circuit design
US6734521B2 (en) 2002-08-30 2004-05-11 Texas Instruments Incorporated Integrated circuit cells
DE10241170A1 (de) 2002-09-05 2004-03-18 Infineon Technologies Ag Hochdichter NROM-FINFET
US20040049754A1 (en) 2002-09-06 2004-03-11 Sun Microsystems, Inc. Method and apparatus for filling and connecting filler material in a layout
TWI274969B (en) 2002-09-11 2007-03-01 Asml Masktools Bv Method and computer program product of generating masks and mask generated thereby, device manufacturing method and device manufactured thereby, and method of printing pattern
US6807663B2 (en) 2002-09-23 2004-10-19 Numerical Technologies, Inc. Accelerated layout processing using OPC pre-processing
US6928635B2 (en) * 2002-09-25 2005-08-09 Numerical Technologies, Inc. Selectively applying resolution enhancement techniques to improve performance and manufacturing cost of integrated circuits
US7327597B1 (en) 2002-10-02 2008-02-05 Cisco Technology, Inc. Static random access memory architecture
WO2004034463A1 (ja) 2002-10-10 2004-04-22 Fujitsu Limited レイアウト方法及び装置並びにそのプログラム及び記録媒体
US7214579B2 (en) 2002-10-24 2007-05-08 Nxp Bv. Self-aligned 2-bit “double poly CMP” flash memory cell
US6994939B1 (en) 2002-10-29 2006-02-07 Advanced Micro Devices, Inc. Semiconductor manufacturing resolution enhancement system and method for simultaneously patterning different feature types
US7053424B2 (en) 2002-10-31 2006-05-30 Yamaha Corporation Semiconductor integrated circuit device and its manufacture using automatic layout
US7219326B2 (en) 2002-12-16 2007-05-15 Intrinsity, Inc. Physical realization of dynamic logic using parameterized tile partitioning
JP3848248B2 (ja) 2002-12-17 2006-11-22 株式会社東芝 Sramセルおよびそれを用いたメモリ集積回路
US6953956B2 (en) 2002-12-18 2005-10-11 Easic Corporation Semiconductor device having borderless logic array and flexible I/O
US7093228B2 (en) * 2002-12-20 2006-08-15 Lsi Logic Corporation Method and system for classifying an integrated circuit for optical proximity correction
EP1434264A3 (en) 2002-12-27 2017-01-18 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method using the transfer technique
JP4202120B2 (ja) 2002-12-27 2008-12-24 セイコーインスツル株式会社 集積回路の最適化設計装置
US6898770B2 (en) 2003-01-09 2005-05-24 Lsi Logic Corporation Split and merge design flow concept for fast turnaround time of circuit layout design
JP4136684B2 (ja) 2003-01-29 2008-08-20 Necエレクトロニクス株式会社 半導体装置及びそのダミーパターンの配置方法
US6996790B2 (en) 2003-01-30 2006-02-07 Synopsys, Inc. System and method for generating a two-dimensional yield map for a full layout
JP2004241529A (ja) 2003-02-05 2004-08-26 Matsushita Electric Ind Co Ltd 半導体回路装置及びその回路シミュレーション方法
US6884712B2 (en) 2003-02-07 2005-04-26 Chartered Semiconductor Manufacturing, Ltd. Method of manufacturing semiconductor local interconnect and contact
JP4274814B2 (ja) * 2003-02-20 2009-06-10 Necエレクトロニクス株式会社 半導体集積回路の設計方法、設計装置、セルライブラリのデータ構造および自動レイアウトプログラム
JP2004253730A (ja) 2003-02-21 2004-09-09 Renesas Technology Corp 半導体集積回路装置およびその製造方法
US6777146B1 (en) * 2003-02-21 2004-08-17 International Business Machines Corporation Method of optical proximity correction with sub-resolution assists
US7149999B2 (en) 2003-02-25 2006-12-12 The Regents Of The University Of California Method for correcting a mask design layout
CN100498532C (zh) 2003-02-27 2009-06-10 香港大学 将部件成像到晶片上的方法和用于成像晶芯的掩膜组
JP4531340B2 (ja) 2003-02-27 2010-08-25 ルネサスエレクトロニクス株式会社 マルチプレクサセルのレイアウト構造
JP4290457B2 (ja) 2003-03-31 2009-07-08 株式会社ルネサステクノロジ 半導体記憶装置
JP3920804B2 (ja) 2003-04-04 2007-05-30 松下電器産業株式会社 半導体記憶装置
US6931617B2 (en) * 2003-04-21 2005-08-16 Synopsys, Inc. Mask cost driven logic optimization and synthesis
US7065731B2 (en) 2003-05-07 2006-06-20 Cadence Design Systems, Inc. Removal of acute angles in a design layout
US7051306B2 (en) 2003-05-07 2006-05-23 Mosaid Technologies Corporation Managing power on integrated circuits using power islands
US7093208B2 (en) 2003-05-12 2006-08-15 International Business Machines Corporation Method for tuning a digital design for synthesized random logic circuit macros in a continuous design space with optional insertion of multiple threshold voltage devices
JP2004342757A (ja) 2003-05-14 2004-12-02 Toshiba Corp 半導体集積回路及びその設計方法
US7063920B2 (en) 2003-05-16 2006-06-20 Asml Holding, N.V. Method for the generation of variable pitch nested lines and/or contact holes using fixed size pixels for direct-write lithographic systems
JP4233381B2 (ja) 2003-05-21 2009-03-04 株式会社ルネサステクノロジ 半導体装置とその製造方法
US7770144B2 (en) * 2003-05-28 2010-08-03 Eric Dellinger Modular array defined by standard cell logic
US7107551B1 (en) 2003-05-30 2006-09-12 Prolific, Inc. Optimization of circuit designs using a continuous spectrum of library cells
US7183611B2 (en) 2003-06-03 2007-02-27 Micron Technology, Inc. SRAM constructions, and electronic systems comprising SRAM constructions
US7400627B2 (en) 2003-06-05 2008-07-15 Brooktree Broadband Holding, Inc. ATM header compression using hash tables
US6992916B2 (en) 2003-06-13 2006-01-31 Taiwan Semiconductor Manufacturing Co., Ltd. SRAM cell design with high resistor CMOS gate structure for soft error rate improvement
JP4245418B2 (ja) 2003-06-25 2009-03-25 富士通マイクロエレクトロニクス株式会社 斜め方向配線を有する半導体集積回路装置及びそのレイアウト方法
US20050009312A1 (en) 2003-06-26 2005-01-13 International Business Machines Corporation Gate length proximity corrected device
US6900999B1 (en) 2003-06-30 2005-05-31 Integrated Device Technology, Inc. Ternary content addressable memory (TCAM) cells with small footprint size and efficient layout aspect ratio
KR100577610B1 (ko) * 2003-07-15 2006-05-10 삼성전자주식회사 반도체 장치, 반도체 장치의 제조 방법 및 에스램 장치,에스램 장치 제조 방법.
US6993741B2 (en) 2003-07-15 2006-01-31 International Business Machines Corporation Generating mask patterns for alternating phase-shift mask lithography
EP1519421A1 (en) 2003-09-25 2005-03-30 Interuniversitair Microelektronica Centrum Vzw Multiple gate semiconductor device and method for forming same
US6921982B2 (en) 2003-07-21 2005-07-26 International Business Machines Corporation FET channel having a strained lattice structure along multiple surfaces
EP1503411A1 (fr) 2003-07-30 2005-02-02 St Microelectronics S.A. Lignes conductrices enterrées dans des zones d'isolement
JP4398195B2 (ja) 2003-08-08 2010-01-13 パナソニック株式会社 半導体記憶装置
US6924560B2 (en) 2003-08-08 2005-08-02 Taiwan Semiconductor Manufacturing Co., Ltd. Compact SRAM cell with FinFET
JP4620942B2 (ja) 2003-08-21 2011-01-26 川崎マイクロエレクトロニクス株式会社 半導体集積回路のレイアウト方法、そのレイアウト構造、およびフォトマスク
TWI220268B (en) 2003-09-17 2004-08-11 Faraday Tech Corp Method for programming a routing layout design through one via layer
US7345909B2 (en) 2003-09-24 2008-03-18 Yen-Jen Chang Low-power SRAM memory cell
US6957402B2 (en) 2003-09-24 2005-10-18 Artisan Components, Inc. Yield maximization in the manufacture of integrated circuits
KR100516226B1 (ko) * 2003-09-25 2005-09-23 동부아남반도체 주식회사 에스램 테스트용 셀 및 에스램 셀 테스트 방법
JP2005114752A (ja) 2003-10-02 2005-04-28 Yamaha Corp 演奏装置
JP4599048B2 (ja) 2003-10-02 2010-12-15 川崎マイクロエレクトロニクス株式会社 半導体集積回路のレイアウト構造、半導体集積回路のレイアウト方法、およびフォトマスク
JP4632287B2 (ja) 2003-10-06 2011-02-16 株式会社日立製作所 半導体集積回路装置
US7155689B2 (en) 2003-10-07 2006-12-26 Magma Design Automation, Inc. Design-manufacturing interface via a unified model
FR2860920A1 (fr) 2003-10-14 2005-04-15 St Microelectronics Sa Procede de realisation de connexions conductrices de circuits integres, et circuit integre mettant en oeuvre des telles connexions
JP2005123524A (ja) 2003-10-20 2005-05-12 Toshiba Corp 半導体装置及びその製造方法
US6867073B1 (en) 2003-10-21 2005-03-15 Ziptronix, Inc. Single mask via method and device
JP4346410B2 (ja) 2003-10-28 2009-10-21 東芝メモリシステムズ株式会社 半導体集積回路の配線設計方法及び半導体集積回路
US7329953B2 (en) 2003-10-29 2008-02-12 Taiwan Semiconductor Manufacturing Co., Ltd. Structure for reducing leakage currents and high contact resistance for embedded memory and method for making same
JP2005149265A (ja) 2003-11-18 2005-06-09 Olympus Corp 演算処理システム及び演算処理装置
US7269803B2 (en) 2003-12-18 2007-09-11 Lsi Corporation System and method for mapping logical components to physical locations in an integrated circuit design environment
US7052972B2 (en) 2003-12-19 2006-05-30 Micron Technology, Inc. Method for forming sublithographic features during the manufacture of a semiconductor device and a resulting in-process apparatus
JP4585197B2 (ja) 2003-12-22 2010-11-24 ルネサスエレクトロニクス株式会社 レイアウト設計方法およびフォトマスク
KR100702552B1 (ko) * 2003-12-22 2007-04-04 인터내셔널 비지네스 머신즈 코포레이션 이중 게이트 FinFET 디자인을 위한 자동화 레이어생성 방법 및 장치
JP2005197345A (ja) 2004-01-05 2005-07-21 Hitachi Ltd 半導体装置
JP2005203447A (ja) 2004-01-13 2005-07-28 Toshiba Corp 半導体集積回路、半導体集積回路設計システム及び半導体集積回路設計方法
US7064068B2 (en) * 2004-01-23 2006-06-20 Taiwan Semiconductor Manufacturing Company, Ltd. Method to improve planarity of electroplated copper
JP2005229061A (ja) * 2004-02-16 2005-08-25 Matsushita Electric Ind Co Ltd スタンダードセル、セル列および複合セル列
KR100564612B1 (ko) 2004-02-19 2006-03-28 삼성전자주식회사 하드 디스크 드라이브
US7523429B2 (en) 2004-02-20 2009-04-21 Takumi Technology Corporation System for designing integrated circuits with enhanced manufacturability
WO2005081066A1 (en) 2004-02-24 2005-09-01 The University Of Hong Kong Rectangular contact lithography for circuit performance improvement
US7335966B2 (en) 2004-02-26 2008-02-26 Triad Semiconductor, Inc. Configurable integrated circuit capacitor array using via mask layers
US7084476B2 (en) 2004-02-26 2006-08-01 International Business Machines Corp. Integrated circuit logic with self compensating block delays
JP2005243928A (ja) 2004-02-26 2005-09-08 Fujitsu Ltd トレンチアイソレーションで分離されたトランジスタ対を有する半導体装置
US7353492B2 (en) 2004-02-26 2008-04-01 International Business Machines Corporation Method of IC fabrication, IC mask fabrication and program product therefor
US7115343B2 (en) 2004-03-10 2006-10-03 International Business Machines Corporation Pliant SRAF for improved performance and manufacturability
US7423298B2 (en) 2004-03-17 2008-09-09 Sharp Kabushiki Kaisha Bidirectional photothyristor chip, optical lighting coupler, and solid state relay
JP2005268610A (ja) 2004-03-19 2005-09-29 Matsushita Electric Ind Co Ltd スタンダードセルの設計方法及び半導体集積回路
DE102004014472B4 (de) * 2004-03-24 2012-05-03 Infineon Technologies Ag Anwendungsspezifischer integrierter Halbleiter-Schaltkreis
US7126837B1 (en) 2004-03-26 2006-10-24 Netlogic Microsystems, Inc. Interlocking memory/logic cell layout and method of manufacture
EP1730777B1 (en) 2004-04-01 2007-09-19 Soisic Improved layout of a sram memory cell
US7449371B2 (en) 2004-04-02 2008-11-11 Triad Semiconductor VIA configurable architecture for customization of analog circuitry in a semiconductor device
WO2005098686A2 (en) 2004-04-02 2005-10-20 Clear Shape Technologies, Inc. Modeling resolution enhancement processes in integrated circuit fabrication
US7404173B2 (en) 2004-04-07 2008-07-22 Aprio Technologies, Inc. Intermediate layout for resolution enhancement in semiconductor fabrication
US20050229130A1 (en) * 2004-04-07 2005-10-13 Aprio Technologies, Inc. Method and apparatus for selective, incremental, reconfigurable and reusable semiconductor manufacturing resolution-enhancements
US7115920B2 (en) 2004-04-12 2006-10-03 International Business Machines Corporation FinFET transistor and circuit
JP2007534258A (ja) 2004-04-20 2007-11-22 コーニンクレッカ フィリップス エレクトロニクス エヌ ヴィ 低スキューの対称差動出力信号を有するレールツーレール同相モード動作を有する高速差動レシーバ
EP1747520B1 (en) 2004-05-07 2018-10-24 Mentor Graphics Corporation Integrated circuit layout design methodology with process variation bands
US7194712B2 (en) 2004-05-12 2007-03-20 Synopsys, Inc. Method and apparatus for identifying line-end features for lithography verification
US7053668B2 (en) 2004-05-25 2006-05-30 Kabushiki Kaisha Toshiba SOI sense amplifier with cross-coupled body terminal
US7426710B2 (en) 2004-05-27 2008-09-16 Verisilicon Holdings, Co. Ltd. Standard cell library having cell drive strengths selected according to delay
US6975133B1 (en) 2004-05-27 2005-12-13 International Business Machines Corporation Logic circuits having linear and cellular gate transistors
US7257017B2 (en) 2004-05-28 2007-08-14 Taiwan Semiconductor Manufacturing Company, Ltd. SRAM cell for soft-error rate reduction and cell stability improvement
KR100591158B1 (ko) 2004-06-01 2006-06-19 동부일렉트로닉스 주식회사 반도체 소자의 게이트 전극의 제조 방법
US20070257277A1 (en) 2004-06-04 2007-11-08 Nec Corporation Semiconductor Device and Method for Manufacturing the Same
JP4834853B2 (ja) 2004-06-10 2011-12-14 シャープ株式会社 薄膜トランジスタ回路、薄膜トランジスタ回路の設計方法、薄膜トランジスタ回路の設計プログラム、設計プログラム記録媒体、及び表示装置
JP4248451B2 (ja) 2004-06-11 2009-04-02 パナソニック株式会社 半導体装置およびそのレイアウト設計方法
JP4778689B2 (ja) 2004-06-16 2011-09-21 パナソニック株式会社 標準セル、標準セルライブラリおよび半導体集積回路
US7327591B2 (en) 2004-06-17 2008-02-05 Texas Instruments Incorporated Staggered memory cell array
US7003068B2 (en) 2004-06-21 2006-02-21 Kenet, Inc. Device for subtracting or adding a constant amount of charge in a charge-coupled device at high operating frequencies
JP4405865B2 (ja) 2004-06-24 2010-01-27 富士通マイクロエレクトロニクス株式会社 多層配線構造の製造方法及びfib装置
JP4175649B2 (ja) 2004-07-22 2008-11-05 松下電器産業株式会社 半導体装置
AU2005269568A1 (en) 2004-07-27 2006-02-09 Easic Corporation Structured integrated circuit device
US7176508B2 (en) 2004-07-27 2007-02-13 International Business Machines Corporation Temperature sensor for high power very large scale integration circuits
JP2006049780A (ja) 2004-08-09 2006-02-16 Elpida Memory Inc 半導体集積回路装置
US7093213B2 (en) 2004-08-13 2006-08-15 International Business Machines Corporation Method for designing an integrated circuit defect monitor
US7365432B2 (en) 2004-08-23 2008-04-29 Taiwan Semiconductor Manufacturing Company, Ltd. Memory cell structure
JP2006073696A (ja) 2004-09-01 2006-03-16 Matsushita Electric Ind Co Ltd スタンダードセルを用いた半導体集積回路とその設計方法
US7632610B2 (en) 2004-09-02 2009-12-15 Intel Corporation Sub-resolution assist features
US7227183B2 (en) 2004-09-17 2007-06-05 International Business Machines Corporation Polysilicon conductor width measurement for 3-dimensional FETs
US20060063334A1 (en) 2004-09-17 2006-03-23 International Business Machines Corporation Fin FET diode structures and methods for building
US7185294B2 (en) 2004-09-23 2007-02-27 Verisilicon Holdings, Co Ltd Standard cell library having globally scalable transistor channel length
DE102004047263B4 (de) 2004-09-24 2010-04-22 Qimonda Ag Verfahren zum Erzeugen eines Abbildungsfehler vermeidenden Maskenlayouts für eine Maske
US7337421B2 (en) 2004-09-30 2008-02-26 Cadence Design Systems, Inc. Method and system for managing design corrections for optical and process effects based on feature tolerances
US7466607B2 (en) 2004-09-30 2008-12-16 Analog Devices, Inc. Memory access system and method using de-coupled read and write circuits
JP2006100718A (ja) 2004-09-30 2006-04-13 Matsushita Electric Ind Co Ltd 半導体集積回路装置の動作解析方法、これに用いられる解析装置およびこれを用いた最適化設計方法
JP2006114668A (ja) 2004-10-14 2006-04-27 Sony Corp 半導体集積回路およびその製造方法
US7487475B1 (en) 2004-10-15 2009-02-03 Cadence Design Systems, Inc. Systems, methods, and apparatus to perform statistical static timing analysis
JP2006119195A (ja) 2004-10-19 2006-05-11 Nec Electronics Corp 配線のレイアウト方法
US7302651B2 (en) 2004-10-29 2007-11-27 International Business Machines Corporation Technology migration for integrated circuits with radical design restrictions
US7458045B2 (en) 2004-10-29 2008-11-25 Synopsys, Inc. Silicon tolerance specification using shapes as design intent markers
US7278118B2 (en) 2004-11-04 2007-10-02 Pdf Solutions, Inc. Method and process for design of integrated circuits using regular geometry patterns to obtain geometrically consistent component features
KR100587692B1 (ko) 2004-11-05 2006-06-08 삼성전자주식회사 반도체 메모리 장치에서의 회로 배선 배치구조와 그에따른 배치방법
US7350183B2 (en) 2004-11-05 2008-03-25 International Business Machines Corporation Method for improving optical proximity correction
JP2006156778A (ja) 2004-11-30 2006-06-15 Matsushita Electric Ind Co Ltd 半導体装置及びそのレイアウト設計方法
US7424696B2 (en) 2004-12-03 2008-09-09 Lsi Corporation Power mesh for multiple frequency operation of semiconductor products
US7465973B2 (en) * 2004-12-03 2008-12-16 International Business Machines Corporation Integrated circuit having gates and active regions forming a regular grating
JP2006165365A (ja) 2004-12-09 2006-06-22 Renesas Technology Corp 半導体装置および半導体装置の製造方法
US7345330B2 (en) 2004-12-09 2008-03-18 Omnivision Technologies, Inc. Local interconnect structure and method for a CMOS image sensor
JP4357409B2 (ja) * 2004-12-17 2009-11-04 株式会社東芝 半導体集積回路装置及びその設計方法
US7396732B2 (en) 2004-12-17 2008-07-08 Interuniversitair Microelektronica Centrum Vzw (Imec) Formation of deep trench airgaps and related applications
JP2007043049A (ja) 2004-12-20 2007-02-15 Matsushita Electric Ind Co Ltd セル、スタンダードセル、スタンダードセル配置方法、スタンダードセルライブラリ、ならびに半導体集積回路
FR2879816B1 (fr) 2004-12-20 2007-06-08 Atmel Nantes Sa Sa Circuit electronique comprenant au moins une premiere et une seconde paires differentielles dont les transistors partagent un meme caisson
EP1833091A4 (en) 2004-12-28 2008-08-13 Spansion Llc SEMICONDUCTOR DEVICE AND METHOD OF OPERATING CONTROL
US7106620B2 (en) 2004-12-30 2006-09-12 International Business Machines Corporation Memory cell having improved read stability
US7509621B2 (en) 2005-01-03 2009-03-24 Synopsys, Inc. Method and apparatus for placing assist features by identifying locations of constructive and destructive interference
US7366997B1 (en) 2005-01-11 2008-04-29 Synplicity, Inc. Methods and apparatuses for thermal analysis based circuit design
JP2006196627A (ja) 2005-01-12 2006-07-27 Nec Electronics Corp 半導体装置、及び半導体装置の設計プログラム
DE102005002533B4 (de) 2005-01-14 2007-09-13 Infineon Technologies Ag Verfahren zum Erzeugen eines Abbildungsfehler vermeidenden Maskenlayouts für eine Maske
JP4455356B2 (ja) 2005-01-28 2010-04-21 Necエレクトロニクス株式会社 半導体装置
KR20060092408A (ko) * 2005-02-17 2006-08-23 삼성전자주식회사 고성능 배타적 오아 및 배타적 노아 회로 및 방법
JP4602112B2 (ja) 2005-02-17 2010-12-22 株式会社東芝 半導体集積回路の製造方法及び半導体集積回路
JP5018475B2 (ja) 2005-02-23 2012-09-05 富士通セミコンダクター株式会社 半導体回路装置及びその半導体回路装置の製造方法
US7266787B2 (en) 2005-02-24 2007-09-04 Icera, Inc. Method for optimising transistor performance in integrated circuits
US7200835B2 (en) 2005-02-24 2007-04-03 Texas Instruments Incorporated Method of locating sub-resolution assist feature(s)
US7287237B2 (en) 2005-02-24 2007-10-23 Icera Inc. Aligned logic cell grid and interconnect routing architecture
US7721246B2 (en) 2005-02-24 2010-05-18 Synopsys, Inc. Method and apparatus for quickly determining the effect of placing an assist feature at a location in a layout
US7421678B2 (en) 2005-02-24 2008-09-02 Synopsys, Inc. Assist feature placement using a process-sensitivity model
US7188322B2 (en) 2005-02-25 2007-03-06 International Business Machines Corporation Circuit layout methodology using a shape processing application
TWI281317B (en) 2005-03-07 2007-05-11 Sunplus Technology Co Ltd Self DC-bias high frequency logic gate, NAND gate, and NOR gate using the same
JP4309360B2 (ja) * 2005-03-10 2009-08-05 エルピーダメモリ株式会社 回路セル及び半導体装置
US7992122B1 (en) 2005-03-25 2011-08-02 Gg Technology, Inc. Method of placing and routing for power optimization and timing closure
US7563701B2 (en) * 2005-03-31 2009-07-21 Intel Corporation Self-aligned contacts for transistors
US7882456B2 (en) 2005-04-09 2011-02-01 Cadence Design Systems, Inc. Optical lithography correction process
CN1908812B (zh) 2005-04-12 2012-02-22 Asml蒙片工具有限公司 执行双重曝光光刻的方法、程序产品和设备
JP4634849B2 (ja) 2005-04-12 2011-02-16 株式会社東芝 集積回路のパターンレイアウト、フォトマスク、半導体装置の製造方法、及びデータ作成方法
JP4921723B2 (ja) 2005-04-18 2012-04-25 株式会社東芝 半導体装置の製造方法
TWI297101B (en) 2005-04-20 2008-05-21 Nanya Technology Corp Phase shifting mask for equal line/space dense line patterns
CN101213489B (zh) * 2005-04-26 2015-05-13 瑞萨电子株式会社 半导体装置及其制造方法和半导体制造用掩模、光接近处理方法
US7480891B2 (en) * 2005-04-29 2009-01-20 Cadence Design Systems, Inc. Method and apparatus of model-based photomask synthesis
US7506300B2 (en) 2005-04-29 2009-03-17 Cadence Design Systems, Inc. Apparatus and method for breaking up and merging polygons
US7441211B1 (en) 2005-05-06 2008-10-21 Blaze Dfm, Inc. Gate-length biasing for digital circuit optimization
US8044437B1 (en) 2005-05-16 2011-10-25 Lsi Logic Corporation Integrated circuit cell architecture configurable for memory or logic elements
JP4936418B2 (ja) 2005-05-17 2012-05-23 ルネサスエレクトロニクス株式会社 半導体装置とその製造方法、及び半導体装置の設計プログラム
US7308669B2 (en) 2005-05-18 2007-12-11 International Business Machines Corporation Use of redundant routes to increase the yield and reliability of a VLSI layout
JP4912016B2 (ja) 2005-05-23 2012-04-04 ルネサスエレクトロニクス株式会社 半導体記憶装置
JP2006332348A (ja) * 2005-05-26 2006-12-07 Matsushita Electric Ind Co Ltd 半導体集積回路の設計方法およびライブラリの設計方法
WO2006126125A1 (en) 2005-05-26 2006-11-30 Nxp B.V. Electronic device
US7411252B2 (en) 2005-06-21 2008-08-12 International Business Machines Corporation Substrate backgate for trigate FET
US7960791B2 (en) 2005-06-24 2011-06-14 International Business Machines Corporation Dense pitch bulk FinFET process by selective EPI and etch
US7492013B2 (en) 2005-06-27 2009-02-17 International Business Machines Corporation Systems and arrangements to interconnect components of a semiconductor device
US8405216B2 (en) * 2005-06-29 2013-03-26 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure for integrated circuits
WO2007002799A1 (en) * 2005-06-29 2007-01-04 Lightspeed Logic, Inc. Methods and systems for placement
US7236396B2 (en) * 2005-06-30 2007-06-26 Texas Instruments Incorporated Area efficient implementation of small blocks in an SRAM array
JP2007012855A (ja) 2005-06-30 2007-01-18 Matsushita Electric Ind Co Ltd 半導体集積回路、標準セル、標準セルライブラリ、半導体集積回路の設計方法および半導体集積回路の設計装置
JP2007013060A (ja) 2005-07-04 2007-01-18 Matsushita Electric Ind Co Ltd 半導体装置
JP2007018588A (ja) * 2005-07-06 2007-01-25 Toshiba Corp 半導体記憶装置および半導体記憶装置の駆動方法
US7235424B2 (en) 2005-07-14 2007-06-26 Taiwan Semiconductor Manufacturing Co., Ltd. Method and apparatus for enhanced CMP planarization using surrounded dummy design
JP2007027643A (ja) * 2005-07-21 2007-02-01 Matsushita Electric Ind Co Ltd 半導体回路装置及びそのシミュレーション方法
EP1917715A2 (en) 2005-07-22 2008-05-07 Nanopower Technologies, Inc. High sensitivity rfid tag integrated circuits
CN101228478B (zh) 2005-07-22 2012-08-08 富士通半导体股份有限公司 光掩模图形数据生成方法、用此数据生成的光掩模及用此掩模的半导体器件制造方法
US7404154B1 (en) 2005-07-25 2008-07-22 Lsi Corporation Basic cell architecture for structured application-specific integrated circuits
US7934172B2 (en) 2005-08-08 2011-04-26 Micronic Laser Systems Ab SLM lithography: printing to below K1=.30 without previous OPC processing
US7568174B2 (en) 2005-08-19 2009-07-28 Cadence Design Systems, Inc. Method for checking printability of a lithography target
JP2007073885A (ja) * 2005-09-09 2007-03-22 Renesas Technology Corp 半導体集積回路
JP2007093861A (ja) 2005-09-28 2007-04-12 Renesas Technology Corp マスクパターン設計方法および半導体装置の製造方法
US7749662B2 (en) 2005-10-07 2010-07-06 Globalfoundries Inc. Process margin using discrete assist features
US7485934B2 (en) 2005-10-25 2009-02-03 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated semiconductor structure for SRAM cells
US7397260B2 (en) 2005-11-04 2008-07-08 International Business Machines Corporation Structure and method for monitoring stress-induced degradation of conductive interconnects
US20070106971A1 (en) 2005-11-04 2007-05-10 Lizotech, Inc. Apparatus for a routing system
US7569309B2 (en) 2005-11-09 2009-08-04 Texas Instruments Incorporated Gate critical dimension variation by use of ghost features
US7527900B2 (en) 2005-11-10 2009-05-05 United Microelectronics Corp. Reticle and optical proximity correction method
US7543262B2 (en) 2005-12-06 2009-06-02 Cadence Design Systems, Inc. Analog layout module generator and method
US7569310B2 (en) 2005-12-07 2009-08-04 Intel Corporation Sub-resolution assist features for photolithography with trim ends
US7512017B2 (en) 2005-12-21 2009-03-31 Intel Corporation Integration of planar and tri-gate devices on the same substrate
JP4774294B2 (ja) 2005-12-26 2011-09-14 富士通株式会社 集積回路レイアウト装置、その方法及びプログラム
EP1804282A1 (en) 2005-12-29 2007-07-04 Interuniversitair Microelektronica Centrum vzw ( IMEC) Methods for manufacturing dense integrated circuits
US7640522B2 (en) 2006-01-14 2009-12-29 Tela Innovations, Inc. Method and system for placing layout objects in a standard-cell layout
US7614030B2 (en) 2006-01-17 2009-11-03 Taiwan Semiconductor Manufacturing Co., Ltd. Scattering bar OPC application method for mask ESD prevention
JP4347304B2 (ja) * 2006-01-24 2009-10-21 Sriスポーツ株式会社 ゴルフボール
JP4675249B2 (ja) 2006-02-07 2011-04-20 パナソニック株式会社 位置依存変動量計算方法並びに回路解析方法
US7480880B2 (en) 2006-02-21 2009-01-20 International Business Machines Corporation Method, system, and program product for computing a yield gradient from statistical timing
US7469401B2 (en) 2006-02-22 2008-12-23 International Business Machines Corporation Method for using partitioned masks to build a chip
US8225261B2 (en) * 2006-03-09 2012-07-17 Tela Innovations, Inc. Methods for defining contact grid in dynamic array architecture
US8839175B2 (en) 2006-03-09 2014-09-16 Tela Innovations, Inc. Scalable meta-data objects
US8245180B2 (en) 2006-03-09 2012-08-14 Tela Innovations, Inc. Methods for defining and using co-optimized nanopatterns for integrated circuit design and apparatus implementing same
US8225239B2 (en) 2006-03-09 2012-07-17 Tela Innovations, Inc. Methods for defining and utilizing sub-resolution features in linear topology
US9009641B2 (en) 2006-03-09 2015-04-14 Tela Innovations, Inc. Circuits with linear finfet structures
US7943967B2 (en) * 2006-03-09 2011-05-17 Tela Innovations, Inc. Semiconductor device and associated layouts including diffusion contact placement restriction based on relation to linear conductive segments
US7908578B2 (en) 2007-08-02 2011-03-15 Tela Innovations, Inc. Methods for designing semiconductor device with dynamic array section
US7932545B2 (en) * 2006-03-09 2011-04-26 Tela Innovations, Inc. Semiconductor device and associated layouts including gate electrode level region having arrangement of six linear conductive segments with side-to-side spacing less than 360 nanometers
US7956421B2 (en) 2008-03-13 2011-06-07 Tela Innovations, Inc. Cross-coupled transistor layouts in restricted gate level layout architecture
US7763534B2 (en) 2007-10-26 2010-07-27 Tela Innovations, Inc. Methods, structures and designs for self-aligning local interconnects used in integrated circuits
US8247846B2 (en) 2006-03-09 2012-08-21 Tela Innovations, Inc. Oversized contacts and vias in semiconductor chip defined by linearly constrained topology
US7446352B2 (en) 2006-03-09 2008-11-04 Tela Innovations, Inc. Dynamic array architecture
US8658542B2 (en) 2006-03-09 2014-02-25 Tela Innovations, Inc. Coarse grid design methods and structures
US9563733B2 (en) 2009-05-06 2017-02-07 Tela Innovations, Inc. Cell circuit and layout with linear finfet structures
JP2007265179A (ja) 2006-03-29 2007-10-11 Fujitsu Ltd レイアウト検証方法、レイアウト検証装置
JP4882455B2 (ja) 2006-03-31 2012-02-22 富士通セミコンダクター株式会社 半導体集積回路のユニットセルおよびユニットセルを使用した配線方法および配線プログラム
US7437691B2 (en) 2006-04-11 2008-10-14 International Business Machines Corporation VLSI artwork legalization for hierarchical designs with multiple grid constraints
US7484197B2 (en) 2006-04-14 2009-01-27 International Business Machines Corporation Minimum layout perturbation-based artwork legalization with grid constraints for hierarchical designs
US7509622B2 (en) 2006-04-17 2009-03-24 Synopsys, Inc. Dummy filling technique for improved planarization of chip surface topography
JP5579959B2 (ja) * 2006-04-18 2014-08-27 ピーエスフォー ルクスコ エスエイアールエル 半導体装置
US7407890B2 (en) 2006-04-21 2008-08-05 International Business Machines Corporation Patterning sub-lithographic features with variable widths
US7355906B2 (en) 2006-05-24 2008-04-08 International Business Machines Corporation SRAM cell design to improve stability
US7941776B2 (en) 2006-05-26 2011-05-10 Open-Silicon Inc. Method of IC design optimization via creation of design-specific cells from post-layout patterns
WO2007149004A1 (en) 2006-06-13 2007-12-27 Freescale Semiconductor, Inc. Methods and apparatus for simulating distributed effects
US7317339B1 (en) 2006-06-16 2008-01-08 Via Technologies, Inc. N-domino register with accelerated non-discharge path
US7459792B2 (en) 2006-06-19 2008-12-02 Taiwan Semiconductor Manufacturing Co., Ltd. Via layout with via groups placed in interlocked arrangement
US7992117B2 (en) 2006-06-20 2011-08-02 Adtran, Inc. System and method for designing a common centroid layout for an integrated circuit
JP2008004796A (ja) 2006-06-23 2008-01-10 Matsushita Electric Ind Co Ltd 半導体装置および回路素子レイアウト方法
US7444609B2 (en) 2006-06-29 2008-10-28 International Business Machines Corporation Method of optimizing customizable filler cells in an integrated circuit physical design process
US7763932B2 (en) 2006-06-29 2010-07-27 International Business Machines Corporation Multi-bit high-density memory device and architecture and method of fabricating multi-bit high-density memory devices
US7739627B2 (en) 2006-07-05 2010-06-15 Chew Marko P System and method of maximizing integrated circuit manufacturing yield with context-dependent yield cells
JP2008027940A (ja) 2006-07-18 2008-02-07 Matsushita Electric Ind Co Ltd 半導体集積回路の設計方法および回路シミュレーション方法
DE102006037162B4 (de) 2006-08-01 2008-08-21 Qimonda Ag Verfahren und Vorrichtung und deren Verwendung zur Prüfung des Layouts einer elektronischen Schaltung
JP5080578B2 (ja) 2006-08-04 2012-11-21 サガンテック イスラエル リミテッド 回路レイアウトを所定のグリッドに適応させるための方法及びシステム
US7966579B2 (en) 2006-08-04 2011-06-21 Infineon Technologies Ag Methods of optical proximity correction
US7873929B2 (en) * 2006-08-14 2011-01-18 The Regents Of The University Of California Method, apparatus and system for designing an integrated circuit including generating at least one auxiliary pattern for cell-based optical proximity correction
US7886262B2 (en) 2006-08-15 2011-02-08 Chew Marko P System and method of maximizing integrated circuit manufacturing yield with fabrication process simulation driven layout optimization
TW200811704A (en) 2006-08-31 2008-03-01 Univ Nat Yunlin Sci & Tech Full adder of complementary type carry logic voltage compensator
US7434185B2 (en) 2006-09-27 2008-10-07 International Business Machines Corporation Method and apparatus for parallel data preparation and processing of integrated circuit graphical design data
JP4362785B2 (ja) 2006-09-28 2009-11-11 エルピーダメモリ株式会社 半導体装置の製造方法
US20080082952A1 (en) 2006-09-29 2008-04-03 Texas Instruments Incorporated Method of inclusion of sub-resolution assist feature(s)
JP4814044B2 (ja) 2006-10-05 2011-11-09 ルネサスエレクトロニクス株式会社 パターン設計方法
JP2008103610A (ja) 2006-10-20 2008-05-01 Matsushita Electric Ind Co Ltd 半導体集積回路の配線構造およびその設計方法と設計装置
US8230379B2 (en) 2006-10-20 2012-07-24 Kabushiki Kaisha Toshiba Layout generating method for semiconductor integrated circuits
US7624369B2 (en) 2006-10-31 2009-11-24 International Business Machines Corporation Closed-loop design for manufacturability process
US7802219B2 (en) 2006-11-30 2010-09-21 Cadence Design Systems, Inc. Flat placement of cells on non-integer multiple height rows in a digital integrated circuit layout
US7774739B2 (en) 2006-11-30 2010-08-10 Texas Instruments Incorporated Methods for adjusting shifter width of an alternating phase shifter having variable width
US8378407B2 (en) 2006-12-07 2013-02-19 Tower Semiconductor, Ltd. Floating gate inverter type memory cell and array
US8156450B2 (en) 2006-12-18 2012-04-10 Cadence Design Systems, Inc. Method and system for mask optimization
US7814447B2 (en) 2006-12-29 2010-10-12 Cadence Design Systems, Inc. Supplant design rules in electronic designs
US8178905B2 (en) 2007-01-12 2012-05-15 Panasonic Corporation Layout structure of semiconductor device
JP5217180B2 (ja) 2007-02-20 2013-06-19 富士通セミコンダクター株式会社 静電放電保護装置の製造方法
US8667443B2 (en) 2007-03-05 2014-03-04 Tela Innovations, Inc. Integrated circuit cell library for multiple patterning
US20080216207A1 (en) 2007-03-09 2008-09-11 Shen-Hai Tsai Finger pressing massage glove
KR100911187B1 (ko) * 2007-03-13 2009-08-06 주식회사 하이닉스반도체 래치 구조 및 그것을 포함하는 비트라인 센스앰프 구조
US7575973B2 (en) 2007-03-27 2009-08-18 Sandisk 3D Llc Method of making three dimensional NAND memory
US7543252B2 (en) 2007-03-28 2009-06-02 International Business Machines Corporation Migration of integrated circuit layout for alternating phase shift masks
US7791109B2 (en) 2007-03-29 2010-09-07 International Business Machines Corporation Metal silicide alloy local interconnect
US7757196B2 (en) 2007-04-04 2010-07-13 Cisco Technology, Inc. Optimizing application specific integrated circuit pinouts for high density interconnect printed circuit boards
US7723786B2 (en) 2007-04-11 2010-05-25 Ronald Kakoschke Apparatus of memory array using FinFETs
US7964267B1 (en) 2007-04-13 2011-06-21 Bae Systems Tensylon H.P.M., Inc. Ballistic-resistant panel including high modulus ultra high molecular weight polyethylene tape
US7453125B1 (en) 2007-04-24 2008-11-18 Infineon Technologies Ag Double mesh finfet
JP4461154B2 (ja) 2007-05-15 2010-05-12 株式会社東芝 半導体装置
US20080283910A1 (en) 2007-05-15 2008-11-20 Qimonda Ag Integrated circuit and method of forming an integrated circuit
US7911830B2 (en) 2007-05-17 2011-03-22 Integrated Magnetoelectronics Scalable nonvolatile memory
JP4445521B2 (ja) 2007-06-15 2010-04-07 株式会社東芝 半導体装置
US7898040B2 (en) 2007-06-18 2011-03-01 Infineon Technologies Ag Dual gate FinFET
US7923337B2 (en) 2007-06-20 2011-04-12 International Business Machines Corporation Fin field effect transistor devices with self-aligned source and drain regions
US7759194B2 (en) 2008-07-25 2010-07-20 Semiconductor Manufacturing International (Shanghai) Corporation Electrically programmable device with embedded EEPROM and method for making thereof
JP2009025914A (ja) 2007-07-17 2009-02-05 Nec Electronics Corp 半導体集積回路の設計方法及び設計プログラム
US7625790B2 (en) 2007-07-26 2009-12-01 International Business Machines Corporation FinFET with sublithographic fin width
US7700466B2 (en) 2007-07-26 2010-04-20 International Business Machines Corporation Tunneling effect transistor with self-aligned gate
US20090057780A1 (en) 2007-08-27 2009-03-05 International Business Machines Corporation Finfet structure including multiple semiconductor fin channel heights
US8156451B2 (en) 2007-09-14 2012-04-10 Renesas Electronics Corporation Method of manufacturing photomask
KR100905157B1 (ko) 2007-09-18 2009-06-29 주식회사 하이닉스반도체 반도체 소자의 미세 패턴 형성 방법
JP2009088085A (ja) 2007-09-28 2009-04-23 Tokyo Electron Ltd 半導体装置の製造方法、半導体装置の製造装置、制御プログラム及びプログラム記憶媒体
US20090101940A1 (en) 2007-10-19 2009-04-23 Barrows Corey K Dual gate fet structures for flexible gate array design methodologies
US8042070B2 (en) 2007-10-23 2011-10-18 International Business Machines Corporation Methods and system for analysis and management of parametric yield
JP2009130238A (ja) 2007-11-27 2009-06-11 Fujitsu Microelectronics Ltd 半導体装置
JP5193582B2 (ja) 2007-12-12 2013-05-08 株式会社東芝 半導体装置の製造方法
WO2009078069A1 (ja) 2007-12-14 2009-06-25 Fujitsu Limited 半導体装置
US7825437B2 (en) 2007-12-28 2010-11-02 Intel Corporation Unity beta ratio tri-gate transistor static random access memory (SRAM)
PL2235453T3 (pl) * 2007-12-31 2017-02-28 Arçelik Anonim Sirketi Urządzenie chłodzące
US7816740B2 (en) 2008-01-04 2010-10-19 Texas Instruments Incorporated Memory cell layout structure with outer bitline
US7934173B2 (en) 2008-01-14 2011-04-26 Taiwan Semiconductor Manufacturing Company, Ltd. Reverse dummy insertion algorithm
US7926001B2 (en) 2008-01-16 2011-04-12 Cadence Design Systems, Inc. Uniformity for semiconductor patterning operations
US7984395B2 (en) 2008-01-17 2011-07-19 Synopsys, Inc. Hierarchical compression for metal one logic layer
US8453094B2 (en) 2008-01-31 2013-05-28 Tela Innovations, Inc. Enforcement of semiconductor structure regularity for localized transistors and interconnect
US8866254B2 (en) 2008-02-19 2014-10-21 Micron Technology, Inc. Devices including fin transistors robust to gate shorts and methods of making the same
US8423947B2 (en) 2008-03-13 2013-04-16 International Business Machines Corporation Gridded glyph geometric objects (L3GO) design method
US7939443B2 (en) 2008-03-27 2011-05-10 Tela Innovations, Inc. Methods for multi-wire routing and apparatus implementing same
US8173544B2 (en) 2008-05-02 2012-05-08 Texas Instruments Incorporated Integrated circuit having interleaved gridded features, mask set and method for printing
US7958465B2 (en) 2008-05-08 2011-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. Dummy pattern design for reducing device performance drift
EP2117045A1 (en) 2008-05-09 2009-11-11 Imec Design Methodology for MuGFET ESD Protection Devices
US7917877B2 (en) 2008-05-09 2011-03-29 Cadence Design Systems, Inc. System and method for circuit schematic generation
US7853915B2 (en) 2008-06-24 2010-12-14 Synopsys, Inc. Interconnect-driven physical synthesis using persistent virtual routing
US8516819B2 (en) * 2008-07-16 2013-08-27 Siemens Energy, Inc. Forward-section resonator for high frequency dynamic damping
KR101749351B1 (ko) 2008-07-16 2017-06-20 텔라 이노베이션스, 인코포레이티드 동적 어레이 아키텍쳐에서의 셀 페이징과 배치를 위한 방법 및 그 구현
US8136072B2 (en) 2008-11-03 2012-03-13 Arm Limited Standard cell placement
US8363455B2 (en) 2008-12-04 2013-01-29 David Rennie Eight transistor soft error robust storage cell
US8116121B2 (en) 2009-03-06 2012-02-14 Kabushiki Kaisha Toshiba Semiconductor device and manufacturing methods with using non-planar type of transistors
WO2010099673A1 (en) 2009-03-06 2010-09-10 Kaixin Inc. Leadless integrated circuit package having high density contacts
US8184472B2 (en) 2009-03-13 2012-05-22 International Business Machines Corporation Split-gate DRAM with lateral control-gate MuGFET
US8004042B2 (en) 2009-03-20 2011-08-23 Taiwan Semiconductor Manufacturing Company, Ltd. Static random access memory (SRAM) cell and method for forming same
US8053299B2 (en) 2009-04-17 2011-11-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabrication of a FinFET element
US8076236B2 (en) 2009-06-01 2011-12-13 Globalfoundries Inc. SRAM bit cell with self-aligned bidirectional local interconnects
US8294212B2 (en) 2009-09-18 2012-10-23 Taiwan Semiconductor Manufacturing Company, Ltd. Methods and apparatus for SRAM bit cell with low standby current, low supply voltage and high speed
US8675397B2 (en) 2010-06-25 2014-03-18 Taiwan Semiconductor Manufacturing Company, Ltd. Cell structure for dual-port SRAM
US8860107B2 (en) 2010-06-03 2014-10-14 International Business Machines Corporation FinFET-compatible metal-insulator-metal capacitor
US8839162B2 (en) 2010-07-14 2014-09-16 International Business Machines Corporation Specifying circuit level connectivity during circuit design synthesis
US8796759B2 (en) 2010-07-15 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. Fin-like field effect transistor (FinFET) device and method of manufacturing same
US9159627B2 (en) 2010-11-12 2015-10-13 Tela Innovations, Inc. Methods for linewidth modification and apparatus implementing the same
US8402397B2 (en) 2011-07-26 2013-03-19 Mentor Graphics Corporation Hotspot detection based on machine learning
US8689164B2 (en) 2011-10-18 2014-04-01 National Taiwan University Method of analytical placement with weighted-average wirelength model
US9006841B2 (en) 2011-12-30 2015-04-14 Stmicroelectronics International N.V. Dual port SRAM having reduced cell size and rectangular shape
FR2996950B1 (fr) 2012-10-11 2016-01-01 Dolphin Integration Sa Réseau de mémoire base sur des bascules

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH09282349A (ja) * 1996-04-17 1997-10-31 Shinko Electric Ind Co Ltd データ変換処理装置
JP2001166452A (ja) * 1999-12-03 2001-06-22 Toshiba Microelectronics Corp パターンの自動設計方法
JP2001351981A (ja) * 2000-06-06 2001-12-21 Asahi Kasei Microsystems Kk セルの配置方法およびその配置装置
JP2005123537A (ja) * 2003-10-20 2005-05-12 Sony Corp 半導体装置及び製造方法
JP2007123682A (ja) * 2005-10-31 2007-05-17 Elpida Memory Inc 基本セル設計方法、レイアウト設計方法、設計装置およびプログラム
US20070113216A1 (en) * 2005-11-14 2007-05-17 Youping Zhang Photolithographic mask correction
JP2007141971A (ja) * 2005-11-15 2007-06-07 Matsushita Electric Ind Co Ltd 半導体集積回路の設計方法

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2019526170A (ja) * 2016-07-12 2019-09-12 エーティーアイ・テクノロジーズ・ユーエルシーAti Technologies Ulc セル境界外に延在する金属層セグメントを有する標準セルを実装する集積回路
JP7015824B2 (ja) 2016-07-12 2022-02-03 エーティーアイ・テクノロジーズ・ユーエルシー セル境界外に延在する金属層セグメントを有する標準セルを実装する集積回路

Also Published As

Publication number Publication date
JP6093794B2 (ja) 2017-03-08
JP2018050072A (ja) 2018-03-29
US20090032967A1 (en) 2009-02-05
TWI389298B (zh) 2013-03-11
TW200924163A (en) 2009-06-01
US7888705B2 (en) 2011-02-15
JP2012124510A (ja) 2012-06-28
US20170186772A1 (en) 2017-06-29
US9595515B2 (en) 2017-03-14
US20090037864A1 (en) 2009-02-05
US20110108891A1 (en) 2011-05-12
JP2011501876A (ja) 2011-01-13
US20110175144A1 (en) 2011-07-21
US8356268B2 (en) 2013-01-15
JP4991938B2 (ja) 2012-08-08
US7917879B2 (en) 2011-03-29
US20110108890A1 (en) 2011-05-12
US20110161909A1 (en) 2011-06-30
WO2009017828A2 (en) 2009-02-05
WO2009017828A3 (en) 2009-04-30
JP2016225648A (ja) 2016-12-28
US7908578B2 (en) 2011-03-15
JP6289567B2 (ja) 2018-03-07
EP2186136A4 (en) 2011-09-07
US8759882B2 (en) 2014-06-24
US20140246733A1 (en) 2014-09-04
US8283701B2 (en) 2012-10-09
EP2186136A2 (en) 2010-05-19
US20090032898A1 (en) 2009-02-05
JP5758815B2 (ja) 2015-08-05
US8756551B2 (en) 2014-06-17

Similar Documents

Publication Publication Date Title
JP6289567B2 (ja) 集積回路デバイス
JP5260325B2 (ja) ダイナミックアレイ・アーキテクチャ

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20160407

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20160412

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20160711

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20160902

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20161004

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20161222

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20170124

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20170213

R150 Certificate of patent or registration of utility model

Ref document number: 6093794

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees