JP2017143307A - 動的アレイアーキテクチャにおけるセル位相整合及び配置の方法及びその実施 - Google Patents

動的アレイアーキテクチャにおけるセル位相整合及び配置の方法及びその実施 Download PDF

Info

Publication number
JP2017143307A
JP2017143307A JP2017095825A JP2017095825A JP2017143307A JP 2017143307 A JP2017143307 A JP 2017143307A JP 2017095825 A JP2017095825 A JP 2017095825A JP 2017095825 A JP2017095825 A JP 2017095825A JP 2017143307 A JP2017143307 A JP 2017143307A
Authority
JP
Japan
Prior art keywords
cell
virtual
level
phase
chip
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2017095825A
Other languages
English (en)
Inventor
ジョナサン アール クアント
R Quandt Jonathan
ジョナサン アール クアント
スコット ティー ベッカー
T Becker Scott
スコット ティー ベッカー
ドルミル ガンディ
Gandhi Dhrumil
ドルミル ガンディ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tela Innovations Inc
Original Assignee
Tela Innovations Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tela Innovations Inc filed Critical Tela Innovations Inc
Publication of JP2017143307A publication Critical patent/JP2017143307A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/0203Particular design considerations for integrated circuits
    • H01L27/0207Geometrical layout of the components, e.g. computer aided design; custom LSI, semi-custom LSI, standard cell technique
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/34Circuit design for reconfigurable circuits, e.g. field programmable gate arrays [FPGA] or programmable logic devices [PLD]
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/392Floor-planning or layout, e.g. partitioning or placement
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/398Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/10Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration
    • H01L27/118Masterslice integrated circuits
    • H01L27/11803Masterslice integrated circuits using field effect technology
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2119/00Details relating to the type or aim of the analysis or the optimisation
    • G06F2119/18Manufacturability analysis or optimisation for manufacturability
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P90/00Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
    • Y02P90/02Total factory control, e.g. smart factories, flexible manufacturing systems [FMS] or integrated manufacturing systems [IMS]

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Power Engineering (AREA)
  • General Engineering & Computer Science (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Geometry (AREA)
  • Evolutionary Computation (AREA)
  • Architecture (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

【課題】動的アレイアーキテクチャにおけるセル位相整合及び配置の技術を提供する。【解決手段】半導体チップは、レイアウト特徴部が第1の仮想格子に従って配置される第1のチップレベルと、レイアウト特徴部が第2の仮想格子に従って配置される第2のチップレベルとを有する論理ブロック区域を含むように定められる。第1の仮想格子と第2の仮想格子の間には、有理空間的関係が存在する。論理ブロック区域内には、複数のセルが配置される。複数のセルの各々は、複数のセル位相のうちの適切な1つに従って定められる。複数のセル位相のうちの適切な1つは、所定の配置セルの第1及び第2のチップレベル内のレイアウト特徴部を所定の配置セルに位置決めされた第1及び第2の仮想格子と整合させる。【選択図】図7

Description

本発明は、半導体チップに関し、特に、動的アレイアーキテクチャにおけるセル位相整合及び配置の技術に関する。
最新の半導体チップ(「チップ」)設計では、特定の論理機能を定めるために標準セル(standard cell)がチップ上に配置される。チップ上に任意に配置された場合に各標準セルが製造可能になることを保証するために、各標準セルは、隣接する導電特徴部の間の設計規則(DR;design rule)間隔要件の2分の1に等しくサイズが決められた縁部除外領域を有するように定められる。このようにして、いずれか2つの標準セルが互いに隣り合って配置された場合に、これらの標準セルのインタフェースを構成する境界において組み合わされる除外領域サイズは、少なくとも、隣接する導電特徴部の間のDR間隔要件に等しくなる。従って、除外領域は、セル間の接触問題を心配することなく、特徴部を標準セル内に任意に配置することを可能にする。しかし、多くの標準セルがチップ上に互いに配置された場合には、標準セルに関連付けられた縁部除外領域は組み合わされて不経済な量のチップ区域を占有する場合がある。
上述の観点から、特に制限されたレイアウトアーキテクチャに従ってセルが定められる場合に、チップ区域及びルーティングリソースを最も効率的に利用することができるようにセルのレイアウト及び配置を最適化することが重要である。
一実施形態では、半導体チップを開示する。半導体チップは、論理ブロック区域を含む。論理ブロック区域は、レイアウト特徴部(layout feature)が第1の仮想格子に従って配置される第1のチップレベルを含む。同様に、論理ブロック区域は、レイアウト特徴部が第2の仮想格子に従って配置される第2のチップレベルを含む。第1の仮想格子と第2の仮想格子の間には、有理空間的関係が存在する。論理ブロック区域内にはいくつかのセルが配置される。いくつかのセルの各々は、いくつかのセル位相(cell phase)のうちの適切な1つに従って定められる。適切なセル位相は、所定の配置セルの第1及び第2のチップレベル内のレイアウト特徴部を所定の配置セルに位置決めされた第1及び第2の仮想格子と整合させる。
別の実施形態では、半導体チップの指定区域内でのセルの配置を可能にするために、異なるセル位相を有するセル変形を定める方法を開示する。本方法は、半導体チップの指定区域おいて位相空間(phase space)を識別するための作動を含む。位相空間は、半導体チップの指定区域内に有理空間的関係を有する2つの仮想格子の間の同じ関係の連続発生の間に垂直に延びる距離として定められる。同様に、本方法は、対象セルの左の境界を位相空間の左の縁部と整合させるための作動を含む。対象セルの左の境界が位相空間の左の縁部と整合された状態で、対象セルの左の境界に対する2つの仮想格子の位置に基づいて、対象セルの第1の位相を定める作動が実施される。対象セルの第1の位相は、セルライブラリに記憶される。本方法は、対象セルの左の境界をその現在の位置から位相空間にわたって位相空間内の対象セルの左の境界の次の可能な位置まで移動するための作動を更に含む。対象セルの左の境界が、次の可能な位置に整合された状態で、対象セルの左の境界に対する2つの仮想格子の位置に基づいて、対象セルの次の位相を定める作動が実施される。対象セルの次の位相は、セルライブラリに記憶される。本方法は、対象セルの左の境界を位相空間内の可能な位置の各々に移動する段階、及び位相空間内の対象セルの左の境界の各可能な位置で対象セルの異なる位相を定めて記憶する段階によって続けられる。
別の実施形態では、半導体チップの指定区域内にセルを配置する方法を開示する。本方法は、半導体チップの指定区域内の2つの位相整合チップレベルの各々においてそれぞれの仮想格子を定めるための作動を含む。2つの位相整合チップレベルの仮想格子は、有理空間的関係を有するように定められる。同様に、本方法は、半導体チップの指定区域内にセルを配置するための作動を含む。本方法は、半導体チップの指定区域内の各配置セルに必要とされるセル位相を判断するための作動を更に含む。半導体チップの指定区域内の各配置セルの代わりに、必要とされるセル位相を有する配置セルの変形を用い、それによって配置セルの置換変形内で2つの位相整合チップレベルの各々内のレイアウト特徴部が2つの位相整合チップレベルの仮想格子と整合するようにする作動が実施される。
一実施形態では、デジタルフォーマットで記録された半導体チップレイアウトを含むコンピュータ可読記憶媒体を開示する。半導体チップレイアウトは、レイアウト特徴部が第1の仮想格子に従って配置された第1のチップレベルを含む論理ブロック区域を含む。同様に、半導体チップレイアウトは、レイアウト特徴部が第2の仮想格子に従って配置された第2のチップレベルを含む。第1の仮想格子と第2の仮想格子の間には有理空間的関係が存在する。半導体チップレイアウトは、論理ブロック区域に配置されたいくつかのセルを含む。いくつかのセルの各々は、いくつかのセル位相のうちの適切な1つに従って定められる。いくつかのセル位相のうちの適切な1つは、所定の配置セルの第1及び第2のチップレベル内のレイアウト特徴部を所定の配置セルに位置決めされた第1及び第2の仮想格子と整合させる。
一実施形態では、コンピュータ可読記憶媒体上にデジタルフォーマットで記憶されたセルライブラリを開示する。セルライブラリは、所定のセルの異なる位相に対応する複数のセルレイアウトを含む。所定のセルは、レイアウト特徴部が仮想格子に従って配置された少なくとも1つのチップレベルを含む。仮想格子は、セルレイアウトにわたって延びる1組の等しく離間した平行仮想線によって定められる。所定のセルの各異なる位相は、基準セル境界と、仮想格子の最も近い仮想線との間の異なる間隔によって定められる。
本発明の他の態様及び利点は、本発明を例示的に示す添付図面と共に以下の詳細説明からより明らかになるであろう。
本発明の一実施形態による論理ブロックを含むように定められた半導体チップを示す図である。 本発明の一実施形態による論理ブロック内のセル配置を示す図である。 本発明の一実施形態による上部に2つの別々の仮想格子が定められたチップの論理ブロック区域を示す図である。 本発明の一実施形態により論理ブロックの左下コーナにある原点に対してインデックス付けされたゲートレベル及びM2レベルの仮想格子と共に例示的論理ブロックを示す図である。 本発明の一実施形態により論理ブロック内の様々なセル配置に必要とされるセル位相整合に基づいて各セルに代わって適切なセル変形が用いられた図2Bのセル配置を示す図である。 本発明の一実施形態により図2Aの論理ブロックに配置されたセルにおいて存在させることができる異なるセル位相を示す図である。 本発明の一実施形態により図2Aの論理ブロックに配置されたセルにおいて存在させることができる異なるセル位相を示す図である。 本発明の一実施形態により図2Aの論理ブロックに配置されたセルにおいて存在させることができる異なるセル位相を示す図である。 本発明の一実施形態により図2Aの論理ブロックに配置されたセルにおいて存在させることができる異なるセル位相を示す図である。 本発明の一実施形態により図2Aの論理ブロックに配置されたセルにおいて存在させることができる異なるセル位相を示す図である。 本発明の一実施形態により図2Aの論理ブロックに配置されたセルにおいて存在させることができる異なるセル位相を示す図である。 本発明の一実施形態により図2Aの論理ブロックに配置されたセルにおいて存在させることができる異なるセル位相を示す図である。 本発明の一実施形態により図2Aの論理ブロックに配置されたセルにおいて存在させることができる異なるセル位相を示す図である。 本発明の一実施形態により仮想格子が互いに実際に整列することなく互いに位相整合された異なるセル位相を示す図である。 本発明の一実施形態により仮想格子が互いに実際に整列することなく互いに位相整合された異なるセル位相を示す図である。 本発明の一実施形態により仮想格子が互いに実際に整列することなく互いに位相整合された異なるセル位相を示す図である。 本発明の一実施形態により仮想格子が互いに実際に整列することなく互いに位相整合された異なるセル位相を示す図である。 本発明の一実施形態により仮想格子が互いに実際に整列することなく互いに位相整合された異なるセル位相を示す図である。 本発明の一実施形態により仮想格子が互いに実際に整列することなく互いに位相整合された異なるセル位相を示す図である。 本発明の一実施形態により仮想格子が互いに実際に整列することなく互いに位相整合された異なるセル位相を示す図である。 本発明の一実施形態により仮想格子が互いに実際に整列することなく互いに位相整合された異なるセル位相を示す図である。 論理ブロック内の各セルに対して例示的なゲートレベル及びM2レベルのレイアウト形状を示す本発明の一実施形態による図2Cの論理ブロックの行1を示す図である。 本発明の一実施形態により動的アレイアーキテクチャに従って定められる半導体チップ上のある一定の区域内でのセルの配置を可能にする異なるセル位相のセル変形を定める方法の流れ図である。 本発明の一実施形態により動的アレイアーキテクチャに従って定められる半導体チップの一部分内にセルを配置する方法の流れ図である。 本発明の一実施形態によりDAS内に定められた隣接して配置された論理セルの第2の相互接続レベル内の異なる位相整合の例を示す図である。 本発明の一実施形態により動的アレイアーキテクチャ内に定められた仮想線の例を示す図である。
以下の説明では、本発明の完全な理解をもたらすために数々の特定の詳細内容を示している。しかし、当業者には、これらの特定の詳細内容の一部又は全てを用いずに本発明を実施することができることは明らかであろう。この他としては、本発明を不要に不明瞭にすることのないように、公知の処理作動に対しては詳細には説明しない。
図1Aは、本発明の一実施形態による論理ブロック103を含むように定められた半導体チップ(「チップ」)を示す図である。論理ブロック103は、チップ101のシリコン基板上に定められた多重レベル構造の形態にある集積回路デバイスを含む。基板レベルでは、拡散領域を有するトランジスタデバイスが形成される。その後のレベルでは、相互接続金属化線がパターン形成され、トランジスタデバイスに電気的に接続されて望ましい集積回路デバイスが定められる。また、パターン形成された導電層は、誘電体によって他の導電層から絶縁される。チップ101の各レベル内で拡散領域、トランジスタデバイス、金属化線、相互接続部などを定めるのに用いられる構造特徴部(structural feature)は、指定されたレイアウトに従って定められる。更に、チップ101の所定のレベルにおける広域レイアウトを各々が所定の論理構造に関連する多くの小さいレイアウト区域へとセグメント化することができる。更に、チップ101の所定の垂直列内にあるチップ101の複数のレベル内のレイアウト区域を互いに統合することができ、セルと呼ぶ論理ユニットが形成される。
本明細書で言及するセルは、論理機能の抽象化を表し、論理機能を実施するためのより低いレベルの集積回路レイアウトを封入する。所定の論理機能は、特徴部サイズ、性能、及び処理補償技術(PCT;process compensation technique)工程によって差別化することができる複数のセル変形によって表すことができることを理解すべきである。例えば、所定の論理機能における複数のセル変形は、電力消費、信号タイミング、電流漏れ、チップ面積、OPC(光学近接補正;optical proximity correction)、RET(レチクル強調技術;reticle enhancement technology)等によって差別化することができる。各セル説明は、セルの論理機能を実施するのに必要とされる関連する垂直チップ列内の各チップレベル内のセルのレイアウトを含むことも理解すべきである。より具体的には、セル説明は、基板レベルから特定の相互接続レベルまで上方に延びる各チップレベルにおけるセルのレイアウトを含む。
一実施形態では、論理ブロック103は、様々な論理機能のいくつかのセルを論理ブロック103内に行で配置することによって定められる。例えば、各々が異なる論理機能を実行するように定められたいくつかのセルA〜Zが論理ブロック103内の使用に対して利用可能であると考えられたい。この例示的な実施形態では、論理ブロック103は、図1Bに示しているように、論理ブロック103の行1〜10内へのセルA〜Zの配置によって定めることができる。この例示的な実施形態では、所定の行にわたって左から右に測定されるセルの幅は、セル毎に異なるとすることができる。一方、所定の行内で垂直に測定されるセルの高さは、基本的にセル毎に同じであり、それによって論理ブロック103を一致する高さのセルから構成される隣接して定められた行によって埋めることを可能にする。また、一部の実施形態では、セルの高さは行毎に異なり、及び/又は行内で異なるとすることができる。
動的アレイアーキテクチャは、レイアウト特徴部が、セルのいくつかのレベル、すなわち、チップ101のような半導体チップのいくつかのレベル内で規則的に分離した仮想格子(又は規則的に分離した仮想グリッド)に沿って定められる半導体デバイス設計の論理的フレームを表している。仮想格子は、所定のチップ区域内の所定のレベルにわたって延びる1組の等しく離間した平行仮想線によって定められる。仮想格子の隣接する仮想線の間で垂直に測定される等しい間隔は、仮想格子ピッチとして定められる。例えば、図2Aは、本発明の一実施形態による上部に2つの別々の仮想格子が定められたチップ101の論理ブロック103区域を示している。より具体的には、一方の仮想格子は、チップ101のゲートレベルにおける論理ブロックの上に定められ、他方の仮想格子は、チップ101の第2の相互接続レベル(M2レベル)における論理ブロック103の上に定められる。
一実施形態では、所定のレベルの仮想格子は、隣接するレベルの仮想格子に対して実質的に垂直であるように向けられる。例えば、この実施形態では、第1の相互接続レベル(M1レベル)(図示せず)における仮想格子は、ゲートレベルとM2レベルの両方の仮想格子に対して垂直な方向に延びている。しかし、一部の実施形態では、所定のレベルの仮想格子を隣接するレベルの仮想格子に対して垂直又は平行のいずれかに向けることができる。
一実施形態では、チップの様々なレベル内の各仮想格子は、単一の座標系の原点に対してインデックス付けされる。従って、この座標系は、チップの様々なレベル内の仮想格子の間の空間的関係の制御を可能にする。例えば、図2Aの例示的な実施形態では、ゲートレベル及びM2レベルの仮想格子の各々が、チップ101上の論理ブロック103区域の左下コーナに位置する座標系の原点(0,0)に対してインデックス付けされる。座標系の原点(0,0)は、チップ101のいかなる位置にも位置させることができ、論理ブロックのセルを配置される特定の位置(例えば、論理ブロック103の左下コーナ)に位置させることを必要としないことを理解すべきである。また、所定の空間的位置への仮想格子のインデックス付けは、仮想格子の仮想線のうちの1つが所定の空間的位置を通過するように仮想格子が定められることを意味することを理解すべきである。
チップの様々なレベル内の仮想格子の間の空間的関係は、基本的にいくつもの手法で定めることができる。しかし、共通の向きに置かれた(すなわち、チップにわたる広がりの方向に平行な)仮想格子の間の空間的関係は、仮想格子が特定の空間周波数で互いに整合するように有理数で定められる。特に、同じ座標系の原点に対してインデックス付けされたいずれか2つの仮想格子に対して、これらの仮想格子ピッチの比を2つの仮想格子が特定の空間周波数で整合するように有理数で定めることができる。例えば、図2Aは、M2レベル仮想格子とゲートレベル仮想格子の間の空間的関係が、4/3というM2レベル対ゲートレベル仮想格子ピッチ比によって定められることを示している。従って、M2レベル仮想格子とゲートレベル仮想格子とは、原点(0,0)に対して4番目のゲートレベル仮想格子線毎に互いに整合する。共通の向きに置かれ、共通の空間的位置に対してインデックス付けされ、有理数で定められた仮想格子ピッチ比を有する2つの仮想格子は、有理空間的関係を有すると考えられる。
図8は、本発明の一実施形態による動的アレイアーキテクチャ内に定められた仮想線801A〜801Eの例を示している。仮想線801A〜801Eは、レイアウトにわたって平行方式で延び、これらの仮想線の間の垂直間隔は、指定ピッチ807に等しい。図8には例示目的で、補完的拡散領域803及び805を示している。拡散領域803及び805は、ゲートレベルの下の拡散レベル内に定められることを理解すべきである。また、拡散領域803及び805は例示的に提供したものであり、動的アレイアーキテクチャに関して、拡散レベル内の拡散領域のサイズ、形状、及び/又は配置におけるあらゆる制限を決して意味しないことも理解すべきである。
動的アレイアーキテクチャ内では、特徴部レイアウトチャンネルが、所定の仮想線に隣接する仮想線の間に延びるように所定の仮想線の周囲に定められる。例えば、特徴部レイアウトチャンネル801A−1から801E−1は、それぞれ仮想線801Aから801Eの周囲に定められる。各仮想線は、対応する特徴部レイアウトチャンネルを有することを理解すべきである。また、規定のレイアウト空間の縁部に隣接して、例えば、セル境界に隣接して位置決めされた仮想線では、対応する特徴部レイアウトチャンネルは、特徴部レイアウトチャンネル801A−1及び801E−1によって例示しているように、規定レイアウト空間の外側に仮想線が存在するかのように延びている。各特徴部レイアウトチャンネルは、その対応する仮想線の全長に沿って延びるように定められることを更に理解すべきである。
図8は、それぞれ仮想線801Aから801Eに対応する特徴部レイアウトチャンネル801A−1から801E−1に従って定められたいくつかの例示的レイアウト特徴部809〜823を更に示している。動的アレイアーキテクチャ内では、所定の仮想線に関連するレイアウト特徴部が、この仮想線に関連する特徴部レイアウトチャンネル内に定められる。また、隣接する仮想線に関連する特徴部レイアウトチャンネル内に定められたレイアウト特徴部の間では物理的接触が禁止される。
隣接するレイアウト特徴部は、回路の作動部を定める部分と回路の一部を定めない部分の両方を含むことができる。例えば、ゲートレベルでは、隣接するレイアウト特徴部は、下層のチップレベルの拡散領域と誘電体領域の両方の上で延びることができる。一実施形態では、ゲートレベルレイアウト特徴部のうちでトランジスタのゲート電極を形成する各部分は、所定の仮想線上を実質的に中心として位置決めされる。更に、この実施形態では、ゲートレベルレイアウト特徴部のうちでトランジスタのゲート電極を形成しない部分は、所定の仮想線に関連する特徴部レイアウトチャンネルに位置決めすることができる。従って、所定のゲートレベルレイアウト特徴部は、所定のゲートレベルレイアウト特徴部のゲート電極部分が、所定の特徴部レイアウトチャンネルに対応する仮想線上を中心とする限り、かつ所定のゲートレベルレイアウト特徴部が、隣接する特徴部レイアウトチャンネル内の他のゲートレベルレイアウト特徴部に対する設計規則間隔要件に準拠する限り、基本的に特徴部レイアウトチャンネル内の何処にでも定めることができる。
図8に示しているように、レイアウト特徴部809は、仮想線801Aに関連する特徴部レイアウトチャンネル801A−1内に定められる。レイアウト特徴部809のいくつかの部分は、仮想線801A上を実質的に中心とする。また、レイアウト特徴部809の他の部分は、隣接する特徴部レイアウトチャンネル801B−1内に定められたレイアウト特徴部811及び813との設計規則間隔要件を維持する。同様に、レイアウト特徴部811〜823は、これらのレイアウト特徴部のそれぞれの特徴部レイアウトチャンネル内に定められ、これらのそれぞれの特徴部レイアウトチャンネルに対応する仮想線上を実質的に中心とする部分を含む。また、レイアウト特徴部811〜823の各々は、隣接する特徴部レイアウトチャンネル内に定められたレイアウト特徴部との設計規則間隔要件を維持し、隣接する特徴部レイアウトチャンネル内に定められたいかなる他のレイアウト特徴部との物理的接触も回避することを理解すべきである。
図8の特徴部レイアウトチャンネル例801A−1から801E−1によって例示しているように、各特徴部レイアウトチャンネルは所定の仮想線に関連し、所定の仮想線に沿って延びるレイアウト領域であり、垂直には、所定の仮想線から隣接する仮想線又はレイアウト境界の外側の仮想線のあらゆる最近接のものまで各反対の方向に外向きに延びるレイアウト領域に対応する。また、各レイアウト特徴部は、その特徴部レイアウトチャンネル内で、隣接する特徴部レイアウトチャンネル内に定められた別のレイアウト特徴部と物理的に接触することなしに定められることを理解すべきである。
一部のレイアウト特徴部は、これらの特徴部の長手に沿って何箇所かの位置に定められた1つ又はそれよりも多くの接触ヘッド部分を有することができる。所定のレイアウト特徴部の接触ヘッド部分は、接触構造を受け取るのに十分なサイズの高さと幅とを有するレイアウト特徴部セグメントとして定められ、この場合「幅」は、基板にわたって所定のレイアウト特徴部の仮想線に対して垂直な方向に定められ、「高さ」は、基板にわたって所定のレイアウト特徴部の仮想線と平行な方向に定められる。レイアウト特徴部の接触ヘッドは、上から見た時に正方形又は矩形を含む基本的にあらゆるレイアウト形状によって定めることができることを理解すべきである。また、レイアウト要件及び回路設計によっては、レイアウト特徴部の所定の接触ヘッド部分は、この部分の上に定められた接触を有しても有さなくてもよい。
一実施形態では、レイアウト特徴部は、正確に予測して製造及び設計の処理に対して最適化することができる制御を受けるレイアウト形状毎の有限数のリソグラフィ相互作用を与えるように定められる。この実施形態では、レイアウト特徴部は、高い確率で正確に予測し、軽減することができない有害なリソグラフィ相互作用をレイアウト内に招くことになるレイアウト形状毎の空間的関係を回避するように定められる。しかし、レイアウト特徴部の特徴部レイアウトチャンネル内でのこれらのレイアウト特徴部の方向変化は、対応するリソグラフィ相互作用が予測可能及び管理可能である場合は許容可能であることを理解すべきである。
一実施形態では、所定のレベルの各レイアウト特徴部は、所定のレベルに関連する仮想格子の仮想線のうちの1つの上を実質的に中心とする。レイアウト特徴部の中心線と仮想格子の特定の線の間の整合における偏位が、製造処理窓をレイアウト特徴部の中心線と仮想格子のこの線の間の真の整合によって利用可能になるものから縮小させない程度に十分に小さい場合には、レイアウト特徴部は、仮想格子の特定の線上を実質的に中心とすると考えられる。従って、この実施形態では、有理空間的関係を有する仮想格子に従って異なるチップレベルに配置されたレイアウト特徴部は、この有理空間的関係によって定められる空間周波数で整合されることになる。一実施形態では、上述の製造処理窓は、レイアウト特徴部の許容可能な忠実度をもたらすリソグラフィ集束及び露光領域によって定められる。一実施形態では、レイアウト特徴部の忠実度は、レイアウト特徴部の特性寸法によって定められる。
動的アレイアーキテクチャでは、製作直後の状態のレイアウト特徴部の垂直断面形状の変化は、垂直断面形状の変化が製造の観点から予測可能であり、かつ所定のレイアウト特徴部又はその隣接レイアウト特徴部の製造に悪影響を与えない限り、ある程度まで満足できる。この点に関して、垂直断面形状は、製作直後の状態のレイアウト特徴部のレイアウト特徴部の中心線とチップの基板の両方に対して垂直な平面におけるセグメントに対応する。製作直後の状態のレイアウト特徴部のその長手に沿った垂直断面変化は、その長手に沿ったレイアウト特徴部の幅変化に対応することができることを理解すべきである。従って、同様に、動的アレイアーキテクチャは、製作直後の状態のレイアウト特徴部の幅におけるその長手に沿った変化にも、この幅変化が製造の観点から予測可能であり、かつレイアウト特徴部又はその隣接レイアウト特徴部の製造に悪影響を与えない限り適応する。
更に、所定のレベル内の異なるレイアウト特徴部が、同じ幅又は異なる幅を有するように設計することができる。また、所定の仮想格子の隣接する線に沿って定められたいくつかのレイアウト特徴部の幅をこれらのいくつかのレイアウト特徴部が互いに接触し、これらいくつかのレイアウト特徴部の幅の和に等しい幅を有する単一のレイアウト特徴部を定めるように設計することができる。
動的アレイアーキテクチャに従って定められた所定のレベル内では、隣接する相互整合された直線形のレイアウト特徴部の近位端を実質的に均一な間隙によって互いから分離することができる。より具体的には、仮想格子の共通線に沿って定められた直線形のレイアウト特徴部の隣接端部は端部間隙によって分離され、仮想格子に関連するレベル内のそのような端部間隙は、実質的に均一な距離にわたるものとして定めることができる。更に、一実施形態では、端部間隙のサイズは、直線形のレイアウト特徴部による所定のレベルの占有を最適化するように、製造処理機能の範囲で最小にされる。
また、動的アレイアーキテクチャでは、レベルは、あらゆる数のレイアウト特徴部によって占有されたあらゆる数の仮想格子線を有するように定めることができる。一例では、所定のレベルは、このレベルの仮想格子の全ての線が、少なくとも1つのレイアウト特徴部によって占有されるように定めることができる。別の実施形態では、所定のレベルをこのレベルの仮想格子のいくつかの線が少なくとも少なくとも1つのレイアウト特徴部によって占有され、このレベルの仮想格子の他の線が空きであるように、すなわち、いかなるレイアウト特徴部によっても占有されないように定めることができる。更に、所定のレベル内では、連続して隣接するあらゆる数の仮想格子線を空きのままに残すことができる。また、所定のレベル内のレイアウト特徴部による仮想格子線の占有対空きは、所定のレベルにわたるパターン又は繰返しパターンに従って定めることができる。
更に、動的アレイアーキテクチャ内では、いくつかの機能電子デバイス、例えば、トランジスタ及び電子回路を形成するために、様々なレベル内のいくつかのレイアウト特徴部を相互接続するビア及び接点が定められる。ビア及び接点のためのレイアウト特徴部は、仮想グリッドに整合させることができ、この仮想格子の仕様は、ビア及び接点が接続されることになる様々なレベルに関連する仮想格子の仕様に応じたものである。従って、様々なレベル内のいくつかのレイアウト特徴部は、電子回路の機能構成要素を形成する。更に、様々なレベル内のレイアウト特徴部のうちの一部は、電子回路に関して非機能的なものとすることができるが、それにも関わらず、隣接レイアウト特徴部の製造を補強するために製造される。
動的アレイアーキテクチャは、半導体デバイスのレイアウト特徴部が、リソグラフィ製造処理においてレイアウト特徴部を与えるのに用いられる光の波長よりも短くサイズが決められた場合であっても、高い確率で半導体デバイスの製造可能性の正確な予測を可能にするように定められることを理解すべきである。更に、動的アレイアーキテクチャは、セルの所定のレベル内のレイアウト特徴部がこれらのレイアウト特徴部の特徴部レイアウトチャンネル内に拘束されるような、かつ隣接する特徴部レイアウトチャンネル内のレイアウト特徴部が互いに物理的に接触しないようなセルのいくつかのレベル内で規則的に分離した格子(又は規則的に分離したグリッド)上へのレイアウト特徴部の配置によって定められることを理解すべきである。更に、動的アレイアーキテクチャは、1つ又はそれよりも多くのチップレベルに適用することができることを理解すべきである。例えば、一実施形態では、チップのゲートレベルのみが動的アレイアーキテクチャに従って定められる。別の実施形態では、ゲートレベル及び1つ又はそれよりも多くの相互接続レベルが、動的アレイアーキテクチャに従って定められる。
図1Bを参照し直すと、行1〜10内へのセル例A〜Zの配置により、例示的論理ブロック103が定められている。図2Bは、例示的論理ブロック103を論理ブロック103の左下コーナにある原点(0,0)に対してインデックス付けされたゲートレベル及びM2レベルの仮想格子と共に示す図である。動的アレイアーキテクチャの一実施形態では、セルA〜Zの各々を論理ブロック103に配置可能にするために、セルA〜Zの各々を論理ブロック103のゲートレベル及びM2レベルの仮想格子の使用に基づいて定めるべきである。しかし、セルが論理ブロック103内の何処に配置されるかによっては、ゲートレベル及びM2レベルの仮想格子の位置は、セルの境界内で、セルの境界に対して変化する可能性がある。例えば、セルの左の境界と、セル内の最も近いゲートレベル仮想格子線の間の距離は、論理ブロック103内のセルの異なる位置の間で変化する可能性がある。同様に、セルの左の境界と、セル内で最も近いM2レベル仮想格子線の間の距離も、論理ブロック103内の所定のセルの異なる位置の間で変化する可能性がある。
論理ブロック103に配置された各セルは、それ自体のセルベースのゲートレベル仮想格子及びM2レベル仮想格子を論理ブロック103のゲートレベル仮想格子及びM2レベル仮想格子に整合させなければならない。論理ブロック103のゲートレベル及びM2レベルの仮想格子の位置は、所定のセルが論理ブロック103内の何処に配置されるかに依存して所定のセル内で変化する可能性があるから、所定のセルの少なくとも1つの型のものが、それ自体のゲートレベル仮想格子及びM2レベル仮想格子をそれぞれ論理ブロック103のゲートレベル仮想格子及びM2レベル仮想格子と整合させるように定められるように、論理ブロック103内への配置に利用可能な所定のセルの異なる型のものを有することが必要である。
一般的には、仮想格子線、又は隣接する仮想格子線の間の中点のいずれかへのセル境界の整合を可能にするために、各セルは、仮想格子ピッチ、又は仮想格子ピッチの2分の1のあらゆる整数倍数である幅を有するように定められる。一実施形態では、各セルは、ゲートレベル仮想格子ピッチの2分の1の整数倍数である幅を有するように定められる。別の実施形態では、各セルは、ゲートレベル仮想格子ピッチの整数倍数である幅を有するように定められる。更に、各セルは、その左のセル境界が、ゲートレベル仮想格子線、又は隣接するゲートレベル仮想格子線の間の中点のいずれかと整合されるように論理ブロック103に配置することができる。従って、セル幅がゲートレベル仮想格子ピッチの2分の1の整数倍数である場合には、右のセル境界もまた、ゲートレベル仮想格子線、又は隣接するゲートレベル仮想格子線の間の中点のいずれかと整合されることになる。解説を容易にするために、セルの左のセル境界がゲートレベル仮想格子線、又は隣接するゲートレベル仮想格子線の間の中点のいずれかと整合されるようなセル配置をゲートレベル仮想格子半ピッチ上へのセル配置と呼ぶ。
ゲートレベル仮想格子半ピッチ上へのセル配置は、ゲートレベル仮想格子とM2レベル仮想格子の間の有理空間的関係との組合せで、所定のセルが論理ブロック103内の何処に配置されるかによっては、所定のセル内で発生する可能性があるゲートレベル仮想格子配置とM2レベル仮想格子配置との各可能な組合せに対して所定のセルに適するレイアウト変形が利用可能であるように、所定のセルに対する有限数のレイアウト変形の作成を可能にする。この点に関して、所定のセルにおける各レイアウト変形は、セル位相を定め、各セル位相は、所定のセル内の所定のセルの基準境界、例えば、所定のセルの左の境界に対するゲートレベル仮想格子配置とM2レベル仮想格子配置との異なる組合せによって定められる。
上述の実施形態では、各セルの幅は、ゲートレベル仮想格子半ピッチの整数倍数であるが、必ずしもM2レベル仮想格子ピッチの整数倍数ではないことを理解すべきである。従って、左右のセル境界は、ゲートレベル仮想格子と整合することになるが、左右のセル境界は、M2レベル仮想格子と常に整合するわけではない可能性がある。しかし、本明細書に説明するセル位相整合法は、M2レベル仮想格子上への作動M2レベルレイアウト形状の配置を可能にする。従って、本明細書に説明するセル位相整合及びセル配置法は、動的アレイアーキテクチャとの併用で、1つのM2レベルレイアウト形状で2つの隣接するM2レベル仮想格子線を費やすような隣接するM2レベル仮想格子線の間に配置されるM2レベルレイアウト形状を持たないことによってルーティングリソースを最適化するように機能する。
図3A〜図3Hは、以下の条件の下で配置されたセルにおいて存在する可能性がある異なるセル位相を示している。
1.セルは、M2レベル仮想格子とゲートレベル仮想格子の間の有理空間的関係が、4/3というM2レベル対ゲートレベル仮想格子ピッチ比で定められる動的アレイアーキテクチャに従って定められ、
2.セルは、ゲートレベル仮想格子半ピッチ上に配置され、かつ、
3.セル幅は、ゲートレベル仮想格子ピッチの2分の1の整数倍数である。
図3A〜図3Hに例示しているセル位相整合原理は、セル位相整合に関連する論理ブロックの仮想格子が共通の空間的位置に対してインデックス付けされる限り、あらゆる有理空間的関係を有する(すなわち、4/3のM2対ゲートピッチ比の他にも)共通の向きに置かれたチップレベル(すなわち、例示しているゲート及びM2のレベルの他にも)のあらゆる組合せに対して適用することができることを理解すべきである。
図3Aは、セル300の第1の位相(ph1)を示している。セル300は、左のセル境界301を含む。セル300は、共通の向きに置かれた実線で表しているゲートレベル仮想格子、及び共通の向きに置かれた破線で表しているM2レベル仮想格子によって定められる。M2レベル仮想格子とゲートレベル仮想格子の間のピッチ比は4/3である。従って、M2レベル仮想格子とゲートレベル仮想格子とは、4番目のゲートレベル仮想格子線毎に互いに整合することになる。ゲートレベル仮想格子とM2レベル仮想格子との整合の間のゲートレベル仮想格子線の数は、位相空間303を定める。一般的には、位相空間は、有理空間的関係を有する2つの仮想格子の間の同じ関係の連続発生の間で垂直に延びる距離として定められる。図3A〜図3Hの例示的な実施形態では、有理空間的関係を有する2つの仮想格子の間の同じ関係の連続発生は、有理空間的関係を有する2つの仮想格子の連続整合に対応する。
各セル位相は、位相空間303内での左のセル境界301(例えば、基準セル境界)の異なる許容位置に関連する。図3Aから図3Hの例では、左のセル境界301をゲートレベル仮想格子半ピッチ上に配置することができる。従って、左のセル境界301は、位相空間303内の各ゲートレベル仮想格子線上、及び位相空間303内の隣接するゲートレベル仮想格子線の間の中点に配置することができる。従って、位相空間303は、4つのゲートレベル仮想格子ピッチを網羅し、セルをゲートレベル仮想格子半ピッチ上に配置することができるので、可能なセル位相の数は8である。図3A〜図3Hでは、8つの可能なセル位相の各々における左のセル境界301の位置をph1〜ph8とラベル付けしたそれぞれの矢印によって示している。ゲートレベル及びM2レベルの仮想格子は論理ブロック103に関連するので、これらの仮想格子のそれぞれの位置は、左のセル境界301が8つの可能な位相(ph1〜ph8)を通してシフトされても、図3A〜図3Hの各々において未変更のままに留まる。
図3A〜図3Hの8つの可能なセル位相は、例示的な実施形態の特定の仕様の結果であることを理解すべきである。例えば、別の実施形態では、位相空間303は4つのゲートレベル仮想格子ピッチを網羅するが、セルをゲートレベル仮想格子(全)ピッチ上にしか配置することができない場合には、可能なセル位相の数は8ではなく4になり、図3A〜図3Hに示しているセル位相(ph1、ph3、ph5、ph7)に対応することになる。
一般的には、セル位相は、位相整合に関連するチップレベルの各々におけるインデックス値の組合せによって定められる。セル位相を定めるのに用いられる所定のチップレベルに対するインデックス値は、セルの左の境界と、所定のチップレベルの仮想格子の最も近い仮想線との間で垂直に測定された距離を表している。所定のセルの各位相整合チップレベルは、対応するインデックス値を有することを理解すべきである。また、セルの位相整合チップレベルは、セルの少なくとも1つの他のチップレベルの仮想格子と有理空間的関係を有する仮想格子によって定められたセルのあらゆるチップレベルであることも理解すべきである。また、上述のように、2つのチップレベルの各々が、共通の向きに置かれた仮想格子であり、共通の空間的位置に対してインデックス付けされた仮想格子によって定められ、有理数によって定められた仮想格子ピッチ比を有する場合には、これらの2つのチップレベル間に有理空間的関係が存在する。図3A〜図3Hの例示的な実施形態では、各セル位相(ph1〜ph8)は、1)Gインデックス及び2)M2インデックスという2つのインデックス値によって定められ、ここでGインデックスは、ゲートレベルにおけるインデックス値であり、M2インデックスは、M2レベルにおけるインデックス値である。図3A〜図3Hに示しているように、各位相は、Gインデックスの値とM2インデックスの値との独特な組合せによって定められる。
図3A〜図3Hに示しているセル位相整合例は、2つの仮想格子が、これらの仮想格子の有理空間的関係に従って互いに周期的に整合するように対してインデックス付けされる仮想格子位相整合関係に基づいている。しかし、一部の実施形態では、仮想格子は、互いに実際に整列することなく互いに位相整合することができることを理解すべきである。例えば、図3I〜図3Pは、M2レベル仮想格子とゲートレベル仮想格子の間のピッチ比が4/3であり、M2レベル仮想格子とゲートレベル仮想格子とがいかなる位相においても互いに整合しないように、M2レベル仮想格子が、ゲートレベル仮想格子とオフセット関係でインデックス付けされた別の実施形態を示している。図3A〜図3Hに関して説明したものと同じ概念が、図3I〜図3Pに対しても同様に適用される。一般的には、図3I〜図3Pの位相空間303’は、位相整合仮想格子の間の同じ関係の広がる連続発生の間の区域にわたって定められることを理解すべきである。特に位相(ph1’)では、ゲートレベルにおけるインデックス値はGインデックス=0によって与えられ、M2レベルにおけるインデックス値はM2インデックス=(1/6)*ピッチによって与えられる。従って、位相空間303’は、位相(ph1’)が再発生する位置、すなわち、Gインデックス=0及びM2インデックス=(1/6)*ピッチである位置まで延びている。解説を容易にするために、本明細書の説明の残りの部分を図3A〜図3Hに例示している位相整合を参照して提供する。
一実施形態では、セルライブラリは、動的アレイアーキテクチャに従って定められたいくつかの異なるセルを含むようにコンパイルされ、更に特定のチップレベルの間の特定の有理空間的関係に基づいて定められる。例えば、図2A〜図2Bの論理ブロック103の実施形態に関しては、セルライブラリは、各々が動的アレイアーキテクチャに従って定められたセルA〜Zを含むようにコンパイルすることができ、更にM2レベルの仮想格子ピッチとゲートレベルの仮想格子ピッチの間の4/3という有理空間的関係に基づいて定められる。ライブラリ内の各セルのゲートレベル及びM2レベルのレイアウトを論理ブロック103のゲートレベル及びM2レベルの仮想格子と整合することができることを保証するために、論理ブロック内のセル配置に関わらず、セルライブラリは、各可能なセル位相にそれぞれ対応する各セルの変形を含まなければならない。従って、図2A〜図2Bの実施形態に関して、セルライブラリは、セルA〜Zの各々において8つの異なるセル変形(各セル位相に対して1つ)を含まなければならない。セル位相1から8に対するセルA〜Zの変形をA−ph1、A−ph2、...Z−ph7、Z−ph8と示すことができる。
一実施形態では、セルは、図2Bに示しているように、最初にセル位相整合に関係なく論理ブロック103に配置することができる。次に、論理ブロック103のゲートレベル及びM2レベルの仮想格子に対する論理ブロック103内のこのセルの正確な位置に基づいて、各配置セルは、必要とされるセル位相に対応する適切な変形によって置換することができる。別の実施形態では、必要とされるセル位相整合に対応する適切なセル変形は、セルが最初に論理ブロック103に配置される時に判断することができる。図2Cは、論理ブロック103内の様々なセル配置において必要とされるセル位相整合に基づいて、各セルに代わって適切なセル変形が用いられた図2Bのセル配置を示している。
上述のように、各セル位相は、位相整合チップレベルに対するインデックス値の組合せによって定められる。従って、所定のセル配置に対して用いるべき適切なセル位相を判断するために、配置セルの位相整合チップレベルに対するインデックス値が計算される。次に、配置セルの位相整合チップレベルにおける計算されたインデックス値は、様々なセル位相のインデックス値と比較され、適合するセル位相が識別される。次に、配置セルの適合するセル位相が、配置セルに代わって用いられる。
例えば、図2Bの実施形態では、各セル位相は、ゲートレベルインデックス値(Gインデックス)とM2レベルインデックス値(M2インデックス)との組合せによって定められる。従って、所定のセル配置に対して用いるべき適切なセル位相を判断するためには、配置セルに関するGインデックス及びM2インデックスの値が計算される。次に、配置セルに対して計算されたGインデックス及びM2インデックスの値は、様々なセル位相のGインデックス及びM2インデックスの値と比較され、適合するセル位相が識別される。その後、配置セルの適合するセル位相が、最初に配置されたセルに代用される。
更に例示するために、対象セルとして図2Bの論理ブロック103内の行1の最も左に配置されたセルAを考える。対象セルのGインデックスの値はゼロと計算され、すなわち、左のセル境界301は、ゲートレベル仮想格子と整合される。対象セルのM2インデックスの値はゼロと計算され、すなわち、左のセル境界301は、M2レベル仮想格子と整合される。対象セルの計算されたインデックス値(Gピッチ=0及びM2インデックス=0)は、図3Aに示しているセル位相1のインデックス値に適合する。従って、図2Cの行1内の対応するセルA−ph1によって示しているように、この対象セルに対してはセル位相1を用いなければならない。
更に例示するために、対象セルとして図2Bの論理ブロック103内の行4の最も右に配置されたセルUを考える。この対象セルのGインデックスの値は、((1/2)*ピッチ)と計算され、ここでGピッチはゲートレベル仮想格子ピッチである。この対象セルのM2インデックスの値は、((1/6)*ピッチ)と計算される。この対象セルの計算インデックス値(Gピッチ=((1/2)*ピッチ)及びM2インデックス=((1/6)*ピッチ))は、図3Fに示しているセル位相6のインデックス値に適合する。従って、図2Cの行4内に対応するセルU−ph6によって示しているように、この対象セルに対してはセル位相6を用いなければならない。
図4は、各セルにおける例示的なゲートレベル及びM2レベルのレイアウト形状を内部に示す図2Cの論理ブロック103の行1を示している。行1内の各セルに適するセル位相の仕様により、各セルのゲートレベルレイアウト形状が、論理ブロック103のゲートレベル仮想格子と整合し、各セルのM2レベルレイアウト形状が、論理ブロック103のM2レベル仮想格子と整合することが分る。
M2レベル対ゲートレベルの有理空間的関係に関して本明細書に説明するセル位相整合法は、いかなる複数のチップレベルに対しても等しく適用することができる。更に、いずれか2つのチップレベル間の有理空間的関係は、基本的に2つのチップレベル間のあらゆる仮想格子ピッチ比に基づくとすることができる。例えば、図2A〜4の例示的な実施形態は、4/3というM2レベル対ゲートレベルピッチ比に基づくが、他の実施形態では、M2レベル対ゲートレベルピッチ比は、3/2、5/3、5/4、2/3、3/5、4/5等とすることができる。
本明細書に説明するセル位相整合法は、動的アレイアーキテクチャに固執することなく、所定のチップ区域、例えば、論理ブロック103内で最大のセル占有を可能にすることを理解すべきである。言い換えれば、本明細書に説明するセル位相整合法は、位相整合チップレベル内のセルのレイアウト形状が、位相整合チップレベルの仮想格子と整合することを保証しながら、所定のチップ区域内のセル境界毎にセルを配置することを可能にする。従って、本明細書に説明するセル位相整合法は、セル内のレイアウト特徴部の複数の仮想格子への整合に適応させるためのセルの幅を拡大する必要性を軽減し、それによって動的アレイアーキテクチャとの併用で最適化されたチップ区域の利用を可能にする。更に、本明細書に説明するセル位相整合法は、セル内のレイアウト特徴部の複数の仮想格子への整合に適応させるための隣接して配置されたセル間に非占有チップ区域を残置する必要性を軽減し、それによって動的アレイアーキテクチャとの併用で最適化されたチップ区域の利用を可能にする。
図5は、本発明の一実施形態により動的アレイアーキテクチャ従って定められた半導体チップの区域内へのセル配置を可能にする異なるセル位相を有するセル変形を定める方法の流れ図である。半導体チップ上の区域は、半導体チップの全区域よりも実質的に小さい区域に対応することができることを理解すべきである。本方法は、位相整合チップレベルの仮想格子の間の有理空間的関係に基づいて位相空間を識別するための作動501を含む。位相整合チップレベルの仮想格子は、半導体チップの区域を定めるのに用いられる動的アレイアーキテクチャの一部を表している。上述のように、位相空間は、有理空間的関係を有する2つの仮想格子の連続する整合位置の間で垂直に延びる距離として定められる。例えば、第1の仮想格子と第2の仮想格子とが、第1の仮想格子の4番目の仮想線毎に整合するような有理空間的関係を有する場合には、位相空間は、第1の仮想格子と第2の仮想格子との連続整合の間に延びる第1の仮想格子のピッチの4倍の距離に及んでいる。
本方法は、対象セルの左の境界が位相空間の左の縁部と整合される作動503へと続く。従って、作動503に続いて、対象セルの左の境界は、位相整合チップレベルの各仮想格子の仮想線と同時整合される。図3Aは、セル300の左の境界301と位相空間303の左の縁部の間の整合の例を示している。従って、図3Aの例では、セル300の左の境界301が、位相整合チップレベル(すなわち、ゲートレベルとM2レベル)の各仮想格子の仮想線と同時に整合される。
対象セルの左の境界が位相空間の左の縁部と整合されると、本方法は、左のセル境界に対する位相整合チップレベルの仮想格子の位置に基づいて、対象セルの第1の位相を定めるための作動505へと続く。対象セルの第1の位相は、半導体チップ上で所定のセルの第1の位相を必要とする位置への配置に適する対象セルの第1の変形を表している。対象セルの第1の位相は、各位相整合チップレベルに対するインデックス値によって特徴付けることができ、所定の位相整合チップレベルに対するインデックス値は、セルの左の境界と、位相空間内の所定のチップレベルの仮想格子の最も近い仮想線との間で垂直に測定された距離として定められる。図3A〜図3Hは、ゲート及びM2の位相整合チップレベルにおける対応するインデックス値Gインデックス及びM2インデックスを示している。作動505は、将来の呼び出し及び使用に対して、対象セルの第1の位相のセルライブラリ内への記憶を含む。一実施形態では、セルライブラリは、コンピュータ可読媒体上にデジタルフォーマットで記憶される。
作動505に続いて、本方法は、セルの左の境界が、その現在の位置から位相空間にわたって位相空間内のセルの左の境界の次の可能な位置へと移動される作動507に進む。作動507では、セルの左の境界は、位相整合チップレベルの仮想格子を位相空間内で移動することなく、位相空間にわたって移動されることを理解すべきである。図3Bは、セル300の左の境界301をその現在の位置から(すなわち、図3Aにおけるその位置から)位相空間303内のセルの左の境界の次の可能な位置(ph2)まで移動する例を示している。
半導体チップ区域における特定の動的アレイアーキテクチャ実施形態が、ゲートレベル仮想格子半ピッチの整数倍数であるセル幅を許す場合には、位相空間内の左のセル境界の可能な位置は、位相空間内の各ゲートレベル仮想格子線、及び位相空間内のゲートレベル仮想格子線の各隣接する対の間の各中点に対応する。この状況を図3A〜図3Hに例示している。半導体チップ区域における特定の動的アレイアーキテクチャ実施形態が、ゲートレベル仮想格子(全)ピッチの整数倍数であるセル幅しか許さない場合には、位相空間内の左のセル境界の可能な位置は、ゲートレベル仮想格子線、又は位相空間内のゲートレベル仮想格子線の隣接する対の間の中点のいずれかに対応する。
対象セルの左の境界が、位相空間内のセルの左の境界の次の可能な位置と整合されると、本方法は、この左のセル境界に対する位相整合チップレベルの仮想格子の位置に基づいて、対象セルの次の位相を定めるための作動509へと続く。対象セルの次の位相は、半導体チップ上で、所定のセルのこの次の位相を必要とする位置への配置に適する対象セルの別の変形を表している。対象セルのこの次の位相もまた、各位相整合チップレベルに対するインデックス値によって特徴付けることができる。作動509は、将来の呼び出し及び使用に対して、対象セルのこの次の位相のセルライブラリ内への記憶を含む。
次に、本方法は、セルの左の境界の別の可能な位置が位相空間に存在するか否かを判断するための決定作動511に進む。セルの左の境界の別の可能な位置が位相空間に存在する場合には、本方法は、作動507に戻る。しかし、セルの左の境界の別の可能な位置が位相空間に存在しなかった場合には、本方法は完了する。図5の方法の完了後には、セルライブラリは、動的アレイアーキテクチャの位相整合チップレベルに従って定められた半導体チップ上の区域内で発生する可能性がある各可能なセル位相に対する対象セルの変形を含むことになる。
図6は、本発明の一実施形態により動的アレイアーキテクチャに従って定められた半導体チップの一部分内にセルを配置する方法の流れ図である。本方法は、半導体チップの一部分内で2つの位相整合チップレベルの各々に対してそれぞれの仮想格子を定めるための作動601を含む。2つの位相整合チップレベルは、有理空間的関係を有するように定められる。上述のように、共通の向きに置かれ、共通の空間的位置に対してインデックス付けされ、有理数によって定められた仮想格子ピッチ比を有する2つの仮想格子は、有理空間的関係を有すると考えられる。一実施形態では、2つの位相整合チップレベルは、ゲートレベル及び第2の相互接続レベルに対応する。しかし、他の実施形態では、2つの位相整合チップレベルはあらゆる2つのチップレベルに対応することができることを理解すべきである。
次に、本方法は、チップの上記部分内にセルを配置するための作動603に進む。一実施形態では、2つの位相整合チップレベルは、チップのこの部分の左下コーナに対してインデックス付けされ、セルは、チップのこの部分にわたって左から右へと延びる行で配置される。また、一実施形態では、セルは、2つの位相整合チップレベルの仮想格子と共通の向きに置かれたこれらのセルの境界が、より小さい仮想格子ピッチを有する位相整合チップレベルの仮想格子の半ピッチと整合するように配置することができる。
次に、本方法は、作動603で配置された各セルに必要とされるセル位相を判断するための作動605に進む。一実施形態では、所定のセルに必要とされるセル位相は、位相整合チップレベルにおける配置セル内のインデックス値によって識別される。上述の場合のように、所定の位相整合チップレベルにおける配置セル内のインデックス値は、配置セルの左の境界と、配置セル内で所定の位相整合チップレベルの仮想格子の最も近い仮想線、すなわち、所定の位相整合チップレベルのセルの左の境界の右にある仮想格子の最も近い仮想線との間で垂直に測定された距離として定められる。必要とされるセル位相を有する同じ配置セルの特定の変形を識別するために、各配置セルの位相整合チップレベルにおける計算されたインデックス値をセルライブラリ内の同じ配置セルの変形の対応するインデックス値と比較することができる。次に、各配置セルの代わりに、配置セルの必要とされるセル位相を有する特定の変形を用いる作動607が実施され、それによって位相整合チップレベル内の各配置セルのレイアウト特徴部は、半導体チップの上記部分にわたって定められた位相整合チップレベルの仮想格子と整合する。
上述のことに基づいて、一実施形態では、半導体チップは、論理ブロック区域を含むように定められる。論理ブロック区域は、レイアウト特徴部が第1の仮想格子に従って配置される第1のチップレベルを含む。また、論理ブロック区域は、レイアウト特徴部が第2の仮想格子に従って配置される第2のチップレベルを含む。第1の仮想格子と第2の仮想格子の間には有理空間的関係が存在する。論理ブロック区域内にはいくつかのセルが配置される。これらのいくつかのセルの各々は、いくつかのセル位相のうちの適切な1つに従って定められる。適切なセル位相は、所定の配置セルの第1及び第2のチップレベル内のレイアウト特徴部を所定の配置セルに位置決めされた第1及び第2の仮想格子と整合させる。上述のいくつかのセル位相のいずれかに従って定められた所定のセルは、所定のセルに関連する同じ論理機能を実行するように定められることを理解すべきである。更に、一実施形態では、様々なセル位相に対応する所定のセルの各変形が類似の電気特性を有するように定めることが重要である。また、一実施形態では、上記いくつかのセルのうちの一部は、第1のチップレベル又は第2のチップレベルのいずれかに第1及び第2の仮想格子の仮想線と平行なセル境界に沿って実質的に中心を定める方式で配置された少なくとも1つのレイアウト特徴部を含む。
一実施形態では、上述のいくつかのセルは、インタフェースを構成するセル境界が相互整合されるように論理ブロック区域内に行で配置される。また、一実施形態では、上述のいくつかのセルの各々の高さは均一である。上述のいくつかのセルの各々の高さは、第1及び第2の仮想格子の仮想線と平行な方向に測定される。更に、一実施形態では、上述のいくつかのセルの各々の幅は、第1の仮想格子のピッチの整数倍数であり、各配置セルの各境界(第1の仮想格子の仮想線と平行な)は、第1の仮想格子の仮想線と整合される。別の実施形態では、上述のいくつかのセルの各々の幅は、第1の仮想格子のピッチの整数倍数であり、各配置セルの各境界(第1の仮想格子の仮想線と平行な)は、第1の仮想格子の隣接する仮想線の間の中点と整合される。更に別の実施形態では、上述のいくつかのセルの各々の幅は、第1の仮想格子のピッチの2分の1の整数倍数であり、各配置セルの各境界(第1の仮想格子の仮想線と平行な)は、第1の仮想格子の仮想線、又は第1の仮想格子の隣接する仮想線の間の中点のいずれかと整合される。
更に、上述の実施形態に対して、所定の論理ブロックに配置された各セルは、位相整合する状況の中で解説したが、代替的な実施形態では、本明細書に説明するセル位相整合法を所定の論理ブロックに配置されたセルの一部分に対して適用することができ、論理ブロック内のセルの残りの部分は位相整合されないままに残されることを理解すべきである。例えば、所定の論理ブロック内の第1のセル群が動的アレイアーキテクチャに従って定められ、配置される時に適切な位相整合を利用し、この所定の論理ブロック内の第2のセル群が、位相整合を利用しない別のアーキテクチャ(すなわち、非動的アレイアーキテクチャ)によって定められる場合には、第1のセル群は、本明細書に開示する方法に従って配置し、位相整合することができ、第2のセル群は、位相整合されないままに残すことができる。
動的アレイ区画(DAS;dynamic array section)は、各垂直に境界が定められた再分割レベルに存在する特徴部が所定の再分割レベル内の特徴部の間及び別々の再分割レベル内の特徴部の間の関係を左右するように設定された1組の規則に従ってこの再分割における他の特徴部を考慮して定められる。DASは、任意の形状及びサイズの基板区域を占有するように定めることができる。また、DASは、基板の上方にある任意の形状及びサイズの区域を占有するように定めることができる。
また、現在特許出願中の米国特許出願第12/013,342号に解説されているように、論理セルの所定のレベル内、すなわち、論理セルを含むDASの所定のレベル内の導電特徴部は、論理セルの原点に対してインデックス付けすることができる。例えば、所定のレベル内の論理セルの原点は、基板平面に対して垂直な方向に見た時に論理セルの左下コーナに位置すると考えられる。論理セル幅は可変であるから、幅方向の論理セル境界は、所定のDASレベル内で導電特徴部のピッチ又は半ピッチに常に重なるわけではない可能性がある。従って、所定のDASレベルの仮想格子に対する論理セルの原点によっては、論理セルの所定のDASレベル内の導電特徴部を論理セルがチップ上に配置される時に所定のDASレベルの仮想格子と整合するように論理セル原点に対してシフトする必要がある場合がある。上述のように、論理セルの所定のレベルにおける論理セルの原点に対する導電特徴部のシフトを位相整合と呼ぶ。従って、位相整合は、論理セルの原点の位置によっては、論理セルの所定のレベル内の導電特徴部の所定のチップレベルにおけるDASの仮想格子に対する整合を可能にする。例えば、ゲート電極仮想格子が、論理セル境界を横切って延びる場合には、所定の論理セル内の第2の相互接続レベル導電特徴部の第2の相互接続レベル仮想格子への整合を維持するのに位相整合を必要とする場合がある。
図7は、本発明の一実施形態によるDAS内に定められた隣接配置論理セルの第2の相互接続レベル内の異なる位相整合の例を示す図である。図7は、現在特許出願中の米国特許出願第12/013,342号の図33に対応する。図7は、DAS内に互いに隣接して配置された3つの例示的セル(位相Aのセル1、位相Bのセル1、位相Cのセル1)を示している。従って、3つのセルの各々は、DASの各レベル内の仮想格子を共有する。位相整合概念の説明を容易にするために、各セルの第2の相互接続レベル導電特徴部3303を各セルのゲート電極レベル導電特徴部3301の上に重ね合わせて示している。幅方向のセル境界は、ゲート電極の半ピッチに重なる。
セル位相整合原理を示すために図2A〜図4の例に用いた4/3というM2レベル対ゲートレベル仮想格子ピッチ比は、異なるチップレベル間に適用することができる多くの可能な仮想格子ピッチ比の一例であることを理解すべきである。例えば、図7の例示的な実施形態では、3つのゲート電極レベル導電特徴部ピッチ毎に4つの第2の相互接続レベル導電特徴部ピッチが与えられるように、3/4というM2レベル対ゲートレベル仮想格子ピッチ比が用いられる。
各セルの原点は、セルの左下コーナに位置するように示している。第2の相互接続レベルにおけるセル1の各位相整合は、セルの原点への第2の相互接続レベル導電特徴部のインデックス付けによって定められる。図7の例に示しているように、原点に対する第2の相互接続レベル導電特徴部のインデックス、すなわち、間隔は、位相A、B、及びCの各々において順次短縮される。各論理セルの各レベルが適切な位相を有するように定めることにより、所定のDASレベル内の様々な論理セル内に定められた導電特徴部を所定のDASレベルに関連する共通仮想格子に整合することができるように、論理セルを共通DAS内で互いに隣接して配置することができる。更に、一実施形態では、DAS内で隣接するセルは、1つ又はそれよりも多くのDASレベル内の導電特徴部を共有するように定めて配置することができることを理解すべきである。例えば、図7におけるセル1の位相B及びCの具体例は、第2の相互接続レベル導電特徴部を共有するように示している。
一部の実施形態では、動的アレイアーキテクチャは、1つのチップレベルの一部分にしか適用することができず、他のチップレベルの重ね合わせ部分は、動的アレイアーキテクチャ制限に関して制約を受けないことを理解すべきである。例えば、一実施形態では、ゲート電極レベルは、動的アレイアーキテクチャに準拠するように定められ、より高位の相互接続レベルは、制約を受けない方式、すなわち、非動的アレイ方式で定められる。この実施形態では、ゲート電極レベルは、上述のように、仮想格子、及び内部にゲート電極レベル導電特徴部が定められたこの仮想格子の対応する特徴部レイアウトチャンネルによって定められる。また、この実施形態では、非動的アレイのより高位の相互接続レベルのレイアウト特徴部は、仮想格子及び関連する特徴部レイアウトチャンネルに関して制約を受けないとすることができる。例えば、この特定的な実施形態では、ゲート電極レベルの上のあらゆる相互接続レベル内のレイアウト特徴部は、任意の2次元形状レイアウト特徴部を形成するように曲げ部を含むことができる。
上述の実施形態に対する代替として、複数のチップレベルが動的アレイアーキテクチャに従って定められる他の実施形態がある場合がある。本明細書に開示する位相整合技術は、動的アレイアーキテクチャに従って定められるチップレベルの数に関わらず、動的アレイアーキテクチャを用いるあらゆる実施形態に同様に、適用可能であることを理解すべきである。
本明細書に開示するセル位相整合技術は、コンピュータ可読媒体上にデジタルフォーマットのような有形形態で記憶されるレイアウトで定めることができることを理解すべきである。例えば、本明細書に開示するセル位相整合レイアウトは、1つ又はそれよりも多くのセルライブラリから選択可能な1つ又はそれよりも多くのセルのレイアウトデータファイルに記憶することができる。レイアウトデータファイルは、GDSII(グラフィックデータシステム)データベースファイル、OASIS(オープンアートワークシステム交換規格)データベースファイル、又は半導体デバイスレイアウトを記憶及び通信するのに適するあらゆる他の種類のデータファイルフォーマットとしてフォーマット設定することができる。また、セル位相整合技術を利用する多重レベルレイアウトは、より大きい半導体デバイスの多重レベルレイアウト内に含めることができる。より大きい半導体デバイスの多重レベルレイアウトもまた、上述したもののようなレイアウトデータファイルの形態で記憶することができる。
また、本明細書に説明する発明は、コンピュータ可読媒体上のコンピュータ可読コードとして具現化することができる。例えば、コンピュータ可読コードは、セル位相整合技術を含む1つ又はそれよりも多くのレイアウトが内部に記憶されたレイアウトデータファイルを含むことができる。また、コンピュータ可読コードは、内部に定められたセル位相整合技術を利用するレイアウトを含む1つ又はそれよりも多くのレイアウトライブラリ及び/又はセルを選択するためのプログラム命令を含むことができる。レイアウトライブラリ及び/又はセルは、コンピュータ可読媒体上にデジタルフォーマットで記憶することができる。
本明細書に説明するコンピュータ可読媒体は、後でコンピュータシステムによって読み取ることができるデータを記憶することができるあらゆるデータ記憶デバイスである。コンピュータ可読媒体の例は、ハードドライブ、ネットワーク接続ストレージ(NAS;network attached storage)、読取専用メモリ、ランダムアクセスメモリ、CD−ROM、CD−R、CD−RW、磁気テープ、及び他の光学及び非光学のデータ記憶デバイスを含む。コンピュータ可読媒体は、コンピュータ可読コードが、分散方式で記憶及び実行されるように、結合されたコンピュータシステムのネットワーク上で分散させることができる。
本発明の一部を形成する本明細書に説明した作動のいずれも、有用なマシン作動である。本発明は、これらの作動を実施するためのデバイス又は装置にも関する。装置は、特定目的コンピュータのような必要とされる目的に対して特別に構成されたものとすることができる。特定目的コンピュータとして定められた場合には、コンピュータは、特定目的の一部ではない他の処理、プログラム実行、又はルーチンを実行することができ、同時に依然としてこの特定目的に対して作動させることができる。代替的に、作動は、コンピュータメモリ、キャッシュに記憶されるか又はネットワーク上で得られる1つ又はそれよりも多くのコンピュータプログラムによって選択的に作動又は設定される汎用コンピュータによって処理することができる。データがネットワーク上で得られる場合には、これらのデータをネットワーク上の他のコンピュータ、例えば、コンピュータリソースのクラウドによって処理することができる。
本発明の実施形態は、データを1つの状態から別の状態に変換するマシンとして定めることができる。データは、電子信号として表すことができる物品、及び電子的にデータを操作する物品として表すことができる。変換されたデータは、一部の場合には、ディスプレイ上に視覚的に示すことができ、データの変換からもたらされる物理的物体を表している。変換されたデータは、物理的で有形な物体の構成又はレンダリングを可能にする一般的又は特定のフォーマットでストレージに保存することができる。一部の実施形態では、操作はプロセッサによって実施することができる。従って、そのような例では、プロセッサは、データを1つのものから別のものに変換する。更に別の方法は、ネットワーク上で接続することができる1つ又はそれよりも多くのマシン又はプロセッサによって処理することができる。各マシンは、データを1つの状態又はものから別の状態又はものに変換することができ、また、データを処理し、データをストレージに保存し、データをネットワーク上で伝送し、結果を表示するか又は結果を別のマシンに通信することができる。
本明細書に開示するセル位相整合実施形態は、半導体デバイス又はチップの一部として製造することができることを更に理解すべきである。集積回路、メモリセルなどのような半導体デバイスの製作では、半導体ウェーハ上に特徴部を定める一連の製造作動が実施される。ウェーハは、シリコン基板上に定められた多重レベル構造の形態で集積回路デバイスを含む。基板レベルでは、拡散領域を有するトランジスタデバイスが形成される。その後のレベル内では、相互接続金属化線がパターン形成され、トランジスタデバイスに電気的に接続されて、望ましい集積回路デバイスが定められる。また、パターン形成された導電層は、誘電体によって他の導電層から絶縁される。
本発明を一部の実施形態を用いて説明したが、当業者は、上述の明細書を読解し、図面を精査した上で、これらの実施形態の様々な変形、追加、置換、及び均等物を認識することになることは理解されるであろう。従って、本発明は、本発明の真の精神及び範囲に収まる全てのそのような変形、追加、置換、及び均等物を含むように意図している。
3301 ゲート電極レベル導電特徴部
3303 第2の相互接続レベル導電特徴部
DAS 動的アレイ区画(dynamic array section)

Claims (39)

  1. レイアウト特徴部が第1の仮想格子に従って配置された第1のチップレベルと、レイアウト特徴部が第2の仮想格子に従って配置された第2のチップレベルとを含み、該第1及び第2の仮想格子の間に有理空間的関係が存在する論理ブロック区域と、
    前記論理ブロック区域内に配置された複数のセルと、
    を含み、
    前記複数のセルの各々は、複数のセル位相のうちの適切な1つに従って定められ、
    前記複数のセル位相のうちの前記適切な1つは、所定の配置セルの前記第1及び第2のチップレベルのレイアウト特徴部を該所定の配置セル内に位置決めされた時の前記第1及び第2の仮想格子と整合させる、
    ことを特徴とする半導体チップ。
  2. 前記第1の仮想格子は、前記論理ブロック区域内で前記第1のチップレベルにわたって延びる等しく離間した第1の組の平行仮想線によって定められ、
    前記第2の仮想格子は、前記論理ブロック区域内で前記第2のチップレベルにわたって延びる等しく離間した第2の組の平行仮想線によって定められる、
    ことを特徴とする請求項1に記載の半導体チップ。
  3. 前記第1及び第2の仮想格子の間の前記有理空間的関係は、該第1及び第2の仮想格子が共通の向きに置かれ、共通の空間的位置に対してインデックス付けされ、かつ有理数によって定められるそれらの仮想格子ピッチの比を有することを表すことを特徴とする請求項1に記載の半導体チップ。
  4. 前記第1及び第2の仮想格子は、前記有理空間的関係に従って周期的に互いに整合することを特徴とする請求項3に記載の半導体チップ。
  5. 前記第1及び第2の仮想格子は、前記複数のセル位相のうちのいずれにおいても互いに整合しないことを特徴とする請求項3に記載の半導体チップ。
  6. 前記複数のセル位相のいずれかに従って定められた所定のセルが、該所定のセルに関連付けられた同じ論理機能を実行するように定められることを特徴とする請求項1に記載の半導体チップ。
  7. 前記複数のセルは、インタフェースを構成するセル境界が相互整合されるように前記論理ブロック区域内に行で配置されることを特徴とする請求項1に記載の半導体チップ。
  8. 前記複数のセルの各々の高さが、均一であり、
    前記複数のセルの各々の前記高さは、前記第1及び第2の仮想格子の仮想線と平行な方向に測定される、
    ことを特徴とする請求項1に記載の半導体チップ。
  9. 前記複数のセルの各々の幅が、前記第1の仮想格子のピッチの整数倍数であり、
    前記第1の仮想格子の仮想線と平行な各配置セルの各境界が、該第1の仮想格子の仮想線と整合される、
    ことを特徴とする請求項1に記載の半導体チップ。
  10. 前記複数のセルの各々の幅が、前記第1の仮想格子のピッチの整数倍数であり、
    前記第1の仮想格子の仮想線と平行な各配置セルの各境界が、該第1の仮想格子の隣接仮想線の間の中点と整合される、
    ことを特徴とする請求項1に記載の半導体チップ。
  11. 前記複数のセルの各々の幅が、前記第1の仮想格子のピッチの2分の1の整数倍数であり、
    前記第1の仮想格子の仮想線と平行な各配置セルの各境界が、該第1の仮想格子の仮想線又は該第1の仮想格子の隣接仮想線の間の中点のいずれかと整合される、
    ことを特徴とする請求項1に記載の半導体チップ。
  12. 前記複数のセル位相の各々は、前記第1のチップレベルに対する第1のインデックス値によって、かつ前記第2のチップレベルに対する第2のインデックス値によって定められ、
    前記第1のインデックス値は、前記所定の配置セルの左の境界から右方向に前記第1の仮想格子の最も近い仮想線まで垂直に延びる第1の距離に等しく、
    前記第2のインデックス値は、前記所定の配置セルの前記左の境界から前記右方向に前記第2の仮想格子の最も近い仮想線まで垂直に延びる第2の距離に等しい、
    ことを特徴とする請求項1に記載の半導体チップ。
  13. 前記第1のチップレベルは、半導体チップのゲートレベルであり、前記第2のチップレベルは、半導体チップの第2の相互接続レベルであることを特徴とする請求項1に記載の半導体チップ。
  14. 前記第1及び第2の仮想格子の間の前記有理空間的関係は、前記第2の相互接続レベルと前記ゲートレベルの間の3/4という仮想格子ピッチ比によって定められることを特徴とする請求項13に記載の半導体チップ。
  15. 前記複数のセルのうちの一部が、前記第1及び第2の仮想格子の仮想線と平行なセル境界に沿って実質的に中心にある方式で配置された少なくとも1つのレイアウト特徴部を含み、かつ前記第1のチップレベル又は前記第2のチップレベルのいずれかに存在することを特徴とする請求項1に記載の半導体チップ。
  16. 半導体チップの指定区域内のセルの配置を可能にするために異なるセル位相のセル変形を定める方法であって、
    a)半導体チップの指定区域に対して、該半導体チップの該指定区域内に有理空間的関係を有する2つの仮想格子の間の同じ関係の連続する発生の間の垂直に延びる距離として定められる位相空間を識別する段階、
    b)対象セルの左の境界を前記位相空間の左の縁部に整合させる段階、
    c)前記対象セルの前記左の境界が前記位相空間の前記左の縁部に整合した状態で、該対象セルの該左の境界に対する前記2つの仮想格子の位置に基づいて該対象セルの第1の位相を定める段階、
    d)前記対象セルの前記第1の位相をセルライブラリに記憶する段階、
    e)前記対象セルの前記左の境界をその現在の位置から前記位相空間を横切って該位相空間内の該対象セルの該左の境界の次の可能な位置まで移動する段階、
    f)前記対象セルの前記左の境界が前記次の可能な位置に整合した状態で、該対象セルの該左の境界に対する前記2つの仮想格子の位置に基づいて該対象セルの次の位相を定める段階、
    g)前記対象セルの前記次の位相を前記セルライブラリに記憶する段階、及び
    h)前記位相空間内の前記対象セルの前記左の境界の各可能な位置に対して作動e)からg)までを繰り返す段階、
    を含むことを特徴とする方法。
  17. 前記2つの仮想格子の各々は、前記半導体チップの前記指定区域におけるそれぞれのチップレベルにわたって延びる等しく離間した平行仮想線のそれぞれの組によって定められることを特徴とする請求項16に記載の方法。
  18. 前記2つの仮想格子の間の前記有理空間的関係は、該2つの仮想格子が共通の向きに置かれ、前記半導体チップ上の共通の空間的位置に対してインデックス付けされ、かつ有理数によって定められたそれらの仮想格子ピッチの比を有することを表すことを特徴とする請求項16に記載の方法。
  19. 前記対象セルの所定の位相が、該対象セルの該所定の位相を必要とする前記半導体チップの前記指定区域への配置に対して定められる該対象セルの変形を表し、
    前記対象セルの各位相が、該対象セルに関連付けられた同じ論理機能を実行するように定められる、
    ことを特徴とする請求項16に記載の方法。
  20. 前記対象セルの各位相が、前記位相空間を定める前記2つの仮想格子の各々に対するそれぞれのインデックス値を含む1組のインデックス値によって特徴付けられ、該対象セルの特定の位相における特定の仮想格子に対するインデックス値が、該対象セルの前記左の境界と該位相空間内の該特定の仮想格子の最も近い仮想線との間で垂直に測定される距離として定められることを特徴とする請求項16に記載の方法。
  21. 前記位相空間内の前記対象セルの前記左の境界の1組の可能な位置が、該位相空間内の前記2つの仮想格子の一方に対する各許容可能なセル境界位置を含むことを特徴とする請求項16に記載の方法。
  22. 前記2つの仮想格子のうちの第1の仮想格子が、前記半導体チップのゲートレベルに対して定められ、
    前記2つの仮想格子のうちの第2の仮想格子が、前記半導体チップの第2の相互接続レベルに対して定められる、
    ことを特徴とする請求項16に記載の方法。
  23. 前記第1及び第2の仮想格子の間の前記有理空間的関係は、前記第2の相互接続レベルと前記ゲートレベルの間の3/4という仮想格子ピッチ比によって定められることを特徴とする請求項22に記載の方法。
  24. 前記セルライブラリは、コンピュータ可読媒体上にデジタルフォーマットで記憶されることを特徴とする請求項16に記載の方法。
  25. 半導体チップの指定区域内にセルを配置する方法であって、
    2つの位相整合チップレベルの仮想格子が有理空間的関係を有するように定められた半導体チップの指定区域内の2つの位相整合チップレベルの各々に対してそれぞれの仮想格子を定める段階と、
    前記半導体チップの前記指定区域内にセルを配置する段階と、
    前記半導体チップの前記指定区域内の各配置セルに必要とされるセル位相を判断する段階と、
    前記配置セルの置換変形内で前記2つの位相整合チップレベルの各々におけるレイアウト特徴部が該2つの位相整合チップレベルの前記仮想格子と整合するように、前記半導体チップの前記指定区域内の各配置セルの代わりに、前記必要とされるセル位相を有する該配置セルの変形で置換する段階と、
    を含むことを特徴とする方法。
  26. 前記仮想格子の各々が、前記半導体チップの前記指定区域においてその対応する位相整合チップレベルにわたって延びる等しく離間した平行仮想線のそれぞれの組によって定められ、
    前記2つの位相整合チップレベル間の前記有理空間的関係は、該2つの位相整合チップレベルの前記仮想格子が共通の向きに置かれ、前記半導体チップ上の共通の空間的位置に対してインデックス付けされ、かつ有理数によって定められるそれらの仮想格子ピッチの比を有することを表す、
    ことを特徴とする請求項25に記載の方法。
  27. 前記2つの位相整合チップレベルは、ゲートレベル及び第2の相互接続レベルにそれぞれ対応することを特徴とする請求項25に記載の方法。
  28. 前記2つの位相整合チップレベルの両方は、前記半導体チップの前記指定区域の左下コーナに対してインデックス付けされ、かつそれらの仮想格子を該半導体チップの該指定区域にわたって垂直に延びる向きに置き、
    セルが、各セルの幅が前記2つの位相整合チップレベルの前記仮想格子に対して垂直な方向に測定されるように、該半導体チップの該指定区域にわたって水平に延びる行で配置される、
    ことを特徴とする請求項25に記載の方法。
  29. 前記2つの位相整合チップレベルの低い方の仮想格子が、基部仮想格子を定め、
    各セルが、前記基部仮想格子のピッチの整数倍数である幅を有するように定められ、
    各セルが、前記基部仮想格子の仮想線と平行なそのセル境界を該基部仮想格子の隣接仮想線の間の中点と整合させるように配置される、
    ことを特徴とする請求項25に記載の方法。
  30. 前記2つの位相整合チップレベルの低い方の仮想格子が、基部仮想格子を定め、
    各セルが、前記基部仮想格子のピッチの2分の1の整数倍数である幅を有するように定められ、
    各セルが、前記基部仮想格子の仮想線と平行なそのセル境界を該基部仮想格子の隣接仮想線の間の中点又は該基部仮想格子の仮想線のいずれかと整合させるように配置される、 ことを特徴とする請求項25に記載の方法。
  31. 所定の配置セルに対して前記必要とされるセル位相は、前記2つの位相整合チップレベルに対するそれぞれのインデックス値によって識別され、
    前記配置セル内の特定の位相整合チップレベルに対するインデックス値が、該配置セルの左の境界と該特定の位相整合チップレベルの前記仮想格子の最も近い仮想線との間で垂直に右向きに測定される距離として定められる、
    ことを特徴とする請求項25に記載の方法。
  32. 各配置セルに対して、該配置セルの前記2つの位相整合チップレベルに対するインデックス値を計算する段階と、
    前記計算されたインデックス値をセルライブラリ内の前記配置セルの変形の対応するインデックス値に対して比較し、前記半導体チップの前記指定区域において該配置セルを置換する適合するインデックス値を有する該配置セルの特定の変形を識別する段階と、
    を更に含むことを特徴とする請求項25に記載の方法。
  33. 半導体チップレイアウトがデジタルフォーマットで記録されたコンピュータ可読記憶媒体であって、
    前記半導体チップレイアウトは、レイアウト特徴部が第1の仮想格子に従って配置された第1のチップレベルと、レイアウト特徴部が第2の仮想格子に従って配置された第2のチップレベルとを含む論理ブロック区域を含み、
    有理空間的関係が、前記第1及び第2の仮想格子の間に存在し、
    前記半導体チップレイアウトはまた、前記論理ブロック区域内に配置された複数のセルを含み、
    前記複数のセルの各々は、複数のセル位相のうちの適切な1つに従って定められ、
    前記複数のセル位相のうちの前記適切な1つは、所定の配置セルの前記第1及び第2のチップレベルにおけるレイアウト特徴部を該所定の配置セル内に位置決めされた時の前記第1及び第2の仮想格子と整合させる、
    ことを特徴とするコンピュータ可読記憶媒体。
  34. 前記デジタルフォーマットは、1つ又はそれよりも多くの半導体デバイスレイアウトを記憶してそれと通信するためのデータファイルフォーマットであることを特徴とする請求項33に記載のコンピュータ可読記憶媒体。
  35. 前記半導体チップレイアウト又はその一部分にアクセスしてコンピュータ可読記憶媒体から前記デジタルフォーマットでそれを取り出すためのプログラム命令を含むことを特徴とする請求項33に記載のコンピュータ可読記憶媒体。
  36. アクセスして取り出すための前記プログラム命令は、前記デジタルフォーマットの前記半導体チップレイアウトの選択可能部分を含むライブラリ、セル、又はライブラリとセルの両方を選択するためのプログラム命令を含むことを特徴とする請求項35に記載のコンピュータ可読記憶媒体。
  37. コンピュータ可読記憶媒体上にデジタルフォーマットで記憶されたセルライブラリであって、
    所定のセルの異なる位相に対応する複数のセルレイアウト、
    を含み、
    前記所定のセルは、レイアウト特徴部が仮想格子に従って配置された少なくとも1つのチップレベルを含み、
    前記仮想格子は、前記セルレイアウトにわたって延びる1組の平行な等しく離間した仮想線によって定められ、
    前記所定のセルの各異なる位相が、基準セル境界と前記仮想格子の最も近い仮想線との間の異なる間隔によって定められる、
    ことを特徴とするセルライブラリ。
  38. 前記仮想格子に従って配置されたいずれの所定のレイアウト特徴部も、該仮想格子の所定の仮想線に沿って長さ方向に、かつ該所定の仮想線に隣接する隣接仮想線の間で幅方向に延びる特徴部レイアウトチャンネル内に定められることを特徴とする請求項37に記載のコンピュータ可読記憶媒体上にデジタルフォーマットで記憶されたセルライブラリ。
  39. 所定の特徴部レイアウトチャンネル内に定められた各レイアウト特徴部が、いずれの他の特徴部レイアウトチャンネル内に定められたいずれの他のレイアウト特徴部とも物理的に接触することが禁止されることを特徴とする請求項38に記載のコンピュータ可読記憶媒体上にデジタルフォーマットで記憶されたセルライブラリ。
JP2017095825A 2008-07-16 2017-05-12 動的アレイアーキテクチャにおけるセル位相整合及び配置の方法及びその実施 Pending JP2017143307A (ja)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US8137008P 2008-07-16 2008-07-16
US61/081,370 2008-07-16
US12/497,052 US8214778B2 (en) 2007-08-02 2009-07-02 Methods for cell phasing and placement in dynamic array architecture and implementation of the same
US12/497,052 2009-07-02

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2016081684A Division JP6412050B2 (ja) 2008-07-16 2016-04-15 動的アレイアーキテクチャにおけるセル位相整合及び配置の方法及びその実施

Publications (1)

Publication Number Publication Date
JP2017143307A true JP2017143307A (ja) 2017-08-17

Family

ID=41550966

Family Applications (4)

Application Number Title Priority Date Filing Date
JP2011518789A Expired - Fee Related JP5599395B2 (ja) 2008-07-16 2009-07-02 動的アレイアーキテクチャにおけるセル位相整合及び配置の方法及びその実施
JP2014114053A Expired - Fee Related JP5923135B2 (ja) 2008-07-16 2014-06-02 動的アレイアーキテクチャにおけるセル位相整合及び配置の方法及びその実施
JP2016081684A Expired - Fee Related JP6412050B2 (ja) 2008-07-16 2016-04-15 動的アレイアーキテクチャにおけるセル位相整合及び配置の方法及びその実施
JP2017095825A Pending JP2017143307A (ja) 2008-07-16 2017-05-12 動的アレイアーキテクチャにおけるセル位相整合及び配置の方法及びその実施

Family Applications Before (3)

Application Number Title Priority Date Filing Date
JP2011518789A Expired - Fee Related JP5599395B2 (ja) 2008-07-16 2009-07-02 動的アレイアーキテクチャにおけるセル位相整合及び配置の方法及びその実施
JP2014114053A Expired - Fee Related JP5923135B2 (ja) 2008-07-16 2014-06-02 動的アレイアーキテクチャにおけるセル位相整合及び配置の方法及びその実施
JP2016081684A Expired - Fee Related JP6412050B2 (ja) 2008-07-16 2016-04-15 動的アレイアーキテクチャにおけるセル位相整合及び配置の方法及びその実施

Country Status (8)

Country Link
US (6) US8214778B2 (ja)
EP (1) EP2321748B1 (ja)
JP (4) JP5599395B2 (ja)
KR (4) KR101739709B1 (ja)
MY (2) MY152456A (ja)
SG (2) SG10201608214SA (ja)
TW (1) TWI402709B (ja)
WO (1) WO2010008948A2 (ja)

Families Citing this family (56)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7448012B1 (en) 2004-04-21 2008-11-04 Qi-De Qian Methods and system for improving integrated circuit layout
US8653857B2 (en) 2006-03-09 2014-02-18 Tela Innovations, Inc. Circuitry and layouts for XOR and XNOR logic
US7956421B2 (en) 2008-03-13 2011-06-07 Tela Innovations, Inc. Cross-coupled transistor layouts in restricted gate level layout architecture
US7763534B2 (en) 2007-10-26 2010-07-27 Tela Innovations, Inc. Methods, structures and designs for self-aligning local interconnects used in integrated circuits
US9563733B2 (en) 2009-05-06 2017-02-07 Tela Innovations, Inc. Cell circuit and layout with linear finfet structures
US9230910B2 (en) 2006-03-09 2016-01-05 Tela Innovations, Inc. Oversized contacts and vias in layout defined by linearly constrained topology
US9035359B2 (en) 2006-03-09 2015-05-19 Tela Innovations, Inc. Semiconductor chip including region including linear-shaped conductive structures forming gate electrodes and having electrical connection areas arranged relative to inner region between transistors of different types and associated methods
US8448102B2 (en) 2006-03-09 2013-05-21 Tela Innovations, Inc. Optimizing layout of irregular structures in regular layout context
US9009641B2 (en) 2006-03-09 2015-04-14 Tela Innovations, Inc. Circuits with linear finfet structures
US8658542B2 (en) 2006-03-09 2014-02-25 Tela Innovations, Inc. Coarse grid design methods and structures
US7446352B2 (en) 2006-03-09 2008-11-04 Tela Innovations, Inc. Dynamic array architecture
US8541879B2 (en) 2007-12-13 2013-09-24 Tela Innovations, Inc. Super-self-aligned contacts and method for making the same
US8839175B2 (en) 2006-03-09 2014-09-16 Tela Innovations, Inc. Scalable meta-data objects
US7908578B2 (en) 2007-08-02 2011-03-15 Tela Innovations, Inc. Methods for designing semiconductor device with dynamic array section
US8667443B2 (en) 2007-03-05 2014-03-04 Tela Innovations, Inc. Integrated circuit cell library for multiple patterning
US8453094B2 (en) 2008-01-31 2013-05-28 Tela Innovations, Inc. Enforcement of semiconductor structure regularity for localized transistors and interconnect
US7939443B2 (en) 2008-03-27 2011-05-10 Tela Innovations, Inc. Methods for multi-wire routing and apparatus implementing same
MY152456A (en) 2008-07-16 2014-09-30 Tela Innovations Inc Methods for cell phasing and placement in dynamic array architecture and implementation of the same
US9122832B2 (en) 2008-08-01 2015-09-01 Tela Innovations, Inc. Methods for controlling microloading variation in semiconductor wafer layout and fabrication
US8631377B2 (en) * 2009-05-14 2014-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for designing cell rows with differing cell heights
US8661392B2 (en) * 2009-10-13 2014-02-25 Tela Innovations, Inc. Methods for cell boundary encroachment and layouts implementing the Same
US9159627B2 (en) 2010-11-12 2015-10-13 Tela Innovations, Inc. Methods for linewidth modification and apparatus implementing the same
KR101888940B1 (ko) * 2012-03-28 2018-08-17 삼성전자주식회사 패턴 레이아웃을 디자인하는 방법
US20140167815A1 (en) * 2012-12-18 2014-06-19 Broadcom Corporation Area reconfigurable cells of a standard cell library
US8739104B1 (en) * 2013-02-28 2014-05-27 Broadcom Corporation Systems and methods for forming an integrated circuit using a standard cell library
US9254998B2 (en) * 2013-03-11 2016-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. MEMS device with a capping substrate
US9501600B2 (en) * 2013-05-02 2016-11-22 Taiwan Semiconductor Manufacturing Company, Ltd. Standard cells for predetermined function having different types of layout
US9245076B2 (en) * 2013-06-03 2016-01-26 International Business Machines Corporation Orthogonal circuit element routing
KR101579467B1 (ko) 2014-02-27 2016-01-04 엘지전자 주식회사 디지털 디바이스 및 그의 서비스 처리 방법
US9400862B2 (en) 2014-06-23 2016-07-26 Synopsys, Inc. Cells having transistors and interconnects including nanowires or 2D material strips
US9378320B2 (en) 2014-06-23 2016-06-28 Synopsys, Inc. Array with intercell conductors including nanowires or 2D material strips
US9361418B2 (en) 2014-06-23 2016-06-07 Synopsys, Inc. Nanowire or 2D material strips interconnects in an integrated circuit cell
US10037397B2 (en) 2014-06-23 2018-07-31 Synopsys, Inc. Memory cell including vertical transistors and horizontal nanowire bit lines
US9449136B2 (en) * 2015-01-20 2016-09-20 Yu-Hsiang Pan Integrated circuit layout structure and method having different cell row heights with different row ratios for area optimization
US9684754B2 (en) * 2015-10-02 2017-06-20 Arm Limited Standard cell architecture layout
US10282503B2 (en) * 2016-06-25 2019-05-07 Qualcomm Incorporated Mitigating length-of-diffusion effect for logic cells and placement thereof
US9977854B2 (en) * 2016-07-12 2018-05-22 Ati Technologies Ulc Integrated circuit implementing standard cells with metal layer segments extending out of cell boundary
US10312229B2 (en) 2016-10-28 2019-06-04 Synopsys, Inc. Memory cells including vertical nanowire transistors
US10503858B1 (en) * 2016-12-30 2019-12-10 Cadence Design Systems, Inc. Method, system, and computer program product for implementing group legal placement on rows and grids for an electronic design
US10515180B1 (en) 2016-12-30 2019-12-24 Cadence Design Systems, Inc. Method, system, and computer program product to implement snapping for an electronic design
US10402530B1 (en) 2016-12-30 2019-09-03 Cadence Design Systems, Inc. Method, system, and computer program product for implementing placement using row templates for an electronic design
US10346943B2 (en) * 2017-01-03 2019-07-09 Microsoft Technology Licensing, Llc Prefetching for a graphics shader
US10452807B1 (en) 2017-03-31 2019-10-22 Cadence Design Systems, Inc. Method, system, and computer program product for implementing routing aware placement for an electronic design
US10642949B2 (en) 2017-06-07 2020-05-05 Taiwan Semiconductor Manufacturing Co., Ltd. Cell placement site optimization
US11205616B2 (en) * 2017-06-20 2021-12-21 Intel Corporation Internal node jumper for memory bit cells
US10515177B1 (en) 2017-06-29 2019-12-24 Cadence Design Systems, Inc. Method, system, and computer program product for implementing routing aware placement or floor planning for an electronic design
DE102017127276A1 (de) 2017-08-30 2019-02-28 Taiwan Semiconductor Manufacturing Co., Ltd. Standardzellen und abwandlungen davon innerhalb einer standardzellenbibliothek
US10741539B2 (en) * 2017-08-30 2020-08-11 Taiwan Semiconductor Manufacturing Co., Ltd. Standard cells and variations thereof within a standard cell library
EP3685438A4 (en) * 2017-09-20 2021-07-21 INTEL Corporation HANDLING OF MULTIVERSION LIBRARY CELLS AND INTEGRATED CIRCUIT STRUCTURES MANUFACTURED FROM THEM
US10628544B2 (en) * 2017-09-25 2020-04-21 International Business Machines Corporation Optimizing integrated circuit designs based on interactions between multiple integration design rules
KR101966949B1 (ko) 2017-11-15 2019-04-09 (주)티에스이 스마트폰 보호 케이스 및 이의 제조방법
US10495443B1 (en) * 2018-07-26 2019-12-03 Qiagen Sciences, Llc Fiducial marking system
KR102628894B1 (ko) * 2018-12-05 2024-01-24 삼성전자주식회사 단위 배선 구조를 갖는 집적 회로, 그 제조 방법 및 설계 방법
KR20200130020A (ko) 2019-05-10 2020-11-18 삼성전자주식회사 하우징, 하우징 제조 방법 및 그것을 포함하는 전자 장치
KR20210128842A (ko) 2020-04-17 2021-10-27 삼성전자주식회사 금속 하우징을 포함하는 전자 장치
US11403454B2 (en) * 2020-11-09 2022-08-02 Synopsys, Inc. Placement and simulation of cell in proximity to cell with diffusion break

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0289342A (ja) * 1988-09-27 1990-03-29 Nec Corp スタンダードセル上配線通過位置登録方法
JP2004342757A (ja) * 2003-05-14 2004-12-02 Toshiba Corp 半導体集積回路及びその設計方法
US20060136848A1 (en) * 2004-12-20 2006-06-22 Matsushita Electric Industrial Co., Ltd. Cell, standard cell, standard cell library, a placement method using standard cell, and a semiconductor integrated circuit
US20070004147A1 (en) * 2005-06-30 2007-01-04 Tetsurou Toubou Semiconductor integrated circuit, standard cell, standard cell library, semiconductor integrated circuit designing method, and semiconductor integrated circuit designing equipment
US20070228419A1 (en) * 2006-03-31 2007-10-04 Fujitsu Limited Unit cell of semiconductor integrated circuit and wiring method and wiring program using unit cell

Family Cites Families (694)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3521242A (en) 1967-05-02 1970-07-21 Rca Corp Complementary transistor write and ndro for memory cell
US4069493A (en) 1970-10-02 1978-01-17 Thomson-Csf Novel integrated circuit and method of manufacturing same
US4197555A (en) 1975-12-29 1980-04-08 Fujitsu Limited Semiconductor device
JPS5746536A (en) 1980-09-04 1982-03-17 Matsushita Electric Ind Co Ltd Gate circuit
US4424460A (en) 1981-07-14 1984-01-03 Rockwell International Corporation Apparatus and method for providing a logical exclusive OR/exclusive NOR function
JPS5943824B2 (ja) 1982-03-03 1984-10-24 三菱電機株式会社 半導体集積回路装置
JPS58182242A (ja) 1982-04-19 1983-10-25 Nec Corp 半導体集積回路装置
JPS58182242U (ja) 1982-05-28 1983-12-05 パイオニア株式会社 スライドロツク付プツシユボタン
JPS58215827A (ja) 1982-06-09 1983-12-15 Toshiba Corp 論理回路
JPS5943548A (ja) 1982-09-06 1984-03-10 Hitachi Ltd 半導体集積回路装置
US4613940A (en) 1982-11-09 1986-09-23 International Microelectronic Products Method and structure for use in designing and building electronic systems in integrated circuits
JPS6035532A (ja) 1983-07-29 1985-02-23 Fujitsu Ltd マスタスライス集積回路装置
US4575648A (en) 1983-12-23 1986-03-11 At&T Bell Laboratories Complementary field effect transistor EXCLUSIVE OR logic gates
US5121186A (en) 1984-06-15 1992-06-09 Hewlett-Packard Company Integrated circuit device having improved junction connections
KR940002772B1 (ko) 1984-08-31 1994-04-02 가부시기가이샤 히다찌세이사꾸쇼 반도체 집적회로 장치 및 그 제조방법
US5545904A (en) 1986-01-17 1996-08-13 Quick Technologies Ltd. Personalizable gate array devices
JPH0695570B2 (ja) 1985-02-07 1994-11-24 三菱電機株式会社 半導体集積回路装置
JPS61202451A (ja) * 1985-03-05 1986-09-08 Nec Corp 半導体集積回路の配線構体
US4657628A (en) 1985-05-01 1987-04-14 Texas Instruments Incorporated Process for patterning local interconnects
US4804636A (en) 1985-05-01 1989-02-14 Texas Instruments Incorporated Process for making integrated circuits having titanium nitride triple interconnect
US4975756A (en) 1985-05-01 1990-12-04 Texas Instruments Incorporated SRAM with local interconnect
JPH0216605Y2 (ja) 1985-05-02 1990-05-08
US4602270A (en) 1985-05-17 1986-07-22 United Technologies Corporation Gate array with reduced isolation
JPS6247148A (ja) * 1985-08-27 1987-02-28 Toshiba Corp 半導体集積回路装置
JPS62169472A (ja) 1986-01-22 1987-07-25 Hitachi Ltd 半導体集積回路装置
US5097422A (en) 1986-10-10 1992-03-17 Cascade Design Automation Corporation Method and apparatus for designing integrated circuits
US4745084A (en) 1986-11-12 1988-05-17 Vlsi Technology, Inc. Method of making a customized semiconductor integrated device
US4884115A (en) 1987-02-27 1989-11-28 Siemens Aktiengesellschaft Basic cell for a gate array arrangement in CMOS Technology
US4801986A (en) 1987-04-03 1989-01-31 General Electric Company Vertical double diffused metal oxide semiconductor VDMOS device with increased safe operating area and method
JP2742052B2 (ja) 1987-06-12 1998-04-22 日本電信電話株式会社 相補型misマスタスライス論理集積回路
JPH067345B2 (ja) 1987-06-24 1994-01-26 株式会社 エイ・ティ・ア−ル自動翻訳電話研究所 ベクトル量子化を用いた音声認識方式
US5119313A (en) 1987-08-04 1992-06-02 Texas Instruments Incorporated Comprehensive logic circuit layout system
KR100212098B1 (ko) 1987-09-19 1999-08-02 가나이 쓰도무 반도체 집적회로 장치 및 그 제조 방법과 반도체 집적 회로 장치의 배선기판 및 그 제조 방법
US5068603A (en) 1987-10-07 1991-11-26 Xilinx, Inc. Structure and method for producing mask-programmed integrated circuits which are pin compatible substitutes for memory-configured logic arrays
US4812688A (en) 1987-12-30 1989-03-14 International Business Machines Corporation Transistor delay circuits
JPH01284115A (ja) 1988-05-11 1989-11-15 Sharp Corp 論理回路
US5268319A (en) 1988-06-08 1993-12-07 Eliyahou Harari Highly compact EPROM and flash EEPROM devices
US4928160A (en) 1989-01-17 1990-05-22 Ncr Corporation Gate isolated base cell structure with off-grid gate polysilicon pattern
JPH02198154A (ja) 1989-01-27 1990-08-06 Hitachi Ltd 配線の形成方法及びこれを利用した半導体装置
US5224057A (en) 1989-02-28 1993-06-29 Kabushiki Kaisha Toshiba Arrangement method for logic cells in semiconductor IC device
US5351197A (en) * 1989-04-13 1994-09-27 Cascade Design Automation Corporation Method and apparatus for designing the layout of a subcircuit in an integrated circuit
JPH03165061A (ja) 1989-11-22 1991-07-17 Hitachi Ltd 半導体集積回路装置
US5298774A (en) 1990-01-11 1994-03-29 Mitsubishi Denki Kabushiki Kaisha Gate array system semiconductor integrated circuit device
US5483104A (en) 1990-01-12 1996-01-09 Paradigm Technology, Inc. Self-aligning contact and interconnect structure
KR100199258B1 (ko) 1990-02-09 1999-06-15 가나이 쓰도무 반도체집적회로장치
US6100025A (en) 1990-04-20 2000-08-08 Cold Spring Harbor Laboratory Cloning by complementation and related processes
US5977305A (en) 1990-04-20 1999-11-02 Cold Spring Harbor Laboratories Cloning by complementation and related processes
US5047979A (en) 1990-06-15 1991-09-10 Integrated Device Technology, Inc. High density SRAM circuit with ratio independent memory cells
US5208765A (en) 1990-07-20 1993-05-04 Advanced Micro Devices, Inc. Computer-based method and system for product development
US5079614A (en) 1990-09-26 1992-01-07 S-Mos Systems, Inc. Gate array architecture with basic cell interleaved gate electrodes
JP3017789B2 (ja) 1990-10-18 2000-03-13 三菱電機株式会社 半導体集積回路装置のレイアウト設計方法
JP2851447B2 (ja) 1991-03-08 1999-01-27 三菱電機株式会社 形状シミュレーション方法
JPH05152937A (ja) 1991-11-26 1993-06-18 Hitachi Ltd 論理ゲート回路
JP3129336B2 (ja) 1991-12-09 2001-01-29 沖電気工業株式会社 半導体記憶装置
US7071060B1 (en) 1996-02-28 2006-07-04 Sandisk Corporation EEPROM with split gate source side infection with sidewall spacers
US5242770A (en) 1992-01-16 1993-09-07 Microunity Systems Engineering, Inc. Mask for photolithography
JP2760195B2 (ja) 1992-01-20 1998-05-28 日本電気株式会社 論理回路
US5526307A (en) 1992-01-22 1996-06-11 Macronix International Co., Ltd. Flash EPROM integrated circuit architecture
JPH05218362A (ja) 1992-02-04 1993-08-27 Sharp Corp ゲートアレイのベーシックセル
US5367187A (en) 1992-12-22 1994-11-22 Quality Semiconductor, Inc. Master slice gate array integrated circuits with basic cells adaptable for both input/output and logic functions
IT1257184B (it) 1992-12-22 1996-01-10 Applied Research Systems Preparato ad attivita' antinfiammatoria, anticoagulante e antitumorale
US5420447A (en) 1993-01-29 1995-05-30 Sgs-Thomson Microelectronics, Inc. Double buffer base gate array cell
US5359226A (en) 1993-02-02 1994-10-25 Paradigm Technology, Inc. Static memory with self aligned contacts and split word lines
US5497334A (en) 1993-02-19 1996-03-05 International Business Machines Corporation Application generator for use in verifying a hierarchical circuit design
US5410107A (en) 1993-03-01 1995-04-25 The Board Of Trustees Of The University Of Arkansas Multichip module
FR2702595B1 (fr) 1993-03-11 1996-05-24 Toshiba Kk Structure de câblage multicouche.
US5536955A (en) 1993-03-29 1996-07-16 Toppan Electronics (Usa) Inc. Electronic devices for use in generating integrated circuit structures and method therefor
US5338963A (en) 1993-04-05 1994-08-16 International Business Machines Corporation Soft error immune CMOS static RAM cell
US5691218A (en) 1993-07-01 1997-11-25 Lsi Logic Corporation Method of fabricating a programmable polysilicon gate array base cell structure
US5396128A (en) 1993-09-13 1995-03-07 Motorola, Inc. Output circuit for interfacing integrated circuits having different power supply potentials
JP3285438B2 (ja) 1993-10-29 2002-05-27 三菱電機株式会社 半導体記憶装置
JP3144967B2 (ja) 1993-11-08 2001-03-12 株式会社日立製作所 半導体集積回路およびその製造方法
JP2746087B2 (ja) 1993-12-01 1998-04-28 日本電気株式会社 半導体集積回路
US5625568A (en) 1993-12-22 1997-04-29 Vlsi Technology, Inc. Method and apparatus for compacting integrated circuits with standard cell architectures
JP2684980B2 (ja) 1993-12-24 1997-12-03 日本電気株式会社 半導体記憶装置及びその製造方法
US6675361B1 (en) 1993-12-27 2004-01-06 Hyundai Electronics America Method of constructing an integrated circuit comprising an embedded macro
US5378649A (en) 1994-04-08 1995-01-03 United Microelectronics Corporation Process for producing non-volatile memory devices having closely spaced buried bit lines and non-overlapping code implant areas
US5636002A (en) 1994-04-29 1997-06-03 Lucent Technologies Inc. Auxiliary mask features for enhancing the resolution of photolithography
JP3463180B2 (ja) 1994-05-02 2003-11-05 Necトーキン株式会社 磁性ガーネット酸化物粉末の製造方法及び磁性ガーネット酸化物膜の製造方法
US5591995A (en) 1994-05-10 1997-01-07 Texas Instruments, Incorporated Base cell for BiCMOS and CMOS gate arrays
TW297158B (ja) 1994-05-27 1997-02-01 Hitachi Ltd
JP3202490B2 (ja) 1994-07-22 2001-08-27 株式会社東芝 集積回路のレイアウト方法及び集積回路のレイアウト装置
JP3469362B2 (ja) 1994-08-31 2003-11-25 株式会社東芝 半導体記憶装置
US5528177A (en) 1994-09-16 1996-06-18 Research Foundation Of State University Of New York Complementary field-effect transistor logic circuits for wave pipelining
US5497337A (en) 1994-10-21 1996-03-05 International Business Machines Corporation Method for designing high-Q inductors in silicon technology without expensive metalization
US5852562A (en) * 1994-12-13 1998-12-22 Matsushita Electric Industrial Co., Ltd. Method and apparatus for designing an LSI layout utilizing cells having a predetermined wiring height in order to reduce wiring zones
JPH08292938A (ja) 1995-02-24 1996-11-05 Fujitsu Ltd 有限要素メッシュ発生方法及び装置、並びに解析方法及び装置
JP2647045B2 (ja) 1995-02-28 1997-08-27 日本電気株式会社 半導体記憶装置及びその製造方法
US5682323A (en) 1995-03-06 1997-10-28 Lsi Logic Corporation System and method for performing optical proximity correction on macrocell libraries
US5581098A (en) 1995-05-05 1996-12-03 Circuit Integration Technology, Inc. Circuit routing structure using fewer variable masks
JP3708168B2 (ja) 1995-06-13 2005-10-19 富士通株式会社 遅延装置
JP3535615B2 (ja) 1995-07-18 2004-06-07 株式会社ルネサステクノロジ 半導体集積回路装置
US5774367A (en) 1995-07-24 1998-06-30 Motorola, Inc. Method of selecting device threshold voltages for high speed and low power
US5764533A (en) 1995-08-01 1998-06-09 Sun Microsystems, Inc. Apparatus and methods for generating cell layouts
US5754826A (en) 1995-08-04 1998-05-19 Synopsys, Inc. CAD and simulation system for targeting IC designs to multiple fabrication processes
US5841663A (en) 1995-09-14 1998-11-24 Vlsi Technology, Inc. Apparatus and method for synthesizing integrated circuits using parameterized HDL modules
US5789776A (en) 1995-09-22 1998-08-04 Nvx Corporation Single poly memory cell and array
JPH0993118A (ja) 1995-09-22 1997-04-04 Kawasaki Steel Corp パストランジスタ論理回路
JPH0997885A (ja) 1995-09-28 1997-04-08 Denso Corp ゲートアレイ
US5973369A (en) 1997-03-11 1999-10-26 Nec Corporation SRAM having P-channel TFT as load element with less series-connected high resistance
US5723883A (en) 1995-11-14 1998-03-03 In-Chip Gate array cell architecture and routing scheme
US5640342A (en) 1995-11-20 1997-06-17 Micron Technology, Inc. Structure for cross coupled thin film transistors and static random access memory cell
JP3400215B2 (ja) 1995-11-21 2003-04-28 沖電気工業株式会社 半導体装置
JP3486725B2 (ja) 1995-11-28 2004-01-13 株式会社ルネサステクノロジ 可変論理集積回路
JP3934719B2 (ja) 1995-12-22 2007-06-20 株式会社東芝 光近接効果補正方法
US6043562A (en) 1996-01-26 2000-03-28 Micron Technology, Inc. Digit line architecture for dynamic memory
KR100229577B1 (ko) 1996-01-31 1999-11-15 포만 제프리 엘 게이트 어레이 셀 및 이것을 포함한 집적 회로 칩
US5798298A (en) 1996-02-09 1998-08-25 United Microelectronics Corporation Method of automatically generating dummy metals for multilevel interconnection
US5705301A (en) 1996-02-27 1998-01-06 Lsi Logic Corporation Performing optical proximity correction with the aid of design rule checkers
US6269472B1 (en) 1996-02-27 2001-07-31 Lsi Logic Corporation Optical proximity correction method and apparatus
US5698873A (en) 1996-03-08 1997-12-16 Lsi Logic Corporation High density gate array base cell architecture
JPH09282349A (ja) 1996-04-17 1997-10-31 Shinko Electric Ind Co Ltd データ変換処理装置
JP2914292B2 (ja) 1996-04-25 1999-06-28 日本電気株式会社 半導体装置
US5740068A (en) 1996-05-30 1998-04-14 International Business Machines Corporation Fidelity enhancement of lithographic and reactive-ion-etched images by optical proximity correction
JP2809200B2 (ja) 1996-06-03 1998-10-08 日本電気株式会社 半導体装置の製造方法
US5935763A (en) 1996-06-11 1999-08-10 International Business Machines Corporation Self-aligned pattern over a reflective layer
US6026223A (en) 1996-06-28 2000-02-15 Scepanovic; Ranko Advanced modular cell placement system with overlap remover with minimal noise
JP3311244B2 (ja) 1996-07-15 2002-08-05 株式会社東芝 基本セルライブラリ及びその形成方法
US5796128A (en) 1996-07-25 1998-08-18 Translogic Technology, Inc. Gate array with fully wired multiplexer circuits
JP2918101B2 (ja) 1996-07-25 1999-07-12 日本電気株式会社 半導体集積回路のレイアウト方法
US5920486A (en) 1996-08-16 1999-07-06 International Business Machines Corporation Parameterized cells for generating dense layouts of VLSI circuits
JP3152635B2 (ja) 1996-09-09 2001-04-03 三洋電機株式会社 マスタスライス方式の基本セル、半導体集積回路装置、フリップフロップ回路、排他的論理和回路、マルチプレクサ及び加算器
US5858580A (en) 1997-09-17 1999-01-12 Numerical Technologies, Inc. Phase shifting circuit manufacture method and apparatus
US5790417A (en) 1996-09-25 1998-08-04 Taiwan Semiconductor Manufacturing Company Ltd. Method of automatic dummy layout generation
US5923060A (en) 1996-09-27 1999-07-13 In-Chip Systems, Inc. Reduced area gate array cell design based on shifted placement of alternate rows of cells
US5684733A (en) 1996-09-30 1997-11-04 Holtek Microelectronics, Inc. Fixed resistance high density parallel ROM device
JP3529563B2 (ja) * 1996-10-09 2004-05-24 株式会社東芝 半導体集積回路の再レイアウト方法及び半導体集積回路の再レイアウトプログラムを記録した媒体
US6209123B1 (en) 1996-11-01 2001-03-27 Motorola, Inc. Methods of placing transistors in a circuit layout and semiconductor device with automatically placed transistors
US5984510A (en) 1996-11-01 1999-11-16 Motorola Inc. Automatic synthesis of standard cell layouts
US6099584A (en) 1996-12-06 2000-08-08 Vsli Technology, Inc. System to fix post-layout timing and design rules violations
JP3523762B2 (ja) 1996-12-19 2004-04-26 株式会社東芝 半導体記憶装置
JP3352895B2 (ja) 1996-12-25 2002-12-03 株式会社東芝 半導体集積回路、半導体集積回路の設計方法および製造方法
JP3420694B2 (ja) 1996-12-27 2003-06-30 株式会社東芝 スタンダードセル方式の集積回路
JPH10189746A (ja) 1996-12-27 1998-07-21 Oki Electric Ind Co Ltd Lsi論理回路の配線レイアウト方法
JP3180700B2 (ja) 1997-02-03 2001-06-25 日本電気株式会社 半導体集積回路装置
JP3036588B2 (ja) 1997-02-03 2000-04-24 日本電気株式会社 半導体記憶装置
JP3352349B2 (ja) 1997-02-24 2002-12-03 シャープ株式会社 双方向サイリスタ素子
US5900340A (en) 1997-03-03 1999-05-04 Motorola, Inc. One dimensional lithographic proximity correction using DRC shape functions
US5977574A (en) 1997-03-28 1999-11-02 Lsi Logic Corporation High density gate array cell architecture with sharing of well taps between cells
US6393601B1 (en) 1997-04-14 2002-05-21 Matsushita Electric Industrial Co., Ltd. Layout designing apparatus for integrated circuit, transistor size determining apparatus, circuit characteristic evaluating method, and transistor size determining method
US5880991A (en) 1997-04-14 1999-03-09 International Business Machines Corporation Structure for low cost mixed memory integration, new NVRAM structure, and process for forming the mixed memory and NVRAM structure
JP3178799B2 (ja) 1997-04-18 2001-06-25 シャープ株式会社 Mos論理回路及びこのmos論理回路を備えた半導体装置
KR100227621B1 (ko) 1997-05-22 1999-11-01 김영환 반도체 소자의 트랜지스터 제조방법
US6005296A (en) 1997-05-30 1999-12-21 Stmicroelectronics, Inc. Layout for SRAM structure
US6445049B1 (en) 1997-06-30 2002-09-03 Artisan Components, Inc. Cell based array comprising logic, transfer and drive cells
US6282696B1 (en) 1997-08-15 2001-08-28 Lsi Logic Corporation Performing optical proximity correction with the aid of design rule checkers
US6470489B1 (en) 1997-09-17 2002-10-22 Numerical Technologies, Inc. Design rule checking system and method
US6370679B1 (en) 1997-09-17 2002-04-09 Numerical Technologies, Inc. Data hierarchy layout correction and verification method and apparatus
US6009251A (en) 1997-09-30 1999-12-28 Synopsys, Inc. Method and system for layout verification of an integrated circuit design with reusable subdesigns
US6114071A (en) 1997-11-24 2000-09-05 Asml Masktools Netherlands B.V. Method of fine feature edge tuning with optically-halftoned mask
JP3701781B2 (ja) 1997-11-28 2005-10-05 株式会社ルネサステクノロジ 論理回路とその作成方法
DE69727581D1 (de) 1997-11-28 2004-03-18 St Microelectronics Srl RAM-Speicherzelle mit niedriger Leistungsaufnahme
JP3926011B2 (ja) 1997-12-24 2007-06-06 株式会社ルネサステクノロジ 半導体装置の設計方法
JP3777768B2 (ja) 1997-12-26 2006-05-24 株式会社日立製作所 半導体集積回路装置およびセルライブラリを記憶した記憶媒体および半導体集積回路の設計方法
KR100278273B1 (ko) 1997-12-30 2001-02-01 김영환 반도체장치의콘택홀형성방법
US6249902B1 (en) 1998-01-09 2001-06-19 Silicon Perspective Corporation Design hierarchy-based placement
US6571140B1 (en) 1998-01-15 2003-05-27 Eutech Cybernetics Pte Ltd. Service-oriented community agent
JPH11214662A (ja) 1998-01-29 1999-08-06 Mitsubishi Electric Corp 半導体装置
US6091845A (en) 1998-02-24 2000-07-18 Micron Technology, Inc. Inspection technique of photomask
US6230299B1 (en) 1998-03-31 2001-05-08 Mentor Graphics Corporation Method and apparatus for extracting and storing connectivity and geometrical data for a deep sub-micron integrated circuit design
US6378110B1 (en) 1998-03-31 2002-04-23 Synopsys, Inc. Layer-based rule checking for an integrated circuit layout
JPH11297856A (ja) 1998-04-16 1999-10-29 Mitsubishi Electric Corp スタティック半導体記憶装置
US5915199A (en) 1998-06-04 1999-06-22 Sharp Microelectronics Technology, Inc. Method for manufacturing a CMOS self-aligned strapped interconnection
US6262487B1 (en) 1998-06-23 2001-07-17 Kabushiki Kaisha Toshiba Semiconductor integrated circuit device, semiconductor integrated circuit wiring method, and cell arranging method
US6063132A (en) 1998-06-26 2000-05-16 International Business Machines Corporation Method for verifying design rule checking software
US6480989B2 (en) 1998-06-29 2002-11-12 Lsi Logic Corporation Integrated circuit design incorporating a power mesh
US6714903B1 (en) 1998-07-10 2004-03-30 Lsi Logic Corporation Placement and routing of circuits using a combined processing/buffer cell
US6240542B1 (en) 1998-07-14 2001-05-29 Lsi Logic Corporation Poly routing for chip interconnects with minimal impact on chip performance
US6182272B1 (en) 1998-07-16 2001-01-30 Lsi Logic Corporation Metal layer assignment
JP3562975B2 (ja) 1998-09-29 2004-09-08 株式会社東芝 集積回路設計方法及び集積回路設計装置
US20020008257A1 (en) 1998-09-30 2002-01-24 John P. Barnak Mosfet gate electrodes having performance tuned work functions and methods of making same
JP2000114262A (ja) 1998-10-05 2000-04-21 Toshiba Corp 半導体装置及びその製造方法
JP3852729B2 (ja) 1998-10-27 2006-12-06 富士通株式会社 半導体記憶装置
US6275973B1 (en) 1998-10-30 2001-08-14 Lsi Logic Corporation Integrated circuit design with delayed cell selection
US6174742B1 (en) 1998-10-30 2001-01-16 Lsi Logic Corporation Off-grid metal layer utilization
US6166415A (en) 1998-11-02 2000-12-26 Mitsubishi Denki Kabushiki Kaisha Semiconductor device with improved noise resistivity
JP3680594B2 (ja) 1998-11-10 2005-08-10 株式会社日立製作所 半導体集積回路
TW476069B (en) 1998-11-20 2002-02-11 Via Tech Inc Placement and routing for array device
AU1913500A (en) 1998-11-25 2000-06-13 Nanopower, Inc. Improved flip-flops and other logic circuits and techniques for improving layouts of integrated circuits
JP4437565B2 (ja) 1998-11-26 2010-03-24 富士通マイクロエレクトロニクス株式会社 半導体集積回路装置、半導体集積回路装置の設計方法、及び、記録媒体
US6477695B1 (en) 1998-12-09 2002-11-05 Artisan Components, Inc. Methods for designing standard cell transistor structures
US6588005B1 (en) 1998-12-11 2003-07-01 Hitachi, Ltd. Method of manufacturing semiconductor integrated circuit device
KR100291384B1 (ko) 1998-12-31 2001-07-12 윤종용 반도체장치의레이아웃방법
US6159839A (en) 1999-02-11 2000-12-12 Vanguard International Semiconductor Corporation Method for fabricating borderless and self-aligned polysilicon and metal contact landing plugs for multilevel interconnections
US6691297B1 (en) 1999-03-04 2004-02-10 Matsushita Electric Industrial Co., Ltd. Method for planning layout for LSI pattern, method for forming LSI pattern and method for generating mask data for LSI
US6480032B1 (en) 1999-03-04 2002-11-12 Intel Corporation Gate array architecture
US6974978B1 (en) 1999-03-04 2005-12-13 Intel Corporation Gate array architecture
US6331733B1 (en) 1999-08-10 2001-12-18 Easic Corporation Semiconductor device
US6194912B1 (en) 1999-03-11 2001-02-27 Easic Corporation Integrated circuit device
US6044007A (en) 1999-03-24 2000-03-28 Advanced Micro Devices, Inc. Modification of mask layout data to improve writeability of OPC
JP3986036B2 (ja) 1999-04-16 2007-10-03 株式会社日立製作所 半導体集積回路装置
US6505328B1 (en) 1999-04-27 2003-01-07 Magma Design Automation, Inc. Method for storing multiple levels of design data in a common database
US6507941B1 (en) 1999-04-28 2003-01-14 Magma Design Automation, Inc. Subgrid detailed routing
JP4565700B2 (ja) 1999-05-12 2010-10-20 ルネサスエレクトロニクス株式会社 半導体装置
US6492066B1 (en) 1999-05-28 2002-12-10 Advanced Micro Devices, Inc. Characterization and synthesis of OPC structures by fourier space analysis and/or wavelet transform expansion
US6425112B1 (en) 1999-06-17 2002-07-23 International Business Machines Corporation Auto correction of error checked simulated printed images
US6381730B1 (en) 1999-07-09 2002-04-30 Sequence Design, Inc. Method and system for extraction of parasitic interconnect impedance including inductance
US6525350B1 (en) 1999-07-16 2003-02-25 Kawasaki Steel Corporation Semiconductor integrated circuit basic cell semiconductor integrated circuit using the same
JP2001056463A (ja) 1999-08-20 2001-02-27 Casio Comput Co Ltd 液晶表示装置
JP2001068558A (ja) 1999-08-30 2001-03-16 Hitachi Ltd 半導体集積回路装置
US6436805B1 (en) 1999-09-01 2002-08-20 Micron Technology, Inc. Local interconnect structures and methods for making the same
US6496965B1 (en) 1999-09-20 2002-12-17 Magma Design Automation, Inc. Automated design of parallel drive standard cells
TW423218B (en) 1999-10-06 2001-02-21 Ind Tech Res Inst Charge-redistribution low-swing differential logic circuit
US6194104B1 (en) 1999-10-12 2001-02-27 Taiwan Semiconductor Manufacturing Company Optical proximity correction (OPC) method for improving lithography process window
US6737347B1 (en) 1999-10-20 2004-05-18 Texas Instruments Incorporated Semiconductor device with fully self-aligned local interconnects, and method for fabricating the device
US6426269B1 (en) 1999-10-21 2002-07-30 International Business Machines Corporation Dummy feature reduction using optical proximity effect correction
US6255845B1 (en) 1999-11-16 2001-07-03 Advanced Micro Devices, Inc. Efficient use of spare gates for post-silicon debug and enhancements
US6570234B1 (en) 1999-11-17 2003-05-27 Aeroflex Utmc Microelectronic Systems, Inc. Radiation resistant integrated circuit design
JP2001144603A (ja) 1999-11-18 2001-05-25 Oki Micro Design Co Ltd レベルシフタ回路およびそれを含むデータ出力回路
JP4070998B2 (ja) 1999-11-18 2008-04-02 ピー・デイ・エフ ソリユーシヨンズ インコーポレイテツド テスト・ダイ
JP2001168707A (ja) 1999-12-03 2001-06-22 Sony Corp 論理回路およびそれを用いた全加算器
US6421820B1 (en) 1999-12-13 2002-07-16 Infineon Technologies Ag Semiconductor device fabrication using a photomask with assist features
US6303252B1 (en) 1999-12-27 2001-10-16 United Microelectronics Corp. Reticle having assist feature between semi-dense lines
US6295224B1 (en) 1999-12-30 2001-09-25 Stmicroelectronics, Inc. Circuit and method of fabricating a memory cell for a static random access memory
KR100346832B1 (ko) 2000-01-12 2002-08-03 삼성전자 주식회사 스태틱 랜덤 억세스 메모리 소자 및 그 제조 방법
US6737199B1 (en) 2000-01-31 2004-05-18 Taiwan Semiconductor Manufacturing Company Using new pattern fracturing rules for optical proximity correction mask-making to improve critical dimension uniformity
US6408427B1 (en) 2000-02-22 2002-06-18 The Regents Of The University Of California Wire width planning and performance optimization for VLSI interconnects
US6331790B1 (en) 2000-03-10 2001-12-18 Easic Corporation Customizable and programmable cell array
US6756811B2 (en) 2000-03-10 2004-06-29 Easic Corporation Customizable and programmable cell array
US6399972B1 (en) 2000-03-13 2002-06-04 Oki Electric Industry Co., Ltd. Cell based integrated circuit and unit cell architecture therefor
US6536028B1 (en) 2000-03-14 2003-03-18 Ammocore Technologies, Inc. Standard block architecture for integrated circuit design
JP2001272228A (ja) 2000-03-24 2001-10-05 Railway Technical Res Inst 相対変位量計測システム及び相対変位量計測方法
US6356112B1 (en) 2000-03-28 2002-03-12 Translogic Technology, Inc. Exclusive or/nor circuit
US6553544B2 (en) 2000-04-04 2003-04-22 Matsushita Electric Industrial Co., Ltd. Method for design of partial circuit
US6416907B1 (en) 2000-04-27 2002-07-09 Micron Technology, Inc. Method for designing photolithographic reticle layout, reticle, and photolithographic process
JP2001306641A (ja) 2000-04-27 2001-11-02 Victor Co Of Japan Ltd 半導体集積回路の自動配置配線方法
TW512424B (en) 2000-05-01 2002-12-01 Asml Masktools Bv Hybrid phase-shift mask
US6583041B1 (en) 2000-05-01 2003-06-24 Advanced Micro Devices, Inc. Microdevice fabrication method using regular arrays of lines and spaces
JP4885365B2 (ja) 2000-05-16 2012-02-29 ルネサスエレクトロニクス株式会社 半導体装置
US6509952B1 (en) 2000-05-23 2003-01-21 Silicon Valley Group, Inc. Method and system for selective linewidth optimization during a lithographic process
US6610607B1 (en) 2000-05-25 2003-08-26 International Business Machines Corporation Method to define and tailor process limited lithographic features using a modified hard mask process
US6617621B1 (en) 2000-06-06 2003-09-09 Virage Logic Corporation Gate array architecture using elevated metal levels for customization
US6445065B1 (en) 2000-06-06 2002-09-03 In-Chip Systems, Inc. Routing driven, metal programmable integrated circuit architecture with multiple types of core cells
US6425113B1 (en) 2000-06-13 2002-07-23 Leigh C. Anderson Integrated verification and manufacturability tool
US6889370B1 (en) * 2000-06-20 2005-05-03 Unisys Corporation Method and apparatus for selecting and aligning cells using a placement tool
JP2002026296A (ja) 2000-06-22 2002-01-25 Internatl Business Mach Corp <Ibm> 半導体集積回路装置
JP2002009160A (ja) 2000-06-26 2002-01-11 Nec Microsystems Ltd 半導体集積回路の自動レイアウト方法、この方法で製造した半導体集積回路及びこの方法を記録した記録媒体
US7225423B2 (en) 2000-06-30 2007-05-29 Zenasis Technologies, Inc. Method for automated design of integrated circuits with targeted quality objectives using dynamically generated building blocks
US6978436B2 (en) 2000-07-05 2005-12-20 Synopsys, Inc. Design data format and hierarchy management for phase processing
US6733929B2 (en) 2000-07-05 2004-05-11 Numerical Technologies, Inc. Phase shift masking for complex patterns with proximity adjustments
US6787271B2 (en) 2000-07-05 2004-09-07 Numerical Technologies, Inc. Design and layout of phase shifting photolithographic masks
US7028285B2 (en) * 2000-07-05 2006-04-11 Synopsys, Inc. Standard cell design incorporating phase information
US6516459B1 (en) 2000-07-10 2003-02-04 Mentor Graphics Corporation Integrated circuit design correction using fragment correspondence
JP4794030B2 (ja) 2000-07-10 2011-10-12 ルネサスエレクトロニクス株式会社 半導体装置
US6632741B1 (en) 2000-07-19 2003-10-14 International Business Machines Corporation Self-trimming method on looped patterns
US6574786B1 (en) 2000-07-21 2003-06-03 Aeroflex UTMC Microelectronics Systems, Inc. Gate array cell generator using cadence relative object design
US20050136340A1 (en) 2000-07-21 2005-06-23 Asml Netherlands B.V. Lithographic apparatus and methods, patterning structure and method for making a patterning structure, device manufacturing method, and device manufactured thereby
US6523162B1 (en) 2000-08-02 2003-02-18 Numerical Technologies, Inc. General purpose shape-based layout processing scheme for IC layout modifications
JP4357101B2 (ja) 2000-08-23 2009-11-04 株式会社ルネサステクノロジ 半導体記憶装置
JP4764987B2 (ja) 2000-09-05 2011-09-07 富士電機株式会社 超接合半導体素子
AU2001290937A1 (en) 2000-09-13 2002-04-02 Massachusetts Institute Of Technology Method of design and fabrication of integrated circuits using regular arrays and gratings
US6800883B2 (en) 2000-09-21 2004-10-05 Matsushita Electric Industrial Co., Ltd. CMOS basic cell and method for fabricating semiconductor integrated circuit using the same
US6557162B1 (en) 2000-09-29 2003-04-29 Numerical Technologies, Inc. Method for high yield reticle formation
US6625801B1 (en) 2000-09-29 2003-09-23 Numerical Technologies, Inc. Dissection of printed edges from a fabrication layout for correcting proximity effects
US6453457B1 (en) 2000-09-29 2002-09-17 Numerical Technologies, Inc. Selection of evaluation point locations based on proximity effects model amplitudes for correcting proximity effects in a fabrication layout
US6794677B2 (en) 2000-10-02 2004-09-21 Matsushita Electric Industrial Co., Ltd. Semiconductor integrated circuit device and method for fabricating the same
US6555450B2 (en) 2000-10-04 2003-04-29 Samsung Electronics Co., Ltd. Contact forming method for semiconductor device
US6566720B2 (en) 2000-10-05 2003-05-20 United Memories, Inc. Base cell layout permitting rapid layout with minimum clock line capacitance on CMOS standard-cell and gate-array integrated circuits
US6978437B1 (en) 2000-10-10 2005-12-20 Toppan Photomasks, Inc. Photomask for eliminating antenna effects in an integrated circuit and integrated circuit manufacture with same
KR20020034313A (ko) 2000-10-31 2002-05-09 박종섭 에스램셀의 제조 방법
US6703170B1 (en) 2000-12-13 2004-03-09 Dupont Photomasks, Inc. Method and apparatus for reducing loading effects on a semiconductor manufacturing component during an etch process
JP2002184870A (ja) 2000-12-18 2002-06-28 Mitsubishi Electric Corp スタティック型半導体記憶装置
KR100355036B1 (ko) 2000-12-22 2002-10-05 삼성전자 주식회사 크로스 커플드 트랜지스터 쌍의 레이아웃 방법
US6992394B2 (en) 2000-12-28 2006-01-31 Infineon Technologies Ag Multi-level conductive lines with reduced pitch
US6553559B2 (en) 2001-01-05 2003-04-22 International Business Machines Corporation Method to determine optical proximity correction and assist feature rules which account for variations in mask dimensions
US6578190B2 (en) 2001-01-11 2003-06-10 International Business Machines Corporation Process window based optical proximity correction of lithographic images
JP2002289703A (ja) 2001-01-22 2002-10-04 Nec Corp 半導体記憶装置およびその製造方法
JP2002252161A (ja) 2001-02-23 2002-09-06 Hitachi Ltd 半導体製造システム
US6792591B2 (en) 2001-02-28 2004-09-14 Asml Masktools B.V. Method of identifying an extreme interaction pitch region, methods of designing mask patterns and manufacturing masks, device manufacturing methods and computer programs
JP4928675B2 (ja) 2001-03-01 2012-05-09 エルピーダメモリ株式会社 半導体装置
JP4736206B2 (ja) 2001-03-05 2011-07-27 大日本印刷株式会社 フォトマスクパタン欠陥検査方法および微細図形パタンの検出方法
EP1241525B1 (en) 2001-03-14 2004-12-15 ASML MaskTools B.V. An optical proximity correction method utilizing ruled ladder bars as sub-resolution assist features
US6514849B1 (en) 2001-04-02 2003-02-04 Advanced Micro Devices, Inc. Method of forming smaller contact size using a spacer hard mask
US6732334B2 (en) 2001-04-02 2004-05-04 Matsushita Electric Industrial Co., Ltd. Analog MOS semiconductor device, manufacturing method therefor, manufacturing program therefor, and program device therefor
US6574779B2 (en) 2001-04-12 2003-06-03 International Business Machines Corporation Hierarchical layout method for integrated circuits
US6505327B2 (en) 2001-04-13 2003-01-07 Numerical Technologies, Inc. Generating an instance-based representation of a design hierarchy
US6524870B2 (en) 2001-04-24 2003-02-25 Pell, Iii Edwin A. Method and apparatus for improving resolution of objects in a semiconductor wafer
JP4187947B2 (ja) 2001-04-26 2008-11-26 株式会社東芝 パターン補正方法、パターン補正装置、およびパターン補正プログラムを記録した記録媒体
US6936908B2 (en) 2001-05-03 2005-08-30 Ixys Corporation Forward and reverse blocking devices
US6553562B2 (en) 2001-05-04 2003-04-22 Asml Masktools B.V. Method and apparatus for generating masks utilized in conjunction with dipole illumination techniques
US6590289B2 (en) 2001-05-17 2003-07-08 Lsi Logic Corporation Hexadecagonal routing
US6523156B2 (en) 2001-06-08 2003-02-18 Library Technologies, Inc. Apparatus and methods for wire load independent logic synthesis and timing closure with constant replacement delay cell libraries
JP2002368135A (ja) 2001-06-12 2002-12-20 Hitachi Ltd 半導体記憶装置
US6759282B2 (en) 2001-06-12 2004-07-06 International Business Machines Corporation Method and structure for buried circuits and devices
JP4746770B2 (ja) 2001-06-19 2011-08-10 ルネサスエレクトロニクス株式会社 半導体装置
US6609235B2 (en) 2001-06-22 2003-08-19 Bae Systems Information And Electronic Systems Integration, Inc. Method for providing a fill pattern for an integrated circuit design
US7079989B2 (en) 2001-06-29 2006-07-18 Shmuel Wimer Arrangements for automatic re-legging of transistors
US6835591B2 (en) 2001-07-25 2004-12-28 Nantero, Inc. Methods of nanotube films and articles
DE10137830A1 (de) 2001-08-02 2003-02-27 Infineon Technologies Ag Verfahren zum Herstellen einer selbstjustierten Struktur auf einem Halbleiter-Wafer
US6684382B2 (en) 2001-08-31 2004-01-27 Numerical Technologies, Inc. Microloading effect correction
DE10143723B4 (de) 2001-08-31 2006-09-28 Infineon Technologies Ag Verfahren zur Optimierung eines Layouts für eine Maske zur Verwendung bei der Halbleiterherstellung
US6633182B2 (en) 2001-09-05 2003-10-14 Carnegie Mellon University Programmable gate array based on configurable metal interconnect vias
JP4786836B2 (ja) 2001-09-07 2011-10-05 富士通セミコンダクター株式会社 配線接続部設計方法及び半導体装置
JP2003092250A (ja) 2001-09-18 2003-03-28 Hitachi Ltd 半導体装置及びその製造方法
JP3989213B2 (ja) 2001-09-25 2007-10-10 シャープ株式会社 パストランジスタ論理回路
JP3637299B2 (ja) 2001-10-05 2005-04-13 松下電器産業株式会社 半導体記憶装置
US7175940B2 (en) 2001-10-09 2007-02-13 Asml Masktools B.V. Method of two dimensional feature model calibration and optimization
JP2003124339A (ja) 2001-10-11 2003-04-25 Toshiba Corp 半導体装置およびその製造方法
JP3526450B2 (ja) 2001-10-29 2004-05-17 株式会社東芝 半導体集積回路およびスタンダードセル配置設計方法
JP2003142584A (ja) 2001-11-05 2003-05-16 Matsushita Electric Ind Co Ltd 半導体集積回路装置の設計方法
JP2003218238A (ja) 2001-11-14 2003-07-31 Mitsubishi Electric Corp 半導体記憶装置
US6673638B1 (en) 2001-11-14 2004-01-06 Kla-Tencor Corporation Method and apparatus for the production of process sensitive lithographic features
JP3789351B2 (ja) 2001-11-30 2006-06-21 株式会社日立製作所 反射型液晶表示装置及びその製造方法
JP2003168640A (ja) 2001-12-03 2003-06-13 Hitachi Ltd 半導体装置の製造方法
JP2003188361A (ja) 2001-12-20 2003-07-04 Mitsubishi Electric Corp ゲートアレイ構造の半導体集積回路
JP3828419B2 (ja) 2001-12-25 2006-10-04 株式会社東芝 半導体装置及びその製造方法
US6787469B2 (en) 2001-12-28 2004-09-07 Texas Instruments Incorporated Double pattern and etch of poly with hard mask
US7159197B2 (en) 2001-12-31 2007-01-02 Synopsys, Inc. Shape-based geometry engine to perform smoothing and other layout beautification operations
US6817000B2 (en) 2002-01-02 2004-11-09 International Business Machines Corporation Delay correlation analysis and representation for vital complaint VHDL models
US7085701B2 (en) 2002-01-02 2006-08-01 International Business Machines Corporation Size reduction techniques for vital compliant VHDL simulation models
JP2003203993A (ja) 2002-01-10 2003-07-18 Mitsubishi Electric Corp 半導体記憶装置及びその製造方法
US6749972B2 (en) 2002-01-15 2004-06-15 Taiwan Semiconductor Manufacturing Co., Ltd. Optical proximity correction common process window maximization over varying feature pitch
US6721926B2 (en) 2002-01-25 2004-04-13 Intel Corporation Method and apparatus for improving digital circuit design
US6662350B2 (en) 2002-01-28 2003-12-09 International Business Machines Corporation FinFET layout generation
US6820248B1 (en) 2002-02-14 2004-11-16 Xilinx, Inc. Method and apparatus for routing interconnects to devices with dissimilar pitches
US6904582B1 (en) 2002-02-28 2005-06-07 Dupont Photomasks, Inc. Photomask for reducing power supply voltage fluctuations in an integrated circuit and integrated circuit manufactured with the same
JP2003264231A (ja) 2002-03-11 2003-09-19 Mitsubishi Electric Corp レイアウト設計方法および半導体装置
TWI252516B (en) 2002-03-12 2006-04-01 Toshiba Corp Determination method of process parameter and method for determining at least one of process parameter and design rule
US7386433B2 (en) 2002-03-15 2008-06-10 Synopsys, Inc. Using a suggested solution to speed up a process for simulating and correcting an integrated circuit layout
US6732338B2 (en) 2002-03-20 2004-05-04 International Business Machines Corporation Method for comprehensively verifying design rule checking runsets
US6765245B2 (en) 2002-03-25 2004-07-20 Bae Systems Information And Electronic Systems Integration Inc. Gate array core cell for VLSI ASIC devices
US6754121B2 (en) 2002-03-29 2004-06-22 Stmicroelectronics, Inc. Sense amplifying circuit and method
US6745372B2 (en) 2002-04-05 2004-06-01 Numerical Technologies, Inc. Method and apparatus for facilitating process-compliant layout optimization
US6789246B1 (en) 2002-04-07 2004-09-07 Barcelona Design, Inc. Method and apparatus for automatic layout of circuit structures
US7252909B2 (en) 2002-04-18 2007-08-07 Taiwan Semiconductor Manufacturing Co., Ltd. Method to reduce CD non-uniformity in IC manufacturing
JP4190796B2 (ja) 2002-04-24 2008-12-03 Necエレクトロニクス株式会社 露光原版の作成方法
US6992925B2 (en) 2002-04-26 2006-01-31 Kilopass Technologies, Inc. High density semiconductor memory cell and memory array using a single transistor and having counter-doped poly and buried diffusion wordline
US6826738B2 (en) 2002-05-10 2004-11-30 Pdf Solutions, Inc. Optimization of die placement on wafers
US6794914B2 (en) 2002-05-24 2004-09-21 Qualcomm Incorporated Non-volatile multi-threshold CMOS latch with leakage control
JP2004013920A (ja) 2002-06-03 2004-01-15 Mitsubishi Electric Corp 半導体記憶装置
US6980211B2 (en) 2002-06-04 2005-12-27 Springsoft, Inc. Automatic schematic diagram generation using topology information
US7363099B2 (en) 2002-06-07 2008-04-22 Cadence Design Systems, Inc. Integrated circuit metrology
US7152215B2 (en) 2002-06-07 2006-12-19 Praesagus, Inc. Dummy fill for integrated circuits
US7774726B2 (en) 2002-06-07 2010-08-10 Cadence Design Systems, Inc. Dummy fill for integrated circuits
US7712056B2 (en) 2002-06-07 2010-05-04 Cadence Design Systems, Inc. Characterization and verification for integrated circuit designs
US7124386B2 (en) 2002-06-07 2006-10-17 Praesagus, Inc. Dummy fill for integrated circuits
US20030229875A1 (en) 2002-06-07 2003-12-11 Smith Taber H. Use of models in integrated circuit fabrication
WO2003104921A2 (en) 2002-06-07 2003-12-18 Praesagus, Inc. Characterization adn reduction of variation for integrated circuits
JP3879063B2 (ja) 2002-06-11 2007-02-07 富士通株式会社 半導体装置およびその製造方法
US6795953B2 (en) 2002-06-11 2004-09-21 Hpl Technologies, Inc. Method for avoiding false failures attributable to dummy interconnects during defect analysis of an integrated circuit design
JP2004022070A (ja) 2002-06-17 2004-01-22 Renesas Technology Corp 半導体記憶装置
US7039882B2 (en) 2002-06-17 2006-05-02 Amar Pal Singh Rana Technology dependent transformations for Silicon-On-Insulator in digital design synthesis
JP4036688B2 (ja) 2002-06-18 2008-01-23 松下電器産業株式会社 自動配置配線用スタンダードセルライブラリ及び半導体集積装置
JP4462528B2 (ja) 2002-06-24 2010-05-12 株式会社日立製作所 半導体集積回路装置
EP1376676A3 (en) 2002-06-24 2008-08-20 Interuniversitair Microelektronica Centrum Vzw Multibit non-volatile memory device and method
US6687895B2 (en) 2002-07-03 2004-02-03 Numerical Technologies Inc. Method and apparatus for reducing optical proximity correction output file size
US6998722B2 (en) 2002-07-08 2006-02-14 Viciciv Technology Semiconductor latches and SRAM devices
JP2004040042A (ja) 2002-07-08 2004-02-05 Fujitsu Ltd 半導体記憶装置
US20040009409A1 (en) 2002-07-11 2004-01-15 Jiunn-Ren Hwang Optical proximity correction method
US7063923B2 (en) 2002-07-11 2006-06-20 United Electronics Corp. Optical proximity correction method
WO2004008245A2 (en) 2002-07-12 2004-01-22 Cadence Design Systems, Inc. Method and system for context-specific mask inspection
JP4416384B2 (ja) 2002-07-19 2010-02-17 株式会社ルネサステクノロジ 半導体集積回路
KR100445638B1 (ko) 2002-07-26 2004-08-25 삼성전자주식회사 전기적으로 분리된 영역들을 연결하는 상호 연결 구조 및그 제조방법
US7739624B2 (en) 2002-07-29 2010-06-15 Synopsys, Inc. Methods and apparatuses to generate a shielding mesh for integrated circuit devices
US7171645B2 (en) 2002-08-06 2007-01-30 Matsushita Electric Industrial Co., Ltd. Semiconductor device, method of generating pattern for semiconductor device, method of manufacturing semiconductor device and device of generating pattern used for semiconductor device
KR100493025B1 (ko) 2002-08-07 2005-06-07 삼성전자주식회사 반도체 메모리 장치의 제조 방법
US7143380B1 (en) 2002-08-08 2006-11-28 Xilinx, Inc. Method for application of network flow techniques under constraints
US6789244B1 (en) 2002-08-08 2004-09-07 Xilinx, Inc. Placement of clock objects under constraints
FR2843481B1 (fr) 2002-08-08 2005-09-16 Soisic Memoire sur substrat du type silicium sur isolant
US6785875B2 (en) 2002-08-15 2004-08-31 Fulcrum Microsystems, Inc. Methods and apparatus for facilitating physical synthesis of an integrated circuit design
US6854100B1 (en) 2002-08-27 2005-02-08 Taiwan Semiconductor Manufacturing Company Methodology to characterize metal sheet resistance of copper damascene process
US7345511B2 (en) 2002-08-29 2008-03-18 Technion Research & Development Foundation Ltd. Logic circuit and method of logic circuit design
JP3795846B2 (ja) 2002-08-29 2006-07-12 富士通株式会社 半導体装置
US6734521B2 (en) 2002-08-30 2004-05-11 Texas Instruments Incorporated Integrated circuit cells
DE10241170A1 (de) 2002-09-05 2004-03-18 Infineon Technologies Ag Hochdichter NROM-FINFET
US20040049754A1 (en) 2002-09-06 2004-03-11 Sun Microsystems, Inc. Method and apparatus for filling and connecting filler material in a layout
TWI274969B (en) 2002-09-11 2007-03-01 Asml Masktools Bv Method and computer program product of generating masks and mask generated thereby, device manufacturing method and device manufactured thereby, and method of printing pattern
US6807663B2 (en) 2002-09-23 2004-10-19 Numerical Technologies, Inc. Accelerated layout processing using OPC pre-processing
US6928635B2 (en) 2002-09-25 2005-08-09 Numerical Technologies, Inc. Selectively applying resolution enhancement techniques to improve performance and manufacturing cost of integrated circuits
US7327597B1 (en) 2002-10-02 2008-02-05 Cisco Technology, Inc. Static random access memory architecture
WO2004034463A1 (ja) 2002-10-10 2004-04-22 Fujitsu Limited レイアウト方法及び装置並びにそのプログラム及び記録媒体
US7214579B2 (en) 2002-10-24 2007-05-08 Nxp Bv. Self-aligned 2-bit “double poly CMP” flash memory cell
US6994939B1 (en) 2002-10-29 2006-02-07 Advanced Micro Devices, Inc. Semiconductor manufacturing resolution enhancement system and method for simultaneously patterning different feature types
US7053424B2 (en) 2002-10-31 2006-05-30 Yamaha Corporation Semiconductor integrated circuit device and its manufacture using automatic layout
US7219326B2 (en) 2002-12-16 2007-05-15 Intrinsity, Inc. Physical realization of dynamic logic using parameterized tile partitioning
JP3848248B2 (ja) 2002-12-17 2006-11-22 株式会社東芝 Sramセルおよびそれを用いたメモリ集積回路
US6953956B2 (en) 2002-12-18 2005-10-11 Easic Corporation Semiconductor device having borderless logic array and flexible I/O
US7093228B2 (en) 2002-12-20 2006-08-15 Lsi Logic Corporation Method and system for classifying an integrated circuit for optical proximity correction
EP1434264A3 (en) 2002-12-27 2017-01-18 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method using the transfer technique
JP4202120B2 (ja) 2002-12-27 2008-12-24 セイコーインスツル株式会社 集積回路の最適化設計装置
US6898770B2 (en) 2003-01-09 2005-05-24 Lsi Logic Corporation Split and merge design flow concept for fast turnaround time of circuit layout design
JP4136684B2 (ja) 2003-01-29 2008-08-20 Necエレクトロニクス株式会社 半導体装置及びそのダミーパターンの配置方法
US6996790B2 (en) 2003-01-30 2006-02-07 Synopsys, Inc. System and method for generating a two-dimensional yield map for a full layout
JP2004241529A (ja) 2003-02-05 2004-08-26 Matsushita Electric Ind Co Ltd 半導体回路装置及びその回路シミュレーション方法
US6884712B2 (en) 2003-02-07 2005-04-26 Chartered Semiconductor Manufacturing, Ltd. Method of manufacturing semiconductor local interconnect and contact
US6777146B1 (en) 2003-02-21 2004-08-17 International Business Machines Corporation Method of optical proximity correction with sub-resolution assists
JP2004253730A (ja) 2003-02-21 2004-09-09 Renesas Technology Corp 半導体集積回路装置およびその製造方法
US7149999B2 (en) 2003-02-25 2006-12-12 The Regents Of The University Of California Method for correcting a mask design layout
US20040229135A1 (en) 2003-02-27 2004-11-18 Jun Wang Multiple exposure method for circuit performance improvement
JP4531340B2 (ja) 2003-02-27 2010-08-25 ルネサスエレクトロニクス株式会社 マルチプレクサセルのレイアウト構造
JP4290457B2 (ja) 2003-03-31 2009-07-08 株式会社ルネサステクノロジ 半導体記憶装置
JP3920804B2 (ja) 2003-04-04 2007-05-30 松下電器産業株式会社 半導体記憶装置
US6931617B2 (en) 2003-04-21 2005-08-16 Synopsys, Inc. Mask cost driven logic optimization and synthesis
EP1623349B1 (en) 2003-05-07 2018-01-24 Conversant Intellectual Property Management Inc. Managing power on integrated circuits using power islands
US7065731B2 (en) 2003-05-07 2006-06-20 Cadence Design Systems, Inc. Removal of acute angles in a design layout
US7093208B2 (en) 2003-05-12 2006-08-15 International Business Machines Corporation Method for tuning a digital design for synthesized random logic circuit macros in a continuous design space with optional insertion of multiple threshold voltage devices
US7063920B2 (en) 2003-05-16 2006-06-20 Asml Holding, N.V. Method for the generation of variable pitch nested lines and/or contact holes using fixed size pixels for direct-write lithographic systems
JP4233381B2 (ja) 2003-05-21 2009-03-04 株式会社ルネサステクノロジ 半導体装置とその製造方法
US7770144B2 (en) 2003-05-28 2010-08-03 Eric Dellinger Modular array defined by standard cell logic
US7107551B1 (en) 2003-05-30 2006-09-12 Prolific, Inc. Optimization of circuit designs using a continuous spectrum of library cells
US7183611B2 (en) 2003-06-03 2007-02-27 Micron Technology, Inc. SRAM constructions, and electronic systems comprising SRAM constructions
US7400627B2 (en) 2003-06-05 2008-07-15 Brooktree Broadband Holding, Inc. ATM header compression using hash tables
US6992916B2 (en) 2003-06-13 2006-01-31 Taiwan Semiconductor Manufacturing Co., Ltd. SRAM cell design with high resistor CMOS gate structure for soft error rate improvement
JP4245418B2 (ja) 2003-06-25 2009-03-25 富士通マイクロエレクトロニクス株式会社 斜め方向配線を有する半導体集積回路装置及びそのレイアウト方法
US20050009312A1 (en) 2003-06-26 2005-01-13 International Business Machines Corporation Gate length proximity corrected device
US6900999B1 (en) 2003-06-30 2005-05-31 Integrated Device Technology, Inc. Ternary content addressable memory (TCAM) cells with small footprint size and efficient layout aspect ratio
KR100577610B1 (ko) 2003-07-15 2006-05-10 삼성전자주식회사 반도체 장치, 반도체 장치의 제조 방법 및 에스램 장치,에스램 장치 제조 방법.
US6993741B2 (en) 2003-07-15 2006-01-31 International Business Machines Corporation Generating mask patterns for alternating phase-shift mask lithography
EP1519421A1 (en) 2003-09-25 2005-03-30 Interuniversitair Microelektronica Centrum Vzw Multiple gate semiconductor device and method for forming same
US6921982B2 (en) 2003-07-21 2005-07-26 International Business Machines Corporation FET channel having a strained lattice structure along multiple surfaces
EP1569273A3 (fr) 2003-07-30 2005-09-14 St Microelectronics S.A. Lignes conductrices enterrées dans des zones d'isolement
US6924560B2 (en) 2003-08-08 2005-08-02 Taiwan Semiconductor Manufacturing Co., Ltd. Compact SRAM cell with FinFET
JP4398195B2 (ja) 2003-08-08 2010-01-13 パナソニック株式会社 半導体記憶装置
JP4620942B2 (ja) 2003-08-21 2011-01-26 川崎マイクロエレクトロニクス株式会社 半導体集積回路のレイアウト方法、そのレイアウト構造、およびフォトマスク
TWI220268B (en) 2003-09-17 2004-08-11 Faraday Tech Corp Method for programming a routing layout design through one via layer
US7345909B2 (en) 2003-09-24 2008-03-18 Yen-Jen Chang Low-power SRAM memory cell
US6957402B2 (en) 2003-09-24 2005-10-18 Artisan Components, Inc. Yield maximization in the manufacture of integrated circuits
KR100516226B1 (ko) 2003-09-25 2005-09-23 동부아남반도체 주식회사 에스램 테스트용 셀 및 에스램 셀 테스트 방법
JP2005114752A (ja) 2003-10-02 2005-04-28 Yamaha Corp 演奏装置
JP4599048B2 (ja) 2003-10-02 2010-12-15 川崎マイクロエレクトロニクス株式会社 半導体集積回路のレイアウト構造、半導体集積回路のレイアウト方法、およびフォトマスク
JP4632287B2 (ja) 2003-10-06 2011-02-16 株式会社日立製作所 半導体集積回路装置
US7155689B2 (en) 2003-10-07 2006-12-26 Magma Design Automation, Inc. Design-manufacturing interface via a unified model
FR2860920A1 (fr) 2003-10-14 2005-04-15 St Microelectronics Sa Procede de realisation de connexions conductrices de circuits integres, et circuit integre mettant en oeuvre des telles connexions
JP2005123537A (ja) 2003-10-20 2005-05-12 Sony Corp 半導体装置及び製造方法
JP2005123524A (ja) 2003-10-20 2005-05-12 Toshiba Corp 半導体装置及びその製造方法
US6867073B1 (en) 2003-10-21 2005-03-15 Ziptronix, Inc. Single mask via method and device
JP4346410B2 (ja) 2003-10-28 2009-10-21 東芝メモリシステムズ株式会社 半導体集積回路の配線設計方法及び半導体集積回路
US7329953B2 (en) 2003-10-29 2008-02-12 Taiwan Semiconductor Manufacturing Co., Ltd. Structure for reducing leakage currents and high contact resistance for embedded memory and method for making same
JP2005149265A (ja) 2003-11-18 2005-06-09 Olympus Corp 演算処理システム及び演算処理装置
US7269803B2 (en) 2003-12-18 2007-09-11 Lsi Corporation System and method for mapping logical components to physical locations in an integrated circuit design environment
US7052972B2 (en) 2003-12-19 2006-05-30 Micron Technology, Inc. Method for forming sublithographic features during the manufacture of a semiconductor device and a resulting in-process apparatus
JP4585197B2 (ja) 2003-12-22 2010-11-24 ルネサスエレクトロニクス株式会社 レイアウト設計方法およびフォトマスク
KR100702552B1 (ko) 2003-12-22 2007-04-04 인터내셔널 비지네스 머신즈 코포레이션 이중 게이트 FinFET 디자인을 위한 자동화 레이어생성 방법 및 장치
JP2005197345A (ja) 2004-01-05 2005-07-21 Hitachi Ltd 半導体装置
JP2005203447A (ja) 2004-01-13 2005-07-28 Toshiba Corp 半導体集積回路、半導体集積回路設計システム及び半導体集積回路設計方法
US7064068B2 (en) 2004-01-23 2006-06-20 Taiwan Semiconductor Manufacturing Company, Ltd. Method to improve planarity of electroplated copper
KR100564612B1 (ko) 2004-02-19 2006-03-28 삼성전자주식회사 하드 디스크 드라이브
US7523429B2 (en) 2004-02-20 2009-04-21 Takumi Technology Corporation System for designing integrated circuits with enhanced manufacturability
WO2005081066A1 (en) 2004-02-24 2005-09-01 The University Of Hong Kong Rectangular contact lithography for circuit performance improvement
JP2005243928A (ja) 2004-02-26 2005-09-08 Fujitsu Ltd トレンチアイソレーションで分離されたトランジスタ対を有する半導体装置
US7335966B2 (en) 2004-02-26 2008-02-26 Triad Semiconductor, Inc. Configurable integrated circuit capacitor array using via mask layers
US7353492B2 (en) 2004-02-26 2008-04-01 International Business Machines Corporation Method of IC fabrication, IC mask fabrication and program product therefor
US7084476B2 (en) 2004-02-26 2006-08-01 International Business Machines Corp. Integrated circuit logic with self compensating block delays
US7115343B2 (en) 2004-03-10 2006-10-03 International Business Machines Corporation Pliant SRAF for improved performance and manufacturability
JP4317777B2 (ja) 2004-03-10 2009-08-19 パナソニック株式会社 半導体集積回路
US7423298B2 (en) 2004-03-17 2008-09-09 Sharp Kabushiki Kaisha Bidirectional photothyristor chip, optical lighting coupler, and solid state relay
JP2005268610A (ja) 2004-03-19 2005-09-29 Matsushita Electric Ind Co Ltd スタンダードセルの設計方法及び半導体集積回路
DE102004014472B4 (de) 2004-03-24 2012-05-03 Infineon Technologies Ag Anwendungsspezifischer integrierter Halbleiter-Schaltkreis
US7126837B1 (en) 2004-03-26 2006-10-24 Netlogic Microsystems, Inc. Interlocking memory/logic cell layout and method of manufacture
EP1730777B1 (en) 2004-04-01 2007-09-19 Soisic Improved layout of a sram memory cell
WO2005098954A1 (en) 2004-04-02 2005-10-20 Triad Semiconductor, Inc. Via configurable architecture for customization of analog circuitry in a semiconductor device
US7653890B2 (en) 2004-04-02 2010-01-26 Cadence Design Systems, Inc. Modeling resolution enhancement processes in integrated circuit fabrication
US20050229130A1 (en) 2004-04-07 2005-10-13 Aprio Technologies, Inc. Method and apparatus for selective, incremental, reconfigurable and reusable semiconductor manufacturing resolution-enhancements
US7404173B2 (en) 2004-04-07 2008-07-22 Aprio Technologies, Inc. Intermediate layout for resolution enhancement in semiconductor fabrication
US7115920B2 (en) 2004-04-12 2006-10-03 International Business Machines Corporation FinFET transistor and circuit
JP2007534258A (ja) 2004-04-20 2007-11-22 コーニンクレッカ フィリップス エレクトロニクス エヌ ヴィ 低スキューの対称差動出力信号を有するレールツーレール同相モード動作を有する高速差動レシーバ
WO2005111874A2 (en) 2004-05-07 2005-11-24 Mentor Graphics Corporation Integrated circuit layout design methodology with process variation bands
US7194712B2 (en) 2004-05-12 2007-03-20 Synopsys, Inc. Method and apparatus for identifying line-end features for lithography verification
US7053668B2 (en) 2004-05-25 2006-05-30 Kabushiki Kaisha Toshiba SOI sense amplifier with cross-coupled body terminal
US6975133B1 (en) 2004-05-27 2005-12-13 International Business Machines Corporation Logic circuits having linear and cellular gate transistors
US7426710B2 (en) 2004-05-27 2008-09-16 Verisilicon Holdings, Co. Ltd. Standard cell library having cell drive strengths selected according to delay
US7257017B2 (en) 2004-05-28 2007-08-14 Taiwan Semiconductor Manufacturing Company, Ltd. SRAM cell for soft-error rate reduction and cell stability improvement
KR100591158B1 (ko) 2004-06-01 2006-06-19 동부일렉트로닉스 주식회사 반도체 소자의 게이트 전극의 제조 방법
US20070257277A1 (en) 2004-06-04 2007-11-08 Nec Corporation Semiconductor Device and Method for Manufacturing the Same
JP4834853B2 (ja) 2004-06-10 2011-12-14 シャープ株式会社 薄膜トランジスタ回路、薄膜トランジスタ回路の設計方法、薄膜トランジスタ回路の設計プログラム、設計プログラム記録媒体、及び表示装置
JP4248451B2 (ja) 2004-06-11 2009-04-02 パナソニック株式会社 半導体装置およびそのレイアウト設計方法
JP4778689B2 (ja) 2004-06-16 2011-09-21 パナソニック株式会社 標準セル、標準セルライブラリおよび半導体集積回路
US7327591B2 (en) 2004-06-17 2008-02-05 Texas Instruments Incorporated Staggered memory cell array
US7003068B2 (en) 2004-06-21 2006-02-21 Kenet, Inc. Device for subtracting or adding a constant amount of charge in a charge-coupled device at high operating frequencies
JP4405865B2 (ja) 2004-06-24 2010-01-27 富士通マイクロエレクトロニクス株式会社 多層配線構造の製造方法及びfib装置
JP4175649B2 (ja) 2004-07-22 2008-11-05 松下電器産業株式会社 半導体装置
KR101234746B1 (ko) 2004-07-27 2013-02-19 이에이직 코포레이션 구조화된 집적회로 소자
US7176508B2 (en) 2004-07-27 2007-02-13 International Business Machines Corporation Temperature sensor for high power very large scale integration circuits
JP2006049780A (ja) 2004-08-09 2006-02-16 Elpida Memory Inc 半導体集積回路装置
US7093213B2 (en) 2004-08-13 2006-08-15 International Business Machines Corporation Method for designing an integrated circuit defect monitor
US7365432B2 (en) 2004-08-23 2008-04-29 Taiwan Semiconductor Manufacturing Company, Ltd. Memory cell structure
JP2006073696A (ja) 2004-09-01 2006-03-16 Matsushita Electric Ind Co Ltd スタンダードセルを用いた半導体集積回路とその設計方法
US7632610B2 (en) 2004-09-02 2009-12-15 Intel Corporation Sub-resolution assist features
US20060063334A1 (en) 2004-09-17 2006-03-23 International Business Machines Corporation Fin FET diode structures and methods for building
US7227183B2 (en) 2004-09-17 2007-06-05 International Business Machines Corporation Polysilicon conductor width measurement for 3-dimensional FETs
US7185294B2 (en) 2004-09-23 2007-02-27 Verisilicon Holdings, Co Ltd Standard cell library having globally scalable transistor channel length
DE102004047263B4 (de) 2004-09-24 2010-04-22 Qimonda Ag Verfahren zum Erzeugen eines Abbildungsfehler vermeidenden Maskenlayouts für eine Maske
JP2006100718A (ja) 2004-09-30 2006-04-13 Matsushita Electric Ind Co Ltd 半導体集積回路装置の動作解析方法、これに用いられる解析装置およびこれを用いた最適化設計方法
US7466607B2 (en) 2004-09-30 2008-12-16 Analog Devices, Inc. Memory access system and method using de-coupled read and write circuits
US7337421B2 (en) 2004-09-30 2008-02-26 Cadence Design Systems, Inc. Method and system for managing design corrections for optical and process effects based on feature tolerances
JP2006114668A (ja) 2004-10-14 2006-04-27 Sony Corp 半導体集積回路およびその製造方法
US7487475B1 (en) 2004-10-15 2009-02-03 Cadence Design Systems, Inc. Systems, methods, and apparatus to perform statistical static timing analysis
JP2006119195A (ja) 2004-10-19 2006-05-11 Nec Electronics Corp 配線のレイアウト方法
US7302651B2 (en) 2004-10-29 2007-11-27 International Business Machines Corporation Technology migration for integrated circuits with radical design restrictions
US7458045B2 (en) 2004-10-29 2008-11-25 Synopsys, Inc. Silicon tolerance specification using shapes as design intent markers
WO2006052738A2 (en) 2004-11-04 2006-05-18 Fabbrix, Inc. A method and process for design of integrated circuits using regular geometry patterns to obtain geometrically consistent component features
US7350183B2 (en) 2004-11-05 2008-03-25 International Business Machines Corporation Method for improving optical proximity correction
KR100587692B1 (ko) 2004-11-05 2006-06-08 삼성전자주식회사 반도체 메모리 장치에서의 회로 배선 배치구조와 그에따른 배치방법
JP2006156778A (ja) 2004-11-30 2006-06-15 Matsushita Electric Ind Co Ltd 半導体装置及びそのレイアウト設計方法
US7465973B2 (en) 2004-12-03 2008-12-16 International Business Machines Corporation Integrated circuit having gates and active regions forming a regular grating
US7424696B2 (en) * 2004-12-03 2008-09-09 Lsi Corporation Power mesh for multiple frequency operation of semiconductor products
JP2006165365A (ja) 2004-12-09 2006-06-22 Renesas Technology Corp 半導体装置および半導体装置の製造方法
US7345330B2 (en) 2004-12-09 2008-03-18 Omnivision Technologies, Inc. Local interconnect structure and method for a CMOS image sensor
JP4357409B2 (ja) 2004-12-17 2009-11-04 株式会社東芝 半導体集積回路装置及びその設計方法
US7396732B2 (en) 2004-12-17 2008-07-08 Interuniversitair Microelektronica Centrum Vzw (Imec) Formation of deep trench airgaps and related applications
FR2879816B1 (fr) 2004-12-20 2007-06-08 Atmel Nantes Sa Sa Circuit electronique comprenant au moins une premiere et une seconde paires differentielles dont les transistors partagent un meme caisson
CN101091252B (zh) 2004-12-28 2012-09-05 斯班逊有限公司 半导体装置以及控制半导体装置操作的方法
US7106620B2 (en) 2004-12-30 2006-09-12 International Business Machines Corporation Memory cell having improved read stability
US7509621B2 (en) 2005-01-03 2009-03-24 Synopsys, Inc. Method and apparatus for placing assist features by identifying locations of constructive and destructive interference
US7366997B1 (en) 2005-01-11 2008-04-29 Synplicity, Inc. Methods and apparatuses for thermal analysis based circuit design
JP2006196627A (ja) 2005-01-12 2006-07-27 Nec Electronics Corp 半導体装置、及び半導体装置の設計プログラム
DE102005002533B4 (de) 2005-01-14 2007-09-13 Infineon Technologies Ag Verfahren zum Erzeugen eines Abbildungsfehler vermeidenden Maskenlayouts für eine Maske
JP4455356B2 (ja) 2005-01-28 2010-04-21 Necエレクトロニクス株式会社 半導体装置
JP4602112B2 (ja) 2005-02-17 2010-12-22 株式会社東芝 半導体集積回路の製造方法及び半導体集積回路
KR20060092408A (ko) 2005-02-17 2006-08-23 삼성전자주식회사 고성능 배타적 오아 및 배타적 노아 회로 및 방법
JP5018475B2 (ja) 2005-02-23 2012-09-05 富士通セミコンダクター株式会社 半導体回路装置及びその半導体回路装置の製造方法
US7421678B2 (en) 2005-02-24 2008-09-02 Synopsys, Inc. Assist feature placement using a process-sensitivity model
US7266787B2 (en) 2005-02-24 2007-09-04 Icera, Inc. Method for optimising transistor performance in integrated circuits
US7287237B2 (en) 2005-02-24 2007-10-23 Icera Inc. Aligned logic cell grid and interconnect routing architecture
US7200835B2 (en) 2005-02-24 2007-04-03 Texas Instruments Incorporated Method of locating sub-resolution assist feature(s)
US7721246B2 (en) 2005-02-24 2010-05-18 Synopsys, Inc. Method and apparatus for quickly determining the effect of placing an assist feature at a location in a layout
US7188322B2 (en) 2005-02-25 2007-03-06 International Business Machines Corporation Circuit layout methodology using a shape processing application
TWI281317B (en) 2005-03-07 2007-05-11 Sunplus Technology Co Ltd Self DC-bias high frequency logic gate, NAND gate, and NOR gate using the same
US7304874B2 (en) 2005-03-08 2007-12-04 Lsi Corporation Compact ternary and binary CAM bitcell architecture with no enclosed diffusion areas
US7992122B1 (en) 2005-03-25 2011-08-02 Gg Technology, Inc. Method of placing and routing for power optimization and timing closure
US7563701B2 (en) 2005-03-31 2009-07-21 Intel Corporation Self-aligned contacts for transistors
US7882456B2 (en) 2005-04-09 2011-02-01 Cadence Design Systems, Inc. Optical lithography correction process
JP4634849B2 (ja) 2005-04-12 2011-02-16 株式会社東芝 集積回路のパターンレイアウト、フォトマスク、半導体装置の製造方法、及びデータ作成方法
TWI334962B (en) 2005-04-12 2010-12-21 Asml Masktools Bv A method, program product and apparatus for performing double exposure lithography
JP4921723B2 (ja) 2005-04-18 2012-04-25 株式会社東芝 半導体装置の製造方法
TWI297101B (en) 2005-04-20 2008-05-21 Nanya Technology Corp Phase shifting mask for equal line/space dense line patterns
US7506300B2 (en) 2005-04-29 2009-03-17 Cadence Design Systems, Inc. Apparatus and method for breaking up and merging polygons
US7480891B2 (en) 2005-04-29 2009-01-20 Cadence Design Systems, Inc. Method and apparatus of model-based photomask synthesis
US7441211B1 (en) 2005-05-06 2008-10-21 Blaze Dfm, Inc. Gate-length biasing for digital circuit optimization
US8044437B1 (en) 2005-05-16 2011-10-25 Lsi Logic Corporation Integrated circuit cell architecture configurable for memory or logic elements
JP4936418B2 (ja) 2005-05-17 2012-05-23 ルネサスエレクトロニクス株式会社 半導体装置とその製造方法、及び半導体装置の設計プログラム
US7308669B2 (en) 2005-05-18 2007-12-11 International Business Machines Corporation Use of redundant routes to increase the yield and reliability of a VLSI layout
JP4912016B2 (ja) 2005-05-23 2012-04-04 ルネサスエレクトロニクス株式会社 半導体記憶装置
WO2006126125A1 (en) 2005-05-26 2006-11-30 Nxp B.V. Electronic device
US7411252B2 (en) 2005-06-21 2008-08-12 International Business Machines Corporation Substrate backgate for trigate FET
US7960791B2 (en) 2005-06-24 2011-06-14 International Business Machines Corporation Dense pitch bulk FinFET process by selective EPI and etch
US7492013B2 (en) 2005-06-27 2009-02-17 International Business Machines Corporation Systems and arrangements to interconnect components of a semiconductor device
US8405216B2 (en) 2005-06-29 2013-03-26 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure for integrated circuits
EP1907957A4 (en) 2005-06-29 2013-03-20 Otrsotech Ltd Liability Company INVESTMENT METHODS AND SYSTEMS
US7236396B2 (en) 2005-06-30 2007-06-26 Texas Instruments Incorporated Area efficient implementation of small blocks in an SRAM array
JP2007013060A (ja) * 2005-07-04 2007-01-18 Matsushita Electric Ind Co Ltd 半導体装置
JP2007018588A (ja) 2005-07-06 2007-01-25 Toshiba Corp 半導体記憶装置および半導体記憶装置の駆動方法
US7235424B2 (en) 2005-07-14 2007-06-26 Taiwan Semiconductor Manufacturing Co., Ltd. Method and apparatus for enhanced CMP planarization using surrounded dummy design
CN101228478B (zh) 2005-07-22 2012-08-08 富士通半导体股份有限公司 光掩模图形数据生成方法、用此数据生成的光掩模及用此掩模的半导体器件制造方法
WO2007014053A2 (en) 2005-07-22 2007-02-01 Nanopower Technologies, Inc. High sensitivity rfid tag integrated circuits
US7404154B1 (en) 2005-07-25 2008-07-22 Lsi Corporation Basic cell architecture for structured application-specific integrated circuits
US7934172B2 (en) 2005-08-08 2011-04-26 Micronic Laser Systems Ab SLM lithography: printing to below K1=.30 without previous OPC processing
US7568174B2 (en) 2005-08-19 2009-07-28 Cadence Design Systems, Inc. Method for checking printability of a lithography target
JP2007093861A (ja) 2005-09-28 2007-04-12 Renesas Technology Corp マスクパターン設計方法および半導体装置の製造方法
US7749662B2 (en) 2005-10-07 2010-07-06 Globalfoundries Inc. Process margin using discrete assist features
JP4761914B2 (ja) * 2005-10-07 2011-08-31 川崎マイクロエレクトロニクス株式会社 スタンダードセルライブラリ、半導体集積回路の設計方法、半導体集積回路パターンおよび半導体集積回路
US7485934B2 (en) 2005-10-25 2009-02-03 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated semiconductor structure for SRAM cells
JP4796817B2 (ja) 2005-10-31 2011-10-19 エルピーダメモリ株式会社 基本セル設計方法、レイアウト設計方法、設計装置およびプログラム
US7397260B2 (en) 2005-11-04 2008-07-08 International Business Machines Corporation Structure and method for monitoring stress-induced degradation of conductive interconnects
US20070106971A1 (en) 2005-11-04 2007-05-10 Lizotech, Inc. Apparatus for a routing system
US7569309B2 (en) 2005-11-09 2009-08-04 Texas Instruments Incorporated Gate critical dimension variation by use of ghost features
US7527900B2 (en) 2005-11-10 2009-05-05 United Microelectronics Corp. Reticle and optical proximity correction method
US7934184B2 (en) 2005-11-14 2011-04-26 Takumi Technology Corporation Integrated circuit design using modified cells
JP2007141971A (ja) 2005-11-15 2007-06-07 Matsushita Electric Ind Co Ltd 半導体集積回路の設計方法
JPWO2007063990A1 (ja) 2005-12-02 2009-05-07 日本電気株式会社 半導体装置およびその製造方法
US7543262B2 (en) * 2005-12-06 2009-06-02 Cadence Design Systems, Inc. Analog layout module generator and method
US7569310B2 (en) 2005-12-07 2009-08-04 Intel Corporation Sub-resolution assist features for photolithography with trim ends
US7512017B2 (en) 2005-12-21 2009-03-31 Intel Corporation Integration of planar and tri-gate devices on the same substrate
JP4774294B2 (ja) 2005-12-26 2011-09-14 富士通株式会社 集積回路レイアウト装置、その方法及びプログラム
EP1804282A1 (en) 2005-12-29 2007-07-04 Interuniversitair Microelektronica Centrum vzw ( IMEC) Methods for manufacturing dense integrated circuits
US7640522B2 (en) 2006-01-14 2009-12-29 Tela Innovations, Inc. Method and system for placing layout objects in a standard-cell layout
US7614030B2 (en) 2006-01-17 2009-11-03 Taiwan Semiconductor Manufacturing Co., Ltd. Scattering bar OPC application method for mask ESD prevention
JP5091462B2 (ja) 2006-01-19 2012-12-05 パナソニック株式会社 セルおよび半導体装置
JP4675249B2 (ja) 2006-02-07 2011-04-20 パナソニック株式会社 位置依存変動量計算方法並びに回路解析方法
US7480880B2 (en) 2006-02-21 2009-01-20 International Business Machines Corporation Method, system, and program product for computing a yield gradient from statistical timing
US7469401B2 (en) 2006-02-22 2008-12-23 International Business Machines Corporation Method for using partitioned masks to build a chip
US9035359B2 (en) 2006-03-09 2015-05-19 Tela Innovations, Inc. Semiconductor chip including region including linear-shaped conductive structures forming gate electrodes and having electrical connection areas arranged relative to inner region between transistors of different types and associated methods
US8225239B2 (en) 2006-03-09 2012-07-17 Tela Innovations, Inc. Methods for defining and utilizing sub-resolution features in linear topology
US9563733B2 (en) 2009-05-06 2017-02-07 Tela Innovations, Inc. Cell circuit and layout with linear finfet structures
US7908578B2 (en) 2007-08-02 2011-03-15 Tela Innovations, Inc. Methods for designing semiconductor device with dynamic array section
US8245180B2 (en) 2006-03-09 2012-08-14 Tela Innovations, Inc. Methods for defining and using co-optimized nanopatterns for integrated circuit design and apparatus implementing same
US7956421B2 (en) 2008-03-13 2011-06-07 Tela Innovations, Inc. Cross-coupled transistor layouts in restricted gate level layout architecture
US7932545B2 (en) 2006-03-09 2011-04-26 Tela Innovations, Inc. Semiconductor device and associated layouts including gate electrode level region having arrangement of six linear conductive segments with side-to-side spacing less than 360 nanometers
US8839175B2 (en) 2006-03-09 2014-09-16 Tela Innovations, Inc. Scalable meta-data objects
US9009641B2 (en) 2006-03-09 2015-04-14 Tela Innovations, Inc. Circuits with linear finfet structures
US7763534B2 (en) 2007-10-26 2010-07-27 Tela Innovations, Inc. Methods, structures and designs for self-aligning local interconnects used in integrated circuits
US8247846B2 (en) 2006-03-09 2012-08-21 Tela Innovations, Inc. Oversized contacts and vias in semiconductor chip defined by linearly constrained topology
US7943967B2 (en) 2006-03-09 2011-05-17 Tela Innovations, Inc. Semiconductor device and associated layouts including diffusion contact placement restriction based on relation to linear conductive segments
US7446352B2 (en) 2006-03-09 2008-11-04 Tela Innovations, Inc. Dynamic array architecture
US8658542B2 (en) 2006-03-09 2014-02-25 Tela Innovations, Inc. Coarse grid design methods and structures
US8225261B2 (en) 2006-03-09 2012-07-17 Tela Innovations, Inc. Methods for defining contact grid in dynamic array architecture
JP2007265179A (ja) 2006-03-29 2007-10-11 Fujitsu Ltd レイアウト検証方法、レイアウト検証装置
US7437691B2 (en) * 2006-04-11 2008-10-14 International Business Machines Corporation VLSI artwork legalization for hierarchical designs with multiple grid constraints
US7484197B2 (en) 2006-04-14 2009-01-27 International Business Machines Corporation Minimum layout perturbation-based artwork legalization with grid constraints for hierarchical designs
US7509622B2 (en) 2006-04-17 2009-03-24 Synopsys, Inc. Dummy filling technique for improved planarization of chip surface topography
JP5579959B2 (ja) 2006-04-18 2014-08-27 ピーエスフォー ルクスコ エスエイアールエル 半導体装置
US7407890B2 (en) 2006-04-21 2008-08-05 International Business Machines Corporation Patterning sub-lithographic features with variable widths
US7355906B2 (en) 2006-05-24 2008-04-08 International Business Machines Corporation SRAM cell design to improve stability
US7941776B2 (en) 2006-05-26 2011-05-10 Open-Silicon Inc. Method of IC design optimization via creation of design-specific cells from post-layout patterns
WO2007149004A1 (en) 2006-06-13 2007-12-27 Freescale Semiconductor, Inc. Methods and apparatus for simulating distributed effects
US7317339B1 (en) 2006-06-16 2008-01-08 Via Technologies, Inc. N-domino register with accelerated non-discharge path
US7459792B2 (en) 2006-06-19 2008-12-02 Taiwan Semiconductor Manufacturing Co., Ltd. Via layout with via groups placed in interlocked arrangement
US7992117B2 (en) 2006-06-20 2011-08-02 Adtran, Inc. System and method for designing a common centroid layout for an integrated circuit
JP2008004796A (ja) 2006-06-23 2008-01-10 Matsushita Electric Ind Co Ltd 半導体装置および回路素子レイアウト方法
US7763932B2 (en) 2006-06-29 2010-07-27 International Business Machines Corporation Multi-bit high-density memory device and architecture and method of fabricating multi-bit high-density memory devices
US7444609B2 (en) 2006-06-29 2008-10-28 International Business Machines Corporation Method of optimizing customizable filler cells in an integrated circuit physical design process
US7739627B2 (en) 2006-07-05 2010-06-15 Chew Marko P System and method of maximizing integrated circuit manufacturing yield with context-dependent yield cells
JP2008027940A (ja) 2006-07-18 2008-02-07 Matsushita Electric Ind Co Ltd 半導体集積回路の設計方法および回路シミュレーション方法
WO2008010163A2 (en) * 2006-07-19 2008-01-24 Koninklijke Philips Electronics N.V. Arrays of particle containing cells
DE102006037162B4 (de) 2006-08-01 2008-08-21 Qimonda Ag Verfahren und Vorrichtung und deren Verwendung zur Prüfung des Layouts einer elektronischen Schaltung
US7966579B2 (en) 2006-08-04 2011-06-21 Infineon Technologies Ag Methods of optical proximity correction
JP5080578B2 (ja) 2006-08-04 2012-11-21 サガンテック イスラエル リミテッド 回路レイアウトを所定のグリッドに適応させるための方法及びシステム
US7873929B2 (en) 2006-08-14 2011-01-18 The Regents Of The University Of California Method, apparatus and system for designing an integrated circuit including generating at least one auxiliary pattern for cell-based optical proximity correction
US7886262B2 (en) 2006-08-15 2011-02-08 Chew Marko P System and method of maximizing integrated circuit manufacturing yield with fabrication process simulation driven layout optimization
TW200811704A (en) 2006-08-31 2008-03-01 Univ Nat Yunlin Sci & Tech Full adder of complementary type carry logic voltage compensator
KR100773353B1 (ko) 2006-09-26 2007-11-05 삼성전자주식회사 기판 플러그를 가지는 반도체 장치들 및 그의 형성방법들
US7434185B2 (en) 2006-09-27 2008-10-07 International Business Machines Corporation Method and apparatus for parallel data preparation and processing of integrated circuit graphical design data
JP4362785B2 (ja) 2006-09-28 2009-11-11 エルピーダメモリ株式会社 半導体装置の製造方法
US20080082952A1 (en) 2006-09-29 2008-04-03 Texas Instruments Incorporated Method of inclusion of sub-resolution assist feature(s)
JP4814044B2 (ja) 2006-10-05 2011-11-09 ルネサスエレクトロニクス株式会社 パターン設計方法
US8230379B2 (en) 2006-10-20 2012-07-24 Kabushiki Kaisha Toshiba Layout generating method for semiconductor integrated circuits
JP2008103610A (ja) 2006-10-20 2008-05-01 Matsushita Electric Ind Co Ltd 半導体集積回路の配線構造およびその設計方法と設計装置
US7624369B2 (en) 2006-10-31 2009-11-24 International Business Machines Corporation Closed-loop design for manufacturability process
US7802219B2 (en) 2006-11-30 2010-09-21 Cadence Design Systems, Inc. Flat placement of cells on non-integer multiple height rows in a digital integrated circuit layout
US7774739B2 (en) 2006-11-30 2010-08-10 Texas Instruments Incorporated Methods for adjusting shifter width of an alternating phase shifter having variable width
US8378407B2 (en) 2006-12-07 2013-02-19 Tower Semiconductor, Ltd. Floating gate inverter type memory cell and array
US8156450B2 (en) 2006-12-18 2012-04-10 Cadence Design Systems, Inc. Method and system for mask optimization
US7814447B2 (en) 2006-12-29 2010-10-12 Cadence Design Systems, Inc. Supplant design rules in electronic designs
US8178905B2 (en) 2007-01-12 2012-05-15 Panasonic Corporation Layout structure of semiconductor device
US7535751B2 (en) 2007-02-12 2009-05-19 Taiwan Semioconductor Manufacturing Co., Ltd. Dual-port SRAM device
JP5217180B2 (ja) 2007-02-20 2013-06-19 富士通セミコンダクター株式会社 静電放電保護装置の製造方法
US8667443B2 (en) 2007-03-05 2014-03-04 Tela Innovations, Inc. Integrated circuit cell library for multiple patterning
US20080216207A1 (en) 2007-03-09 2008-09-11 Shen-Hai Tsai Finger pressing massage glove
KR100911187B1 (ko) 2007-03-13 2009-08-06 주식회사 하이닉스반도체 래치 구조 및 그것을 포함하는 비트라인 센스앰프 구조
US7575973B2 (en) 2007-03-27 2009-08-18 Sandisk 3D Llc Method of making three dimensional NAND memory
US7543252B2 (en) 2007-03-28 2009-06-02 International Business Machines Corporation Migration of integrated circuit layout for alternating phase shift masks
US7791109B2 (en) 2007-03-29 2010-09-07 International Business Machines Corporation Metal silicide alloy local interconnect
US7757196B2 (en) 2007-04-04 2010-07-13 Cisco Technology, Inc. Optimizing application specific integrated circuit pinouts for high density interconnect printed circuit boards
US7723786B2 (en) 2007-04-11 2010-05-25 Ronald Kakoschke Apparatus of memory array using FinFETs
US7964267B1 (en) 2007-04-13 2011-06-21 Bae Systems Tensylon H.P.M., Inc. Ballistic-resistant panel including high modulus ultra high molecular weight polyethylene tape
US7453125B1 (en) 2007-04-24 2008-11-18 Infineon Technologies Ag Double mesh finfet
US20080283910A1 (en) 2007-05-15 2008-11-20 Qimonda Ag Integrated circuit and method of forming an integrated circuit
JP4461154B2 (ja) 2007-05-15 2010-05-12 株式会社東芝 半導体装置
US7911830B2 (en) 2007-05-17 2011-03-22 Integrated Magnetoelectronics Scalable nonvolatile memory
JP4445521B2 (ja) 2007-06-15 2010-04-07 株式会社東芝 半導体装置
US7898040B2 (en) 2007-06-18 2011-03-01 Infineon Technologies Ag Dual gate FinFET
US7923337B2 (en) 2007-06-20 2011-04-12 International Business Machines Corporation Fin field effect transistor devices with self-aligned source and drain regions
US7759194B2 (en) 2008-07-25 2010-07-20 Semiconductor Manufacturing International (Shanghai) Corporation Electrically programmable device with embedded EEPROM and method for making thereof
JP2009025914A (ja) 2007-07-17 2009-02-05 Nec Electronics Corp 半導体集積回路の設計方法及び設計プログラム
US7625790B2 (en) 2007-07-26 2009-12-01 International Business Machines Corporation FinFET with sublithographic fin width
US7700466B2 (en) 2007-07-26 2010-04-20 International Business Machines Corporation Tunneling effect transistor with self-aligned gate
US20090057780A1 (en) 2007-08-27 2009-03-05 International Business Machines Corporation Finfet structure including multiple semiconductor fin channel heights
US8156451B2 (en) 2007-09-14 2012-04-10 Renesas Electronics Corporation Method of manufacturing photomask
KR100905157B1 (ko) 2007-09-18 2009-06-29 주식회사 하이닉스반도체 반도체 소자의 미세 패턴 형성 방법
JP2009088085A (ja) 2007-09-28 2009-04-23 Tokyo Electron Ltd 半導体装置の製造方法、半導体装置の製造装置、制御プログラム及びプログラム記憶媒体
US20090101940A1 (en) 2007-10-19 2009-04-23 Barrows Corey K Dual gate fet structures for flexible gate array design methodologies
US8042070B2 (en) 2007-10-23 2011-10-18 International Business Machines Corporation Methods and system for analysis and management of parametric yield
JP2009130238A (ja) 2007-11-27 2009-06-11 Fujitsu Microelectronics Ltd 半導体装置
JP5193582B2 (ja) 2007-12-12 2013-05-08 株式会社東芝 半導体装置の製造方法
EP2251901A4 (en) 2007-12-14 2012-08-29 Fujitsu Ltd SEMICONDUCTOR DEVICE
US7825437B2 (en) 2007-12-28 2010-11-02 Intel Corporation Unity beta ratio tri-gate transistor static random access memory (SRAM)
WO2009083385A2 (en) 2007-12-31 2009-07-09 Arcelik Anonim Sirketi A cooling device
US7957178B2 (en) 2008-01-04 2011-06-07 Texas Instruments Incorporated Storage cell having buffer circuit for driving the bitline
US7934173B2 (en) 2008-01-14 2011-04-26 Taiwan Semiconductor Manufacturing Company, Ltd. Reverse dummy insertion algorithm
US7926001B2 (en) 2008-01-16 2011-04-12 Cadence Design Systems, Inc. Uniformity for semiconductor patterning operations
US7984395B2 (en) 2008-01-17 2011-07-19 Synopsys, Inc. Hierarchical compression for metal one logic layer
US8453094B2 (en) 2008-01-31 2013-05-28 Tela Innovations, Inc. Enforcement of semiconductor structure regularity for localized transistors and interconnect
US8866254B2 (en) 2008-02-19 2014-10-21 Micron Technology, Inc. Devices including fin transistors robust to gate shorts and methods of making the same
US7962878B2 (en) 2008-02-26 2011-06-14 Infineon Technologies Ag Method of making an integrated circuit using pre-defined interconnect wiring
US8423947B2 (en) 2008-03-13 2013-04-16 International Business Machines Corporation Gridded glyph geometric objects (L3GO) design method
US7939443B2 (en) 2008-03-27 2011-05-10 Tela Innovations, Inc. Methods for multi-wire routing and apparatus implementing same
US8173544B2 (en) 2008-05-02 2012-05-08 Texas Instruments Incorporated Integrated circuit having interleaved gridded features, mask set and method for printing
US7958465B2 (en) 2008-05-08 2011-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. Dummy pattern design for reducing device performance drift
US7917877B2 (en) 2008-05-09 2011-03-29 Cadence Design Systems, Inc. System and method for circuit schematic generation
EP2117045A1 (en) 2008-05-09 2009-11-11 Imec Design Methodology for MuGFET ESD Protection Devices
US7830025B2 (en) 2008-05-19 2010-11-09 United Microelectronics Corp. Contact layout structure
US7853915B2 (en) 2008-06-24 2010-12-14 Synopsys, Inc. Interconnect-driven physical synthesis using persistent virtual routing
MY152456A (en) 2008-07-16 2014-09-30 Tela Innovations Inc Methods for cell phasing and placement in dynamic array architecture and implementation of the same
US8136072B2 (en) 2008-11-03 2012-03-13 Arm Limited Standard cell placement
US8363455B2 (en) 2008-12-04 2013-01-29 David Rennie Eight transistor soft error robust storage cell
WO2010099673A1 (en) 2009-03-06 2010-09-10 Kaixin Inc. Leadless integrated circuit package having high density contacts
US8116121B2 (en) 2009-03-06 2012-02-14 Kabushiki Kaisha Toshiba Semiconductor device and manufacturing methods with using non-planar type of transistors
US8184472B2 (en) 2009-03-13 2012-05-22 International Business Machines Corporation Split-gate DRAM with lateral control-gate MuGFET
US8004042B2 (en) 2009-03-20 2011-08-23 Taiwan Semiconductor Manufacturing Company, Ltd. Static random access memory (SRAM) cell and method for forming same
US8053299B2 (en) 2009-04-17 2011-11-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabrication of a FinFET element
US8076236B2 (en) 2009-06-01 2011-12-13 Globalfoundries Inc. SRAM bit cell with self-aligned bidirectional local interconnects
US8782586B2 (en) 2009-07-16 2014-07-15 Cadence Design Systems, Inc. Method, system, and program product for routing an integrated circuit to be manufactured by doubled patterning
US8294212B2 (en) 2009-09-18 2012-10-23 Taiwan Semiconductor Manufacturing Company, Ltd. Methods and apparatus for SRAM bit cell with low standby current, low supply voltage and high speed
US8675397B2 (en) 2010-06-25 2014-03-18 Taiwan Semiconductor Manufacturing Company, Ltd. Cell structure for dual-port SRAM
US8860107B2 (en) 2010-06-03 2014-10-14 International Business Machines Corporation FinFET-compatible metal-insulator-metal capacitor
US8839162B2 (en) 2010-07-14 2014-09-16 International Business Machines Corporation Specifying circuit level connectivity during circuit design synthesis
US8796759B2 (en) 2010-07-15 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. Fin-like field effect transistor (FinFET) device and method of manufacturing same
US9159627B2 (en) 2010-11-12 2015-10-13 Tela Innovations, Inc. Methods for linewidth modification and apparatus implementing the same
US8418111B2 (en) 2010-11-24 2013-04-09 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for achieving multiple patterning technology compliant design layout
US8402397B2 (en) 2011-07-26 2013-03-19 Mentor Graphics Corporation Hotspot detection based on machine learning
US8689164B2 (en) 2011-10-18 2014-04-01 National Taiwan University Method of analytical placement with weighted-average wirelength model
US9006841B2 (en) 2011-12-30 2015-04-14 Stmicroelectronics International N.V. Dual port SRAM having reduced cell size and rectangular shape
FR2996950B1 (fr) 2012-10-11 2016-01-01 Dolphin Integration Sa Réseau de mémoire base sur des bascules
JP2016517862A (ja) 2013-04-25 2016-06-20 ユーハン・コーポレイションYUHAN Corporation 新規トリアゾロン誘導体またはその塩、およびそれを含む医薬組成物

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0289342A (ja) * 1988-09-27 1990-03-29 Nec Corp スタンダードセル上配線通過位置登録方法
JP2004342757A (ja) * 2003-05-14 2004-12-02 Toshiba Corp 半導体集積回路及びその設計方法
US20050001271A1 (en) * 2003-05-14 2005-01-06 Kabushiki Kaisha Toshiba Semiconductor integrated circuit and method of designing the same
US20060136848A1 (en) * 2004-12-20 2006-06-22 Matsushita Electric Industrial Co., Ltd. Cell, standard cell, standard cell library, a placement method using standard cell, and a semiconductor integrated circuit
JP2007043049A (ja) * 2004-12-20 2007-02-15 Matsushita Electric Ind Co Ltd セル、スタンダードセル、スタンダードセル配置方法、スタンダードセルライブラリ、ならびに半導体集積回路
US20070004147A1 (en) * 2005-06-30 2007-01-04 Tetsurou Toubou Semiconductor integrated circuit, standard cell, standard cell library, semiconductor integrated circuit designing method, and semiconductor integrated circuit designing equipment
JP2007012855A (ja) * 2005-06-30 2007-01-18 Matsushita Electric Ind Co Ltd 半導体集積回路、標準セル、標準セルライブラリ、半導体集積回路の設計方法および半導体集積回路の設計装置
US20070228419A1 (en) * 2006-03-31 2007-10-04 Fujitsu Limited Unit cell of semiconductor integrated circuit and wiring method and wiring program using unit cell
JP2007273762A (ja) * 2006-03-31 2007-10-18 Fujitsu Ltd 半導体集積回路のユニットセルおよびユニットセルを使用した配線方法および配線プログラム

Also Published As

Publication number Publication date
US20120273841A1 (en) 2012-11-01
KR20170072359A (ko) 2017-06-26
KR101739709B1 (ko) 2017-05-24
JP5923135B2 (ja) 2016-05-24
JP2014199934A (ja) 2014-10-23
MY152456A (en) 2014-09-30
US8214778B2 (en) 2012-07-03
KR20160031041A (ko) 2016-03-21
JP2012505526A (ja) 2012-03-01
MY167970A (en) 2018-10-09
US9424387B2 (en) 2016-08-23
WO2010008948A3 (en) 2011-04-07
SG10201608214SA (en) 2016-11-29
TWI402709B (zh) 2013-07-21
US9910950B2 (en) 2018-03-06
KR20160093095A (ko) 2016-08-05
KR101903975B1 (ko) 2018-10-04
SG192532A1 (en) 2013-08-30
US20140035152A1 (en) 2014-02-06
WO2010008948A2 (en) 2010-01-21
US8966424B2 (en) 2015-02-24
KR101761530B1 (ko) 2017-07-25
US20090271753A1 (en) 2009-10-29
TW201020836A (en) 2010-06-01
US20160357897A1 (en) 2016-12-08
US20150143321A1 (en) 2015-05-21
US20180196909A1 (en) 2018-07-12
EP2321748B1 (en) 2017-10-04
EP2321748A4 (en) 2014-08-27
JP5599395B2 (ja) 2014-10-01
EP2321748A2 (en) 2011-05-18
JP2016136650A (ja) 2016-07-28
US8549455B2 (en) 2013-10-01
KR101749351B1 (ko) 2017-06-20
KR20110050638A (ko) 2011-05-16
JP6412050B2 (ja) 2018-10-24

Similar Documents

Publication Publication Date Title
JP6412050B2 (ja) 動的アレイアーキテクチャにおけるセル位相整合及び配置の方法及びその実施
US9530795B2 (en) Methods for cell boundary encroachment and semiconductor devices implementing the same
US10074640B2 (en) Integrated circuit cell library for multiple patterning
US9607852B2 (en) Methods of dividing layouts and methods of manufacturing semiconductor devices using the same
US20090283915A1 (en) Oversized Contacts and Vias in Layout Defined by Linearly Constrained Topology
TWI443541B (zh) 多重圖形化用之佈局定義、元件庫產生、及積體電路設計之方法和光罩組
EP3327594A1 (en) Methods for cell phasing and placement in dynamic array architecture and implementation of the same
Shim et al. Lithography defect probability and its application to physical design optimization
JP2004304197A (ja) 半導体集積回路の製造方法

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20170607

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20170607

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20180215

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20180221

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20181031