KR101739709B1 - 동적 어레이 아키텍쳐에서의 셀 페이징과 배치를 위한 방법 및 그 구현 - Google Patents

동적 어레이 아키텍쳐에서의 셀 페이징과 배치를 위한 방법 및 그 구현 Download PDF

Info

Publication number
KR101739709B1
KR101739709B1 KR1020167005477A KR20167005477A KR101739709B1 KR 101739709 B1 KR101739709 B1 KR 101739709B1 KR 1020167005477 A KR1020167005477 A KR 1020167005477A KR 20167005477 A KR20167005477 A KR 20167005477A KR 101739709 B1 KR101739709 B1 KR 101739709B1
Authority
KR
South Korea
Prior art keywords
cell
virtual
level
layout
phase
Prior art date
Application number
KR1020167005477A
Other languages
English (en)
Other versions
KR20160031041A (ko
Inventor
조나단 알 콴트
스코트 티 베커
드루밀 간디
Original Assignee
텔라 이노베이션스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 텔라 이노베이션스, 인코포레이티드 filed Critical 텔라 이노베이션스, 인코포레이티드
Publication of KR20160031041A publication Critical patent/KR20160031041A/ko
Application granted granted Critical
Publication of KR101739709B1 publication Critical patent/KR101739709B1/ko

Links

Images

Classifications

    • G06F17/5054
    • G06F17/5068
    • G06F17/5072
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/34Circuit design for reconfigurable circuits, e.g. field programmable gate arrays [FPGA] or programmable logic devices [PLD]
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/392Floor-planning or layout, e.g. partitioning or placement
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/398Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/0203Particular design considerations for integrated circuits
    • H01L27/0207Geometrical layout of the components, e.g. computer aided design; custom LSI, semi-custom LSI, standard cell technique
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/10Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration
    • H01L27/118Masterslice integrated circuits
    • H01L27/11803Masterslice integrated circuits using field effect technology
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2119/00Details relating to the type or aim of the analysis or the optimisation
    • G06F2119/18Manufacturability analysis or optimisation for manufacturability
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P90/00Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
    • Y02P90/02Total factory control, e.g. smart factories, flexible manufacturing systems [FMS] or integrated manufacturing systems [IMS]

Abstract

제 1 가상 그레이트 (grate) 에 따라 레이아수 피쳐들이 배치되는 제 1 칩 레벨과, 제 2 가상 그레이트에 따라 레이아웃 피쳐들이 배치되는 제 2 칩 레벨을 갖는 로직 블록 영역을 포함하도록 반도체 칩이 정의된다. 제 1 및 제 2 가상 그레이트들 사이에 유리 공간 관계가 존재한다. 다수의 셀들은 로직 블록 영역 내에 배치된다. 다수의 셀들의 각각은 다수의 셀 페이즈들 중 적절한 하나의 셀 페이즈에 따라 정의된다. 적절한 셀 페이즈는 소정의 배치된 셀의 제 1 및 제 2 칩 레벨에서의 레이아웃 피쳐들이 소정의 배치된 셀 내에 위치된 제 1 및 제 2 가상 그레이트들과 정렬되게 한다.

Description

동적 어레이 아키텍쳐에서의 셀 페이징과 배치를 위한 방법 및 그 구현{METHODS FOR CELL PHASING AND PLACEMENT IN DYNAMIC ARRAY ARCHITECTURE AND IMPLEMENTATION OF THE SAME}
최신 반도체 칩 ("칩") 설계에 있어서, 표준 셀들은 특정 로직 기능을 정의하기 위해 칩 상에 배치된다. 칩 상에 임의로 배치되는 경우 각각의 표준 셀이 제조가능하게 되는 것을 보장하기 위해, 각각의 표준 셀은 인접 도전성 피쳐들 사이의 설계 룰 (DR) 간격 (sapcing) 요건의 1/2과 동등하게 크기가 정해진 에지 제거 존 (edge exclusion zone) 을 갖도록 정의된다. 이러한 방식으로, 임의의 2개의 표준 셀들이 서로 바로 옆에 배치되는 경우, 인터페이싱 경계부에서의 결합된 제거 존은 인접 도전성 피쳐들 사이의 적어도 DR 간격 요건과 동등하게 될 것이다. 이로써, 제거 존은 피쳐들이 셀-투-셀 인터페이스 문제들에 영향을 미치지 않으면서 표준 셀 내에서 임의로 배치되는 것을 가능하게 한다. 그러나, 많은 표준 셀들이 칩 상에 함께 배치되는 경우, 표준 셀들과 연관된 에지 제거 존들은 결합하여 고가의 많은 칩 영역을 점유할 수 있다.
상술한 것을 고려하여, 특히 셀들이 제약된 레이아웃 아키텍쳐에 따라 정의되는 경우, 칩 영역 및 라우팅 리소스들이 가장 효율적으로 사용될 수 있도록 셀 레이아웃 및 배치를 최적화하는 것이 관점이다.
일 실시형태에 있어서, 반도체 칩이 개시된다. 반도체 칩은 로직 블록 영역을 포함한다. 로직 블록 영역은 레이아웃 피쳐들이 제 1 가상 그레이트 (grate) 에 따라 배치되는 제 1 칩 레벨을 포함한다. 또한, 로직 블록 영역은 제 2 가상 그레이트에 따라 레이아웃 피쳐들이 배치되는 제 2 칩 레벨을 포함한다. 제 1 및 제 2 가상 그레이트들 사이에 유리 공간 관계 (rational spatial relationship) 가 존재한다. 다수의 셀들은 로직 블록 영역 내에 배치된다. 다수의 셀들의 각각은 다수의 셀 페이즈들 중 적절한 하나의 셀 페이즈에 따라 정의된다. 적절한 셀 페이즈는 소정의 배치된 셀의 제 1 및 제 2 칩 레벨에서의 레이아웃 피쳐들이 소정의 배치된 셀 내에 위치된 제 1 및 제 2 가상 그레이트들과 정렬되게 한다.
다른 실시형태에 있어서, 반도체 칩의 지정된 영역 내에 셀들의 배치를 가능하게 하는 상이한 셀 페이즈의 셀 변형물들을 정의하는 방법이 개시된다. 그 방법은 반도체 칩의 지정된 영역에 대해 페이즈 공간을 식별하기 위한 동작을 포함한다. 페이즈 공간은 반도체 칩의 지정된 영역 내에서 유리 공간 관계를 갖는 2 개의 가상 그레이트들 사이의 동일한 관계의 연속적인 발생들 사이에서 수직으로 연장하는 거리로서 정의된다. 또한, 그 방법은 페이즈 공간의 좌측 에지와 서브젝트 셀의 좌측 경계부를 정렬시키기 위한 동작을 포함한다. 페이즈 공간의 좌측 에지와 정렬된 서브젝트 셀의 좌측 경계부에 있어서, 서브젝트 셀의 좌측 경계부에 대한 2 개의 가상 그레이트들의 위치들에 기초하여 서브젝트 셀의 제 1 페이즈를 정의하기 위해 동작이 수행된다. 서브젝트 셀의 제 1 페이즈는 셀 라이브러리에 저장된다. 그 방법은 서브젝트 셀의 좌측 경계부를 페이즈 공간에 걸친 현재 위치에서 페이즈 공간 내의 서브젝트 셀의 좌측 경계부의 다음의 가능한 위치로 이동시키기 위한 동작을 포함한다. 다음의 가능한 위치와 정렬된 서브젝트 셀의 좌측 경계부에 있어서, 서브젝트 셀의 경계부에 대한 2 개의 가상 그레이트들의 위치들에 기초하여 서브젝트 셀의 다음 페이즈를 정의하기 위한 동작이 수행된다. 서브젝트 셀의 다음 페이즈는 셀 라이브러리에 저장된다. 그 방법은 서브젝트 셀의 좌측 경계부를 페이즈 공간 내의 가능한 위치들의 각각으로 이동시키고, 페이즈 공간 내의 서브젝트 셀의 좌측 경계부의 각각의 가능한 위치에서 서브젝트 셀의 상이한 페이즈를 정의하고 저장하는 것으로 지속된다.
다른 실시형태에 있어서, 반도체 칩의 지정된 영역 내에 셀을 배치하기 위한 방법이 개시된다. 그 방법은 반도체 칩의 지정된 영역 내의 2개의 페이징된 칩 레벨들 각각에 대해 각각의 가상 그레이트들을 정의하기 위한 동작을 포함한다. 2개의 페이징된 칩 레벨들의 가상 그레이트들은 유리 공간 관계를 갖도록 정의된다. 또한, 그 방법은 반도체 칩의 지정된 영역 내에서 셀들을 배치하기 위한 동작을 포함한다. 그 방법은 반도체 칩의 지정된 영역 내의 각각의 배치된 셀에 대해 요구되는 셀 페이즈를 결정하기 위한 동작을 더 포함한다. 반도체 칩의 지정된 영역 내의 각각의 배치된 셀에 대해, 요구된 셀 페이즈를 갖는 배치된 셀의 변형물을 치환하도록 동작이 수행되어, 배치된 셀의 치환된 변형물 내의 2개의 페이징된 칩 레벨들의 각각에서의 레이아웃 피쳐들은 2개의 페이징된 칩 레벨들의 가상 그레이트들과 정렬한다.
일 실시형태에 있어서, 컴퓨터 판독가능 저장 매체는 디지털 포맷에 기록된 반도체 칩 레이아웃을 포함하도록 개시된다. 반도체 칩 레이아웃은 제 1 가상 그레이트에 따라 레이아웃 피쳐들이 배치되는 제 1 칩 레벨을 포함하는 로직 블록 영역을 포함한다. 또한, 반도체 칩 레이아웃은 제 2 가상 그레이트에 따라 레이아웃 피쳐들이 배치되는 제 2 칩 레벨을 포함한다. 제 1 및 제 2 가상 그레이트들 사이에 유리 공간 관계가 존재한다. 반도체 칩 레이아웃은 로직 블록 영역 내에 배치된 다수의 셀들을 더 포함한다. 다수의 셀들의 각각은 다수의 셀 페이즈들 중 적절한 하나의 셀 페이즈에 따라 정의된다. 다수의 셀 페이즈들 중 적절한 하나의 셀 페이즈는 소정의 배치된 셀의 제 1 및 제 2 칩 레벨에서의 레이아웃 피쳐들이 소정의 배치된 셀 내에 위치되는 제 1 및 제 2 가상 그레이트들과 정렬되게 한다.
일 실시형태에 있어서, 컴퓨터 판독가능 저장 매체 상의 디지털 포맷에 저장된 셀 라이브러리가 개시된다. 셀 라이브러리는 소정의 셀의 상이한 페이즈에 대응하는 복수의 셀 레이아웃들을 포함한다. 소정의 셀은 가상 그레이트에 따라 레이아웃 피쳐들이 배치되는 적어도 하나의 칩 레벨을 포함한다. 가상 그레이트는 셀 레이아웃에 걸쳐 연장하는 평행한 등간격 (equally spaced) 가상 라인들의 세트에 의해 정의된다. 소정의 셀의 각각의 상이한 페이즈는 가상 그레이트의 최근방의 가상 라인과 기준 셀 경계부 사이에서의 상이한 간격에 의해 정의된다.
본 발명의 다른 목적 및 이점들은 본 발명의 예시로서 도시하는 첨부 도면들과 함께 취해지는 다음의 상세한 설명으로부터 더욱 명백해질 것이다.
도 1a는 본 발명의 일 실시형태에 따라, 로직 블록을 포함하도록 정의된 반도체 칩을 나타내는 도면이다.
도 1b는 본 발명의 일 실시형태에 따라, 로직 블록에서의 셀들의 배치를 나타내는 도면이다.
도 2a는 본 발명의 일 실시형태에 따라, 그 상부에 정의된 2개의 별도의 가상 그레이트를 갖는 반도체 칩의 로직 블록 영역을 나타내는 도면이다.
도 2b는 본 발명의 일 실시형태에 따라 로직 블록의 좌측 하부 코너에서 원점(origin)에 인덱싱되는, 게이트 레벨 및 M2 레벨 가상 그레이트에 관한 예시적인 로직 블록을 나타내는 도면이다.
도 2c는 본 발명의 일 실시형태에 따라, 로직 블록 내의 다양한 셀 배치를 위해 요구된 셀 페이징에 기초하여 각 셀에 대해 치환된 적절한 셀 변형물에 의한, 도 2b의 셀 배치를 나타내는 도면이다.
도 3a 내지 도 3h는 본 발명의 일 실시형태에 따라, 도 2a의 로직 블록에 배치된 셀에 대해 존재할 수도 있는 상이한 셀 페이즈들을 나타내는 도면들이다.
도 3i 내지 도 3p는 본 발명의 일 실시형태에 따라, 가상 그레이트들이 서로 정확하게 정렬하지 않으면서 서로 페이징되는 상이한 셀 페이즈를 나타내는 도면들이다.
도 4는 본 발명의 일 실시형태에 따라, 각 셀에 대해 도시된 예시적인 게이트 레벨 및 M2 레벨 레이아웃 형상을 갖는, 도 2c의 로직 블록의 로우(Row) 1을 나타내는 도면이다.
도 5는 본 발명의 일 실시형태에 따라, 동적 어레이 아키텍쳐에 의해 정의된 반도체 칩 상의 영역 내에 셀들의 배치를 가능하게 하도록 상이한 셀 페이즈의 셀 변형물들을 정의하는 방법의 플로우챠트를 나타내는 도면이다.
도 6은 본 발명의 일 실시형태에 따라, 동적 어레이 아키텍처에 의해 정의된 반도체 칩의 일 부분 내에 셀들을 배치하는 방법의 플로우챠트를 나타내는 도면이다.
도 7은 본 발명의 일 실시형태에 따라, DAS 내에 정의된 인접하게 배치된 로직 셀들의 제 2 상호접속 레벨에서의 상이한 페이징의 일 예를 나타내는 도면이다.
도 8은 본 발명의 일 실시형태에 따라, 동적 어레이 아키텍쳐 내에 정의된 가상 라인들의 일 예를 나타낸다.
다음의 설명에 있어서, 다수의 특정 상세들은 본 발명의 완전한 이해를 제공하기 위해 설명된다. 그러나, 이러한 특정 상세들의 일부 또는 전부 없이도 본 발명이 실시될 수 있는 것은 당업자에게 자명할 것이다. 다른 예시들에 있어서, 주지된 공정 동작들은 본 발명을 불필요하게 모호하게 하지 않게 하기 위해 상세하게 설명되지 않는다.
도 1a는 본 발명의 실시 형태에 따라, 로직 블록 (103) 을 포함하도록 정의된 반도체 칩 ("칩", 101) 을 나타내는 도면이다. 로직 블록 (103) 은 칩 (101)의 실리콘 기판 상에 정의된 멀티 레벨 구조 형태의 집적 회로 디바이스들을 포함한다. 기판 레벨에서, 확산 영역들을 갖는 트랜지스터 디바이스들이 형성된다. 후속 레벨들에 있어서, 상호접속 금속 라인들은 패터닝되어 트랜지스터들에 전기적으로 접속되어 원하는 집적 회로 디바이스를 정의한다. 또한, 패터닝된 도전성 층들은 유전체 재료에 의해 다른 도전성 층들과 절연된다. 칩 (101) 의 각 레벨 내에 확산 영역들, 트랜지스터 디바이스들, 금속 라인들, 상호접속 등을 정의하는데 사용되는 구조적 피쳐들은, 특정 레이아웃에 따라 정의된다. 부가적으로, 칩 (101) 의 소정 레벨에 대한 글로벌 레이아웃은, 각 레이아웃 영역이 소정의 로직 구성과 연관되어 있는 많은 소형 레이아웃 영역들로 분리될 수도 있다. 게다가, 칩 (101) 의 소정의 수직 컬럼 (column) 내의 칩 (101) 멀티 레벨들 내의 레이아웃 영역들은 셀로서 지칭되는 로직 유닛을 형성하도록 함께 집적될 수 있다.
본 명세서에서 언급되는 바와 같이, 셀은 로직 기능의 축약을 나타내며, 로직 기능을 구현하기 위한 하부 레벨 집적 회로 레이아웃들을 캡슐화한다. 소정의 로직 기능은 복수의 셀 변형물들에 의해 표현될 수 있음을 이해해야 하며, 여기서 셀 변형물들은 피쳐 크기, 성능 및 프로세스 보상 기술 (porcess conpensation technique; PCT) 처리에 의해 구별될 수도 있다. 예를 들어, 소정의 로직 기능에 대한 복수의 셀 변형물들은 전력 소모, 신호 타이밍, 전류 누설, 칩 영역, OPC (optical proximity correction), RET (reticle enhnacement technology) 등에 의해 구별될 수도 있다. 또한, 셀의 로직 기능을 구현하는데 요구되는 바와 같이, 각각의 셀 디스크립션 (description) 은 칩의 연관된 수직 컬럼 내에 칩의 각 레벨에 셀에 대한 레이아웃들을 포함하는 것임을 이해해야 한다. 보다 구체적으로, 셀 디스크립션은 기판 레벨 업으로부터 특정 상호접속 레벨을 통해 연장하는 칩의 각 레벨에서의 셀에 대한 레이아웃들을 포함한다.
일 실시형태에 있어서, 로직 블록 (103) 은 로직 블록 (103) 내의 로우들에 다양한 로직 기능의 다수의 셀들을 배치함으로써 정의된다. 예를 들어, 다수의 셀들 (A-Z) 이 로직 블록 (103) 내에서 사용하기에 유용한지를 고려하는데, 여기서 각 셀들 (A-Z) 은 상이한 로직 기능을 수행하기 위해 정의된다. 이 예시적인 실시형태에 있어서, 로직 블록 (103) 은 도 1b에 나타낸 바와 같이, 로직 블록 (103) 의 로우1 내지 로우10 내의 셀들 (A-Z) 의 배치에 의해 정의될 수도 있다. 이 예시적인 실시형태에 있어서, 소정의 로우에 걸쳐서 좌측에서 우측까지 측정되는 셀들의 폭은 셀에서 셀까지 변화할 수 있다. 그러나, 소정의 로우 내에서 수직으로 측정되는 셀들의 높이는 셀에서 셀까지 실질적으로 동일하며, 이에 의해 로직 블록 (103) 이 일치하는 높이로 인접하여 정의된 셀들의 로우들에 의해 점유될 수 있게 한다. 또한, 일부 실시형태에 있어서, 셀들의 높이는 로우에서 로우까지 및/또는 로우 내에서 변화할 수도 있다.
동적 어레이 아키텍쳐는, 레이아웃 피쳐들이 셀의 다수의 레벨들에서, 즉 칩 (101)과 같은 반도체 칩의 다수의 레벨들에서 규칙적인 간격의 가상 그레이트 (또는 규칙적인 간격의 가상 그리드) 를 따라 정의되는, 반도체 디바이스 설계 패러다임을 나타낸다. 가상 그레이트는 소정의 칩 영역에서 소정 레벨에 걸려 연장하는 등간격 평행 가상 라인들의 세트에 의해 정의된다. 가상 그레이트의 인접 가상 라인들 사이에서 수직으로 측정되는, 등간격은 가상 그레이트 피치로서 정의된다. 예를 들어, 도 2a는 본 발명의 일 실시형태에 따라 그 상부에 정의된 2개의 별개의 가상 그레이트들을 갖는 칩 (101) 의 로직 블록 (103) 영역을 나타낸다. 보다 상세하게, 하나의 가상 그레이트는 칩 (101) 의 그레이트 레벨에 대해 로직 블록 (103) 위에 정의되고, 다른 가상 그레이트는 칩 (101) 의 제 2 상호접속 레벨 (M2 레벨) 에 대해 로직 블록 (103) 위에 정의된다.
일 실시형태에 있어서, 소정 레벨의 가상 그레이트는 인접 레벨의 가상 그레이트에 실질적으로 수직이 되도록 배향된다. 예를 들어, 일 실시형태에 있어서, 제 1 상호접속 레벨 (M1 레벨) (미도시)에 대한 가상 그레이트는 게이트 레벨 및 M2 레벨 가상 그레이트 모두에 대해 수직인 방향으로 연장한다. 그러나, 일부 실시형태에 있어서, 소정 레벨의 가상 그레이트는 인접 레벨의 가상 그레이트에 대해 평행하거나 수직으로 배향될 수도 있음을 이해해야 한다.
일 실시형태에 있어서, 칩의 다양한 레벨 내의 각 가상 그레이트는 단일 좌표 시스템의 원점에 인덱싱된다. 이에 따라, 좌표 시스템은 칩의 다양한 레벨 내의 가상 그레이트들 사이의 공간 관계의 제어를 가능하게 한다. 예를 들어, 도 2a의 예시적인 실시형태에 있어서, 게이트 레벨 및 M2 레벨 가상 그레이트들의 각각은 좌표 시스템의 원점 (0, 0) 에 인덱싱되는데, 여기서 원점 (0, 0) 은 칩 (101) 상의 로직 블록 (103) 영역의 좌측 하부 코너에 위치된다. 좌표 시스템의 원점 (0, 0) 이 칩 (101) 상의 임의의 위치에 위치될 수 있고, 또한 셀들이 배치될 수 있는 로직 블록의 특정 위치 (예를 들어, 로직 블록 (103)의 하부 좌측 코너) 에 위치될 것이 요구되는 것은 아님을 이해해야 한다. 또한, 가상 그레이트의 소정 공간 위치로의 인덱싱은 가상 그레이트가 가상 라인들 중 하나가 소정의 공간 위치를 통과하도록 정의되는 것을 의미함을 이해해야 한다.
칩의 다양한 레벨들에서의 가상 그레이트들 사이의 공간 관계는 본질적으로 임의의 많은 방식으로 정의될 수 있다. 그러나, 공통으로 배향된 (즉, 칩에 걸쳐 연장 방향이 평행) 가상 그레이트들 사이의 공간 관계는 유리수로 정의될 수 있어서, 가상 그레이트들이 특정 공간 주파수에서 서로 정렬한다. 특히, 동일한 좌표 시스템의 원점에 인덱싱되는 임의의 2개의 가상 그레이트들에 대해, 가상 그레이트 피치들의 비율이 유리수로 정의될 수 있어서, 그 2개의 가상 그레이트들은 특정 공간 주파수에서 정렬한다. 예를 들어, 도 2a는 M2 레벨 및 게이트 레벨 가상 그레이트들 사이의 공간 관계가 M2 레벨 대 게이트 레벨 가상 그레이트 피치 비율이 4/3 으로 정의된 것을 나타낸다. 이로써, M2 레벨 및 게이트 레벨 가상 그레이트들은 원점 (0, 0) 에 대해 4번째 게이트 레벨 가상 그레이트 마다에서 서로 정렬한다. 공통으로 배향되고, 공통 공간 위치에 인덱싱되며, 유리수로 정의된 가상 그레이트 피치들의 비율을 갖는, 2개의 가상 그레이트들은 유리 공간 관계를 갖는 것으로 고려된다.
도 8은 본 발명의 일 실시형태에 따라, 동적 어레이 아키텍쳐 내에 정의된 가상 라인들 (801A ~ 801E) 의 일 예를 나타낸다. 가상 라인들 (801A ~ 801E) 은 특정 피치 (807) 와 동등한 그 사이의 수직 간격을 갖으며, 평행 방식으로 레이아웃에 걸쳐 연장한다. 예시를 위한 목적으로, 상보형 확산 영역들 (803 및 805) 이 도 8에 도시된다. 확산 영역들 (803 및 805) 는 게이트 레벨 아래의 확산 레벨에서 정의된다는 것을 이해해야 한다. 또한, 확산 영역들 (803 및 805) 은 예시로서 제공되며 동적 어레이 아키택쳐와 관련된 확산 레벨 내에서 확산 영역 크기, 형상 및/또는 배치에 대한 임의의 한정을 나타내는 것이 아님을 이해해야 한다.
동적 어레이 아키텍쳐 내에서, 피쳐 레이아웃 채널은 소정의 가상 라인에 인접 가상 라이들 사이에서 연장하도록 소정의 가상 라인에 관하여 정의된다. 예를 들어, 피쳐 레이아웃 채널들 (801A-1 내지 801E-1) 은 각각 가상 라인들 (801A 내지 801E) 에 관하여 정의된다. 각 가상 라인은 대응하는 피쳐 레이아웃 채널을 가짐을 이해해야 한다. 또한, 규정된 레이아웃 간격의 에지에 인접하여, 예를 들어 셀 경계부에 인접하여 위치된 가상 라인들에 대하여, 대응하는 피쳐 레이아웃 채널은, 피쳐 레이아웃 채널들 (801A-1 및 801E-1) 에 의해 도시된 바와 같이, 규정된 레이아웃 간격 외측에 가상 라인이 존재하는 경우와 같이 연장한다. 각 피쳐 레이아웃 채널은 그 대응하는 가상 라인의 전체 길이를 따라 연장하도록 정의된다는 것을 또한 이해해야 한다.
또한, 도 8은 가상 라인들 (801A 내지 801E) 에 각각 대응하는 피쳐 레이아웃 채널들 (801A-1 내지 801E-1) 에 따라 정의된 다수의 예시적인 레이아웃 피쳐들 (809~823) 을 나타낸다. 동적 어레이 아키택쳐 내에서, 소정의 가상 라인과 연관된 레이아웃 피쳐들은 가상 라인과 연관된 피쳐 레이아웃 채널 내에 정의된다. 또한, 인접 가상 라인들과 연관된 피쳐 레이아웃 채널들 내에 정의된 레이아웃 피쳐들 사이에서 물리적 접촉이 방지된다.
근접 레이아웃 피쳐는 회로의 활성부를 정의하는 부분과 회로의 일 부분을 정의하지 않는 부분을 모두 포함할 수 있다. 예를 들어, 게이트 레벨에 있어서, 근접 레이아웃 피쳐는 하부 칩 레벨의 유전체 영역과 확산 영역 모두에 통해 연장할 수 있다. 일 실시형태에 있어서, 트랜지스터의 게이트 전극을 형성하는 게이트 레벨 레이아웃 피쳐의 각 부분은 소정의 가상 라인에 실질적으로 중심이 있도록 위치된다. 또한, 이 실시형태에 있어서, 트랜지스터의 게이트 전극을 형성하지 않는 게이트 레벨 레이아웃 피쳐의 부분들은 소정의 가상 라인과 연관된 피쳐 레이아웃 채널 내에 위치될 수 있다. 이에 따라, 소정의 게이트 레벨 레이아웃 피쳐의 게이트 전극 부분들이 소정의 피쳐 레이아웃 채널에 대응하는 가상 라인에 중심이 있는 한, 그리고 소정의 게이트 레벨 레이아웃 피쳐가 인접 피쳐 레이아웃 채널들에서의 다른 게이트 레벨 레이아웃 피쳐와 관련된 설계 룰 간격 요건들을 따르는 한, 소정의 게이트 레벨 레이아웃 피쳐는 본질적으로 피쳐 레이아웃 채널 내의 어디에나 정의될 수 있다.
도 8에 나타낸 바와 같이, 레이아웃 피쳐 (809) 는 가상 라인 (801A) 과 연관된 피쳐 레이아웃 채널 (801A-1) 내에 정의된다. 레이아웃 피쳐들 (809) 의 일 부분들은 실질적으로 가상 라인 (801A) 에 중심이 있다. 또한, 레이아웃 피쳐 (809) 의 다른 부분들은 인접 피쳐 레이아웃 채널 (801B-1) 내에 정의된 레이아웃 피쳐들 (811 및 813) 에 대해 설계 룰 간격 요건들을 유지한다. 유사하게, 레이아웃 피쳐들 (811 ~ 823) 은 그들 각각의 피쳐 레이아웃 채널 내에 정의되고, 그들 각각의 피쳐 레이아웃 채널에 대응하는 가상 라인에 실질적으로 중심이 있는 부분들을 포함한다. 또한, 각 레이아웃 피쳐들 (811 ~ 823) 은 인접 피쳐 레이아웃 채널들 내에 정의된 레이아웃 피쳐들에 의해 설계 룰 간격 요건들을 유지하며, 인접 피쳐 레이아웃 채널들 내에 정의된 임의의 다른 레이아웃 피쳐와의 물리적 콘택을 회피한다.
도 8의 예시의 피쳐 레이아웃 채널들 (801A-1 내지 801E-1) 에 의해 도시된 바와 같이, 각 피쳐 레이아웃 채널은 소정의 가상 라인과 연관되며, 소정의 가상 라인을 따라 그리고 소정의 가상 라인으로부터 레이아웃 경계부 외측의 가상 라인 또는 인접 가상 라인 중 어느 하나에 가장 가까운 가상 라인까지 각각 반대 방향에서 외측으로 수직하게 연장하는 레이아웃 영역에 대응한다. 또한, 각 레이아웃 피쳐는 서로 접한 피쳐 레이아웃 채널 내에 정의된 또 다른 레이아웃 피쳐와 물리적으로 콘택하지 않으면서 그 피쳐 레이아웃 채널 내에 정의된다.
일부 레이아웃 피쳐들은 그 길이를 따라 임의의 다수의 위치들에 정의된 하나 이상의 콘택 헤드 부분들을 가질 수도 있다. 소정의 레이아웃 피쳐의 콘택 헤드 부분은 콘택 구조를 수용하기에 충분한 크기의 폭과 높이를 갖는 레이아웃 피쳐의 세그먼트로서 정의되는데, 여기서 "폭"은 소정의 레이아웃 피쳐의 가상 라인에 수직인 방향으로 기판에 걸쳐 정의되며, "높이"는 소정의 레이아웃 피쳐의 가상 라인에 평행한 방향으로 기판에 걸쳐 정의된다. 레이아웃 피쳐의 콘택 헤드는, 위에서 볼 때, 정사각형 또는 직사각형을 포함하는 실질적으로 임의의 레이아웃 형상으로 정의될 수 있음을 이해해야 한다. 또한, 레이아웃 요건들 및 회로 설계에 따라, 레이아웃 피쳐의 소정의 콘택 헤드 부분은 그 위에 정의된 콘택을 가질 수도 있고 가지지 않을 수도 있다.
일 실시형태에 있어서, 레이아웃 피쳐들은 제조 및 설계 프로세스에 대해 정확하게 예측되고 최적화될 수 있는, 한정된 수의 제어된 레이아웃 형상 투 형상 (shape-to-shape) 리소그라피 상호작용을 제공하기 위해 정의된다. 이 실시형태에 있어서, 레이아웃 피쳐들은 높은 가능성에 의해 정확하게 예측되고 완화될 수 없는 레이아웃 내에서 불리한 리소그라피 상호작용을 도입하게 되는 레이아웃 형상 투 형상 공간 관계들을 회피하도록 정의된다. 그러나, 피쳐 레이아웃 채널들 내에서의 레이아웃 피쳐들의 방향 변화들은 대응하는 리소그라피 상호작용들이 예측가능하고 관리가능한 경우 수용될 수 있다는 것을 이해해야 한다.
일 실시형태에 있어서, 소정 레벨의 각 레이아웃 피쳐는 소정 레벨과 연관된 가상 그레이트의 가상 라인들 중 하나의 라인에 실질적으로 중심을 두고 있다. 레이아웃 피쳐의 중심 라인과 가상 그레이트의 특정 라인 사이의 정렬에 있어서의 편차가 충분히 작은 경우, 레이아웃 피쳐의 중심 라인과 가상 그레이트의 라인 사이의 실제 정렬로 달성될 수 있게 되는 것으로부터 제조 프로세스 윈도우를 감소시키지 않도록 레이아웃 피쳐가 실질적으로 가상 그레이트의 특정 라인에 중심을 두도록 고려된다. 이에 따라, 본 실시형태에 있어서, 유리 공간 관계의 가상 그레이트들에 따라 상이한 칩 레벨에 배치되는 레이아웃 피쳐들은 유리 공간 관계에 의해 정의된 공간 주파수에서 정렬될 것이다. 일 실시형태에 있어서, 상기 언급한 제조 프로세스 윈도우는 레이아웃 피쳐의 수용가능한 충실도 (fidelity)를 산출하는 노광 및 포커스의 리소그라피 도메인에 의해 정의된다. 일 실시형태에 있어서, 레이아웃 피쳐의 충실도는 레이아웃 피쳐의 특징적인 디멘션에 의해 정의된다.
동적 어레이 아키텍쳐에 있어서, 제조된 (as-fabricated) 레이아웃 피쳐의 수직 단면 형상에 있어서의 변화들은, 수직 단면 형상에서의 변화가 제조 관점으로부터 예측가능하고 소정의 레이아웃 피쳐 또는 이웃하는 레이아웃 피쳐들의 제조에 악영향을 미치지 않는 정도까지 허용될 수 있다. 이와 관련하여, 수직 단면 형상은 칩의 기판 및 레이아웃 피쳐의 중심 라인 모두에 수직인 평면에 제조된 레이아웃 피쳐의 절단에 대응한다. 제조된 레이아웃 피쳐의 수직 단면에서의 그 길이에 따른 변화는 그 길이에 따른 레이아웃 피쳐의 폭의 변화에 대응할 수 있다. 이에 따라, 폭 변화가 제조 관점으로부터 예측가능하고 레이아웃 피쳐 또는 이웃하는 레이아웃 피쳐의 제조에 악영향을 미치지 않는 한, 동적 어레이 아키텍쳐는 또한 제조된 레이아웃 피쳐의 그 길이에 따른 폭의 변화를 수용한다.
부가적으로, 소정의 레벨 내의 상이한 레이아웃 피쳐들은 동일한 폭 또는 상이한 폭들을 갖도록 설계될 수 있다. 또한, 소정의 가상 그레이트의 인접 라인들을 따라 정의된 다수의 레이아웃 피쳐들의 폭은 다수의 레이아웃 피쳐들이 서로 콘택하여 다수의 레이아웃 피쳐들의 폭들의 합과 같은 폭을 갖는 단일 레이아웃 피쳐를 형성하도록 설계될 수 있다.
동적 어레이 아키텍쳐에 따라 정의된 소정의 레벨 내에서, 인접하여 공동 정렬된 선 형상의 레이아웃 피쳐들의 근접 종단들은 실질적으로 균일한 갭으로 서로 이격될 수도 있다. 보다 상세하게, 가상 그레이트의 공통 라인을 따라 정의된 선 형상의 레이아웃 피쳐들의 인접 종단들은 종단 갭에 의해 분리되고, 가상 그레이트와 연관된 레벨 내의 그러한 종단 갭들은 실질적으로 균일한 거리에 걸치도록 정의될 수도 있다. 부가적으로, 일 실시형태에 있어서, 종단 갭들의 크기는 선 형상의 레이아웃 피쳐로 소정 레벨의 파일링을 최적화하도록 제조 프로세스 능력 내에서 최소화된다.
또한, 동적 어레이 아키텍쳐에 있어서, 레벨은 임의의 수의 레이아웃 피쳐들에 의해 점유되는 임의의 수의 가상 그레이트 라인을 갖도록 정의될 수 있다. 일 실시형태에 있어서, 소정의 레벨은 그 가상 그레이트의 모든 라인들이 적어도 하나의 레이아웃 피쳐에 의해 점유되도록 정의될 수 있다. 다른 실시형태에 있어서, 소정의 레벨은 그 가상 그레이트의 일부 라인들이 적어도 하나의 레이아웃 피쳐에 의해 점유되고 그 가상 그레이트의 다른 라인들은 비어 있도록, 즉 임의의 레이아웃 피쳐들에 의해 점유되지 않도록 정의될 수 있다. 또한, 소정의 레벨에 있어서, 연속적으로 인접하는 가상 그레이트 라인들의 임의의 수는 비어있는 상태로 남겨질 수 있다. 또한, 소정 레벨에 있어서의 레이아웃 피쳐들에 의한 가상 그레이트 라인의 점유 대 공석은 소정의 레벨에 걸친 패턴 또는 반복 패턴에 따라 정의될 수도 있다.
부가적으로, 동적 어레이 아키텍쳐 내에서, 비아들 및 콘택들은 다양한 레벨에서의 다수의 레이아웃 피쳐들을 상호접속하도록 정의되어 다수의 기능성 전자 디바이스들, 예를 들어 트랜지스터들 및 전자 회로들을 형성한다. 비아들 및 콘택들에 대한 레이아웃 피쳐들은 가상 그리드에 정렬될 수 있는데, 여기서 이러한 가상 그리드의 사양은 비아들 및 콘택들이 연결될 다양한 레벨들과 연관된 가상 그레이트들의 사양들의 함수이다. 이로써, 다양한 레벨들에서의 다수의 레이아웃 피쳐들은 전자 회로의 기능성 컴포넌트들을 형성한다. 부가적으로, 다양한 레벨들 내의 레이아웃 피쳐들의 일부는 전자 회로에 대해 비 기능성일 수도 있지만 그럼에도 불구하고 이웃하는 레이아웃 피쳐들의 제조를 강화하도록 제조된다.
반도체 디바이스의 레이아웃 피쳐들이 리소그라피 제조 프로세스에 있어서의 레이아웃 피쳐들을 렌더링하는데 사용되는 광의 파장보다 작게 크기가 정해지는 경우에도, 동적 레이아웃 아키텍쳐는 높은 가능성으로 반도체 디바이스 제조능력의 정확한 예측을 가능하게 하도록 정의된다는 것을 이해하여야 한다. 다수의 셀의 레벨들에 있어서 규칙적인 간격의 그레이트 (또는 규칙적인 간격의 그리드) 상에 레이아웃 피쳐를 배치함으로써 동적 어레이 아키텍쳐가 정의되어, 셀의 소정 레벨에서의 레이아웃 피쳐들이 그 피쳐 레이아웃 채널 내에 한정되고, 그리고 인접 피쳐 레이아웃 채널들에서의 레이아웃 피쳐들은 서로 물리적으로 콘택하지 않는다는 것을 이해해야 한다. 또한, 동적 어레이 아키텍쳐는 하나 이상의 칩 레벨에 적용될 수 있다는 것을 이해해야 한다. 예를 들어, 일 실시형태에 있어서, 단지 칩의 게이트 레벨만이 동적 어레이 아키텍쳐에 따라 정의된다. 다른 실시형태에 있어서, 게이트 레벨 및 하나 이상의 상호접속 레벨들이 동적 어레이 아키텍쳐에 따라 정의된다.
도 1b를 다시 참조하면, 예시적인 로직 블록이 로우들 (1-10) 내의 셀들 (A-Z) 의 배치에 의해 정의된다. 도 2b는 로직 블록 (103)의 하부 좌측 코너에서 원점 (0,0) 에 인덱싱되는 게이트 레벨 및 M2 레벨 가상 그레이트들에 관한 예시적인 로직 블록 (103) 을 나타내는 도면이다. 동적 어레이 아키텍쳐의 일 실시형태에 있어서, 각 셀들 (A-Z) 이 로직 블록 (103) 내에 배치가능하게 되도록 하기 위해, 각 셀들 (A-Z) 은 로직 블록 (103) 의 게이트 레벨 및 M2 레벨 가상 그레이트들의 사용에 기초하여 정의되어야 한다. 그러나, 셀이 로직 블록 (103) 내에 어디에 배치되는 지에 따라, 게이트 레벨 및 M2 레벨 가상 그레이트들의 위치는 셀의 경계부 내에서 그리고 셀의 경계부에 대해 변화할 수도 있다. 예를 들어, 셀의 좌측 경계부와 셀 내의 최근방의 가상 그레이트 라인 사이의 거리는 로직 블록 (103) 에서의 셀의 상이한 위치들 사이에서 변화할 수 있다. 유사하게, 셀의 좌측 경계부와 그 셀 내의 최근방의 M2 레벨 가상 그레이트 라인 사이의 거리는 로직 블록 (103) 에서의 소정의 셀의 상이한 위치들 사이에서 변화할 수 있다.
로직 블록 (103) 내에 배치된 각 셀은 로직 블록 (103) 의 게이트 레벨 및 M2 레벨 가상 그레이트들과 정렬된 셀 기반 게이트 레벨 및 M2 레벨 가상 그레이트를 가져야 한다. 로직 블록 (103)의 게이트 레벨 및 M2 레벨 가상 그레이트들의 위치는 소정의 셀이 로직 블록 (103)에서 어디에 배치되는 것에 따라 소정의 셀 내에서 변화할 수 있기 때문에, 로직 블록 (103) 에서의 배치를 허용할 수 있는 소정의 셀의 상이한 버전들을 갖는 것이 필요하므로, 소정의 셀의 적어도 하나의 버전은 로직 블록 (103) 의 게이트 레벨 및 M2 레벨 가상 그레이트들과 각각 정렬하는 게이트 레벨 및 M2 레벨 가상 그레이트들을 갖도록 정의된다.
일반적으로 말하면, 각 셀은 가상 그레이트 피치 또는 가상 그레이트 피치의 1/2 중 어느 하나의 정수배인 폭을 갖도록 정의되어, 인접 가상 그레이트 라인들 사이의 중간점 또는 가상 그레이트 라인 중 어느 하나에 대한 셀 경계부의 정렬을 가능하게 한다. 일 실시형태에 있어서, 각 셀은 게이트 레벨 가상 그레이트 피치의 1/2의 정수배인 폭을 갖도록 정의된다. 다른 실시형태에 있어서, 각 셀은 게이트 레벨 가상 그레이트 피치의 정수배인 폭을 갖도록 정의된다. 부가적으로, 각 셀은 그 좌측 경계부가 인접 게이트 레벨 가상 그레이트 라인들 사이의 중간점 또는 게이트 레벨 가상 그레이트 라인 중 어느 하나와 정렬되도록 로직 블록 (103) 내에 배치될 수 있다. 이에 따라, 셀 폭이 게이트 레벨 가상 그레이트 피치의 1/2의 정수배인 경우, 우측 셀 경계부는 또한 인접 게이트 레벨 가상 그레이트 라인들 사이의 중간점 또는 게이트 레벨 가상 그레이트 라인 중 어느 하나와 정렬될 것이다. 논의를 쉽게 하기 위해, 그 좌측 셀 경계부가 인접 게이트 레벨 가상 그레이트 라인들 사이의 중간점 또는 게이트 레벨 가상 그레이트 라인 중 어느 하나와 정렬되도록 하는 셀의 배치는 게이트 레벨 가상 그레이트 절반 피치 상의 셀의 배치로서 언급된다.
게이트 레벨 및 M2 레벨 가상 그레이트들 사이의 유리 공간 관계와 조합하여 게이트 레벨 가상 그레이트 절반 피치 상의 셀들의 배치는 소정의 셀에 대한 한정된 수의 레이아웃 변형물들의 생성을 가능하게 하여, 소정 셀에 대한 적절한 레이아웃 변형물은 로직 블록 (103) 에서 소정의 셀이 어디에 배치되는 지에 따라, 소정의 셀 내에서 발생할 수도 있는 게이트 레벨 및 M2 레벨 가상 그레이트 배치들의 각각의 가능한 조합에 이용가능하다. 이와 관련하여, 소정의 셀에 대한 각각의 레이아웃 변형물은 셀 페이즈를 정의하는데, 여기서 각각의 셀 페이즈는 소정의 셀의 기준 경계부와 관련된, 예를 들어 소정 셀의 좌측 경계부와 관련된 소정의 셀 내의 게이트 레벨 및 M2 레벨 가상 그레이트 배치들의 상이한 조합에 의해 정의된다.
상술한 실시형태에 있어서, 각 셀의 폭은 게이트 레벨 가상 그레이트 절반 피치의 정수배이지만, 반드시 M2 레벨 가상 그레이트의 피치의 정수배인 것은 아니다. 이에 따라, 좌측 및 우측 셀 경계부들이 게이트 레벨 가상 그레이트와 정렬하게 되더라도, 좌측 및 우측 셀 경계부들은 M2 레벨 가상 그레이트와 항상 정렬하지 않을 수도 있다. 그러나, 본 명세서에서 설명되는 셀 페이징 방법들은 M2 레벨 가상 그레이트 상의 활성 M2 레벨 레이아웃 형상들의 배치를 허용한다. 이에 따라, 동적 어레이 아키텍쳐와 관련하여 본 명세서에서 설명되는 셀 페이징 및 셀 배치 방법들은, 하나의 M2 레벨 레이아웃 형상으로 2개의 인접 M2 레벨 가상 그레이트 라인들을 소모하도록, 인접 M2 레벨 가상 그레이트 라인들 사이에 배치된 M2 레벨 레이아웃 형상을 갖지 않음으로써 라우팅 리소스들의 최적화를 제공한다.
도 3a 내지 도 3h는 다음의 조건들 하에서 배치되는 셀에 대해 존재할 수도 있는 상이한 셀 페이즈를 도시한다 :
1. M2 레벨 및 게이트 레벨 가상 그레이트 사이의 유리 공간 관계가 M2 레벨 대 게이트 레벨 가상 그레이트 피치 비율이 4/3으로 정의되는 동적 어레이 아키텍쳐에 따라 정의된 로직 블록에 셀이 배치된다;
*2. 게이트 레벨 가상 그레이트 절반 피치 상에 셀이 배치된다; 그리고
3. 셀 폭은 게이트 레벨 가상 그레이트 피치의 1/2의 정수배이다.
도 3a 내지 도 3h에 도시된 셀 페이징 원리는, 셀 페이징과 연관된 로직 블록의 가상 그레이트가 공통 공간 위치에 인덱싱되는 한, 임의의 유리 공간 관계 (즉, M2 대 게이트 피치 비율이 4/3 이상) 를 갖는 공통으로 배향된 칩 레벨들 (즉, 도시된 게이트 및 M2 레벨들 이상) 의 임의의 조합에 적용될 수 있다.
도 3a는 셀 (300) 의 제 1 페이즈 (ph1) 를 나타낸다. 셀 (300) 은 좌측 셀 경계부 (301) 를 포함한다. 셀 (300) 은 공통으로 배향된 실선들로 표현되는 게이트 레벨 가상 그레이트와 공통으로 배향된 점선들로 표현되는 M2 레벨 가상 그레이트에 의해 정의된다. M2 레벨 및 게이트 레벨 그레이트들 사이의 피치 비율은 4/3 이다. 이에 따라, M2 레벨 및 게이트 레벨 가상 그레이트가 4번째 게이트 레벨 가상 그레이트 라인 마다에서 서로 정렬하게 된다. 게이트 레벨과 M2 레벨 가상 그레이트들의 정렬 사이의 게이트 레벨 가상 그레이트 라인들의 개수는 페이즈 공간 (303) 을 정의한다. 일반적으로 말하면, 페이즈 공간은 유리 공간 관계를 갖는 2개의 가상 그레이트들 사이의 동일한 관계의 연속적인 발생들 사이에서 수직으로 연장하는 거리로서 정의된다. 도 3a 내지 도 3h의 예시적인 실시형태에 있어서, 유리 공간 관계를 갖는 2개의 가상 그레이트들 사이의 동일한 관계의 연속적인 발생은 유리 공간 관계를 갖는 2개의 가상 그레이트들의 연속적인 정렬에 대응한다.
각각의 셀 페이즈는 페이즈 공간 (303) 내의 좌측 셀 경계부 (301) (예를 들어, 기준 셀 경계부) 의 상이한 허용 위치와 연관된다. 도 3a 내지 도 3h의 예에 있어서, 좌측 셀 경계부 (301) 는 게이트 레벨 가상 그레이트 절반 피치 상에 배치될 수 있다. 이에 따라, 좌측 셀 경계부 (301) 는 페이즈 공간 (303) 내의 각 게이트 레벨 가상 그레이트 라인 상에, 그리고 페이즈 공간 (303) 내의 인접 게이트 레벨 가상 그레이트 라인들 사이의 중간점에서 배치될 수 있다. 따라서, 페이즈 공간 (303) 이 4개의 게이트 레벨 가상 그레이트 피치들을 커버하기 때문에, 그리고 셀이 게이트 레벨 가상 그레이트 절반 피치 상에 배치될 수 있기 때문에, 가능한 셀 페이즈들의 개수는 8이다. 도 3a 내지 도 3h에 있어서, 8개의 가능한 셀 페이즈 각각에 대한 좌측 셀 경계부 (301) 의 위치는 각각의 화살표로 라벨링된 ph1-ph8 에 의해 식별된다. 게이트 레벨 및 M2 레벨 가상 그레이트들은 로직 블록 (103) 과 연관되기 때문에, 그들 각각의 위치는 좌측 셀 경계부가 8개의 가능한 페이즈 (ph1-ph8) 를 통해 이동될 때, 도 3a 내지 도 3h의 각각에서 변화없이 유지된다.
도 3a 내지 도 3h의 8개의 가능한 셀 페이즈가 예시적인 실시형태의 특정 사양들의 결과라는 것을 이해해야 한다. 예를 들어, 다른 실시형태에 있어서, 페이즈 공간 (303) 이 4개의 게이트 레벨 가상 그레이트 피치들을 커버하였지만 셀은 단지 게이트 레벨 가상 그레이트 (전체) 피치 상에만 배치될 수 있었을 경우, 가능한 셀 페이즈의 개수는 8개 대신 4개가 되며, 도 3a 내지 도 3h에 나타낸 바와 같은 셀 페이즈 (ph1, ph3, ph5, ph7) 에 대응하게 된다.
일반적으로 말하면, 셀 페이즈는 페이징과 연관된 칩 레벨들 각각에 대한 인덱스 값들의 조합에 의해 정의된다. 셀 페이즈를 정의하는데 사용되는 소정의 칩 레벨에 대한 인덱스 값은 소정의 칩 레벨의 가상 그레이트의 최근방의 가상 라인과 셀의 좌측 경계부 사이에서 수직으로 측정된 거리를 나타낸다. 소정의 셀의 각각의 페이징된 칩 레벨은 대응하는 인덱스 값을 갖는다는 것을 이해해야 한다. 또한, 셀의 페이징된 칩 레벨은 셀의 적어도 하나의 다른 칩 레벨의 가상 그레이트와 유리 공간 관계를 갖는 가상 그레이트에 의해 정의된 셀의 임의의 칩 레벨이라는 것을 이해해야 한다. 또한, 앞서 논의한 바와 같이, 공통 공간 위치에 인덱싱되고 유리수로 정의된 가상 그레이트 피치들의 비율의 갖는, 공통으로 배향된 가상 그레이트들에 의해 2개의 칩 레벨들 각각이 정의되는 경우, 유리 공간 관계는 2개의 칩 레벨들 사이에 존재한다. 도 3a 내지 도 3h의 예시적인 실시형태에 있어서, 각각의 셀 페이즈 (ph1-ph8) 는 인덱스 값들 : 1) Gindex, 및 2) M2index 에 의해 정의되며, 여기서 Gindex 는 게이트 레벨에 대한 인덱스 값이고, M2index 는 M2 레벨에 대한 인덱스 값이다. 도 3a 내지 도 3h에 나타낸 바와 같이, 각 페이즈는 Gindex 와 M2index 값들의 특유의 조합에 의해 정의된다.
도 3a 내지 도 3h에 의해 도시된 셀 페이징 예는 2개의 가상 그레이트들이 유리 공간 관계에 따라 서로 주기적으로 정렬하도록 인덱싱되는 가상 그레이트 페이징 관계에 기초한다. 그러나, 일부 실시 형태들에 있어서, 가상 그레이트들은 실제로 서로 정렬하지 않고 서로 페이징될 수 있다는 것을 이해해야 한다. 예를 들어, 도 3i 내지 도 3p는 M2 레벨 및 게이트 레벨 가상 그레이트들 사이의 피치 비율이 4/3이고, M2 레벨 가상 그레이트가 게이트 레벨 가상 그레이트와 오프셋 관계에서 인덱싱되어, M2 레벨 및 게이트 레벨 가상 그레이트들이 임의의 페이즈에서 서로 정렬하지 않는, 다른 실시 형태를 도시한다. 또한, 도 3a 내지 도 3h에 대하여 설명된 동일한 개념은 또한 도 3i 내지 도 3p에도 적용된다. 일반적으로 말하면, 도 3i 내지 도 3p에서의 페이즈 공간 (303') 은 페이징된 가상 그레이트들 사이에서 동일한 관계의 연장하는 연속적인 발생들 사이의 영역을 통해 정의된다. 특히, 페이즈 (ph1') 에서 게이트 레벨에 대한 인덱스 값은 Gindex = 0으로 주어지고, M2 레벨에 대한 인덱스 값은 M2index = (1/6)*Gpitch로 주어진다. 이에 따라, 페이즈 공간 (303') 은 페이즈 (ph1) 가 재발생하는 위치, 즉 Gindex = 0이고 M2index = (1/6)*Gpitch 인 위치로 연장한다. 논의를 쉽게 하기 위해, 본 명세서에서의 설명의 나머지는 도 3a 내지 도 3h에 도시된 바와 같은 페이징을 참조하여 제공된다.
일 실시형태에 있어서, 셀 라이브러리는 동적 어레이 아키텍쳐에 따라 정의된 다수의 상이한 셀들을 포함하도록 컴파일되며, 또한 특정 칩 레벨들 사이의 특정 유리 공간 관계들에 기초하여 정의된다. 예를 들어, 도 2a 및 도 2b의 로직 블록 (103) 실시 형태에 대하여, 셀 라이브러리는 셀들 (A-Z) 을 포함하도록 컴파일 될 수 있는데, 여기서 셀들 (A-Z) 의 각각은 동적 어레이 아키텍쳐에 따라 정의되고, 또한 M2 레벨 및 게이트 레벨의 가상 그레이트 피치들 사이의 유리 공간 관계가 4/3 인 것에 기초하여 정의된다. 라이브러리에서의 각 셀의 게이트 레벨 및 M2 레벨 레이아웃들이 로직 블록 (103) 의 게이트 레벨 및 M2 레벨 가상 그레이트들과 정렬될 수 있는 것을 보장하기 위해, 로직 블록 내의 셀 배치에 관계없이, 셀 라이브러리는 각각의 가능한 셀 페이즈에 각각 대응하는 각 셀의 변형물들을 포함하여야 한다. 이에 따라, 도 2a 및 도 2b의 실시 형태에 대하여, 셀 라이브러리는 셀들 (A-Z) 각각에 대해 8개의 상이한 셀 변형물들 (각 셀 페이즈에 대해 하나) 을 포함하여야 한다. 셀 페이즈들 (1 내지 8) 에 대한 셀들 (A-Z) 의 변형물들은 A-ph1, A-ph2, ... Z-ph7, Z-ph8 로서 정의될 수도 있다.
일 실시형태에 있어서, 셀들은 도 2b에 나타낸 바와 같이, 셀 페이징에 관계없이 로직 블록 (103) 내에 먼저 배치될 수도 있다. 그 후, 각각의 배치된 셀은, 로직 블록 (103) 의 게이트 레벨 및 M2 레벨 가상 그레이트들에 대해, 로직 블록 (103) 에서의 그 정확한 위치에 기초하여 필요한 셀 페이즈에 대응하는 적절한 변형물에 의해 대체될 수 있다. 다른 실시형태에 있어서, 필요한 셀 페이징에 대응하는 적절한 셀 변형물들은, 셀들이 초기에 로직 블록 (103) 에 배치되는 경우에 결정될 수 있다. 도 2c는 로직 블록 (103) 내의 다양한 셀 배치들에 대해 요구되는 셀 페이징에 기초하여 각 셀에 대해 치환된 적절한 셀 변형물들에 의한 도 2b의 셀 배치를 나타낸다.
앞서 논의한 바와 같이, 각 셀은 페이징된 칩 레벨에 대한 인덱스 값들의 조합에 의해 정의된다. 이에 따라, 소정의 셀 배치에 사용될 적절한 셀 페이즈를 결정하기 위해, 배치된 셀의 페이징된 칩 레벨들에 대한 인덱스 값들이 계산된다. 그 후, 배치된 셀의 페이징된 칩 레벨에 대한 계산된 인덱스 값들은 매칭 셀 페이즈를 식별하기 위해 다양한 셀 페이즈들의 인덱스 값들과 비교된다. 그 후, 배치된 셀의 매칭 셀 페이즈가 배치된 셀에 대해 치환된다.
예를 들어, 도 2b의 실시 형태에 있어서, 각각의 셀 페이즈는 게이트 레벨 인덱스 값 (Gindex) 과 M2 레벨 인덱스 값 (M2index) 의 조합에 의해 정의된다. 이에 따라, 소정의 셀 배치에 사용될 적절한 셀 페이즈를 결정하기 위해, 배치된 셀에 대한 Gindex 및 M2index 값들이 계산된다. 그 다음, 배치된 셀에 대한 계산된 Gindex 및 M2index 값들은 매칭 셀 페이즈를 식별하기 위해 다양한 셀 페이즈의 Gindex 및 M2index 값들과 비교된다. 그 후, 배치된 셀의 매칭 셀 페이즈는 원래의 배치된 셀에 대해 치환된다.
추가적 설명을 위해, 서브젝트 셀로서 도 2b 의 로직 블록 (103) 에서 로우1의 가장 좌측에 배치된 셀 (A) 을 고려한다. 서브젝트 셀의 Gindex 값이 0이 되도록, 즉 좌측 셀 경계부 (301) 가 게이트 레벨 가상 그레이트와 정렬되도록 계산된다. 서브젝트 셀의 M2index 값이 0 이 되도록, 즉 좌측 셀 경계부 (301) 가 M2 레벨 가상 그레이트와 정렬되도록 계산된다. 서브젝트 셀의 계산된 인덱스 값들 (Gpitch = 0 및 M2index = 0) 은 도 3a에 나타낸 바와 같이 셀 페이즈 (1) 의 인덱스 값과 매칭한다. 이에 따라, 셀 페이즈 (1) 가 도 2c의 로우1에서 대응하는 셀 (A-ph1) 로 표시된 바와 같은 서브젝트 셀에 대해 사용되어야 한다.
추가적 설명을 위해, 서브젝트 셀로서 도 2b의 로직 블록 (103) 에서 로우4 의 가장 우측에 배치된 셀 (U) 을 고려한다. 서브젝트 셀의 Gindex 값은 ((1/2)*Gpitch) 가 되도록 계산되며, 여기서 Gpitch 는 게이트 레벨 가상 그레이트 피치이다. 서브젝트 셀의 M2index 값은 ((1/6)*Gpitch) 가 되도록 계산된다. 서브젝트 셀의 계산된 인덱스 값 (Gindex=((1/2)*Gpitch) 및 M2index=((1/6)*Gpitch)) 은 도 3f에 나타낸 바와 같이, 셀 페이즈 (6) 의 인덱스 값들과 매칭한다. 이에 따라, 셀 페이즈 (6) 는 도 2c의 로우 (4) 에서 대응 셀 (U-ph6) 로 표시된 바와 같은 서브젝트 셀에 대해 사용되어야 한다.
도 4는 각 셀에 대해 도시된 예시적인 게이트 레벨 및 M 레벨 레이아웃 형상들에 의한 도 2c의 로직 블록 (103) 의 로우1을 나타낸다. 로우1에서의 각 셀에 대한 적절한 셀 페이즈의 사양으로 인해, 각 셀의 게이트 레벨 레이아웃 형상들은 로직 블록 (103) 의 게이트 레벨 가상 그레이트와 정렬하고, 각 셀의 M2 레벨 레이아웃 형상들은 로직 블록 (103) 의 M2 레벨 가상 그레이트와 정렬하는 것을 알 수 있다.
M2 레벨 대 게이트 레벨의 유리 공간 관계와 관련하여 본 명세서에서 설명된 셀 페이징 방법들은 임의의 복수의 칩 레벨들에 동등하게 적용될 수 있다. 부가적으로 임의의 2개의 칩 레벨들 사이의 유리 공간 관계는 본질적으로 2개의 칩 레벨들 사이의 임의의 가상 그레이트 피치 비율에 기초할 수 있다. 예를 들어, 도 2a 내지 도 4의 예시적인 실시 형태들이 M2 레벨 대 게이트 레벨의 피치 비율이 4/3 인 것에 기초하였지만, 다른 실시형태들에 있어서 M2 레벨 대 게이트 레벨 피치 비율은 3/2, 5/3, 5/4, 2/3, 3/5, 4/5 등일 수도 있다.
본 명세서에 설명된 셀 페이징 방법들은 동적 어레이 아키텍쳐에 대한 고수 (adherence) 를 포함하지 않으면서, 소정의 칩 영역, 예를 들어 로직 블록 (103) 내에서 셀들의 최대 패키징을 제공한다는 것을 알아야 한다. 즉, 본 명세서에서 설명된 셀 페이징 방법들은, 셀들의 페이징된 칩 레벨들 내의 레이아웃 형상들이 페이징된 칩 레벨들의 가상 그레이트들과 정렬하는 것을 보장하면서, 셀들이 소정의 칩 영역 내의 셀 경계부 대 셀 경계부에 배치될 수 있도록 허용한다. 이에 따라, 본 명세서에서 설명된 셀 페이징 방법들은 셀의 폭을 확장하기 위한 필요성을 완화시켜 복수의 가상 그레이트들에 셀 내의 레이아웃 피쳐들의 정렬을 수용함으로써, 동적 어레이 아키텍쳐의 사용과 관련된 최적화된 칩 영역 사용을 제공한다. 부가적으로, 본 명세서에서 설명된 셀 페이징 방법들은 인접하여 배치된 셀들 사이의 점유되지 않은 칩 영역을 남기기 위한 필요성을 완화시켜 가상 그레이트들에 셀 내의 레이아웃 피쳐들의 정렬을 수용함으로써, 동적 어레이 아키텍쳐의 사용과 관련된 최적화된 칩 영역 사용을 제공한다.
도 5는 본 발명의 일 실시형태에 따라, 동적 어레이 아키텍쳐에 따라 정의된 반도체 칩의 영역 내에 셀들의 배치를 가능하게 하기 위해 상이한 페이즈의 셀 변형물들을 정의하기 위한 방법의 플로우 챠트를 나타내는 도면이다. 반도체 칩 상의 영역은 반도체 칩의 전체 영역 보다 실질적으로 더 작은 영역에 대응할 수도 있다는 것을 이해해야 한다. 그 방법은 페이징된 칩 레벨들의 가상 그레이트들 사이의 유리 공간 관계에 기초하여 페이즈 공간을 식별하기 위한 동작 (501) 을 포함한다. 페이징된 칩 레벨들의 가상 그레이트들은 반도체 칩의 영역을 정의하기 위해 사용되는 동적 어레이 아키텍쳐의 부분을 나타낸다. 앞서 논의한 바와 같이, 페이즈 공간은 유리 공간 관계를 갖는 2개의 가상 그레이트들의 연속적인 정렬 위치들 사이에서 수직으로 연장하는 거리로서 정의된다. 예를 들어, 제 1 및 제 2 가상 그레이트들은 유리 공간 관계를 가져서 제 1 가상 그레이트의 4번째 가상 라인 마다에서 제 1 및 제 2 가상 그레이트가 정렬하면, 페이즈 공간은 제 1 및 제 2 가상 그레이트들의 연속적인 정렬들 사이에서 연장하는 제 1 가상 그레이트의 피치의 4배의 거리에 걸쳐진다.
그 방법은 서브젝트 셀의 좌측 경계부가 페이즈 공간의 좌측 에지와 정렬되는 동작 (503) 으로 이어진다. 이에 따라, 동작 (503) 다음에, 서브젝트 셀의 좌측 경계부가 페이징된 칩 레벨들의 각각의 가상 그레이트의 가상 라인과 동시에 정렬된다. 도 3a는 셀 (300) 의 좌측 경계부와 페이즈 공간 (303) 의 좌측 에지 사이에서의 정렬의 예를 나타낸다. 즉, 도 3a의 예에 있어서, 셀 (300) 의 좌측 경계부 (301) 는 페이징된 칩 레벨들 (즉, 게이트 레벨 및 M2 레벨) 의 각각의 가상 그레이트의 가상 라인과 동시에 정렬된다.
페이즈 공간의 좌측 에지와 정렬된 서브젝트 셀의 좌측 경계부에 의해, 그 방법은 좌측 셀 경계부에 대해 페이징된 칩 레벨들의 가상 그레이트들의 위치들에 기초하여 서브젝트 셀의 제 1 페이즈를 정의하기 위한 동작 (505) 으로 이어진다. 서브젝트 셀의 제 1 페이즈는 소정의 셀의 제 1 페이즈가 필요한 위치에서 반도체 칩 상의 배치를 위해 적합한 서브젝트 셀의 제 1 변형물을 나타낸다. 서브젝트 셀의 제 1 페이즈는 각각의 페이징된 칩 레벨에 대한 인덱스 값에 의해 특징화될 수 있는데, 여기서 소정의 페이징된 칩 레벨에 대한 인덱스 값은 페이즈 공간 내의 소정의 칩 레벨의 가상 그레이트의 최근방의 가상 라인과 셀의 좌측 경계부 사이에서 수직으로 측정된 거리로서 정의된다. 도 3a 내지 도 3h는 게이트 및 M2, 페이징된 칩 레벨들에 대한 대응 인덱스 값들 (Gindex 및 M2index )을 나타낸다. 동작 (505) 은 향후의 리콜 및 사용을 위해 셀 라이브러리에 서브젝트 셀의 제 1 페이즈의 저장을 포함한다. 일 실시형태에 있어서, 셀 라이브러리는 컴퓨터 판독 가능 매체 상의 디지털 포맷에 저장된다.
동작 (505) 다음에, 그 방법은 셀의 좌측 경계부가 그 현재 위치로부터 페이즈 공간을 통해 페이즈 공간 내의 셀의 좌측 경계부 다음 가능한 위치로 이동되는 동작 (507) 으로 진행된다. 셀의 좌측 경계부가 페이즈 공간 내에서 페이징된 칩 레벨의 가상 그레이트를 이동시키지 않으면서 동작 (507) 에서의 페이즈 공간에 걸쳐 이동된다는 것을 이해해야 한다. 도 3b는 셀의 좌측 경계부 (301) 가 그 의 현재 위치 (즉 도 3a 에서의 그 현재 위치) 로부터 페이즈 공간 (303) 내의 셀의 좌측 경계부의 다음 가능한 위치 (ph2) 로 이동하는 일 예를 나타낸다.
반도체 칩의 영역에 대한 특정 동적 어레이 아키텍쳐 실시형태가 게이트 레벨 가상 그레이트 절반 피치의 정수배인 셀 폭들을 허용한다면, 페이즈 공간 내의 좌측 셀 경계부의 가능한 위치들은 페이즈 공간 내의 각각의 게이트 레벨 가상 그레이트에 그리고 페이즈 공간 내의 각각의 인접 쌍의 게이트 레벨 가상 그레이트 라인들 사이의 각 중간점에 대응한다. 이 상태는 도 3a 내지 도 3h에 예시되어 있다. 반도체 칩의 영역에 대한 특정 동적 어레이 아키텍쳐 실시 형태가 단지 게이트 레벨 가상 그레이트 (전체) 피치의 정수배인 셀 폭들만을 허용한는 경우, 페이즈 공간 내의 좌측 셀 경계부의 가능한 위치들은 페이즈 공간 내의 인접 쌍의 게이트 레벨 가상 그레이트 라인들 사이의 중간점 또는 게이트 레벨 가상 그레이트 라인 중 어느 하나에 대응한다.
셀 페이즈 내의 셀의 좌측 경계부의 다음의 가능한 위치와 정렬된 서브젝트 셀의 좌측 경계부에 의해, 그 방법은 좌측 셀 경계부에 대해 페이징된 칩 레벨들의 가상 그레이트들의 위치에 기초하여 서브젝트 셀의 다음 페이즈를 정의하기 위해 동작 (509) 으로 이어진다. 이러한 서브젝트 셀의 다음 페이즈는, 소정 셀의 이러한 다음 페이즈가 필요한 위치에서 반도체 칩 상에 배치하기에 적합한 서브젝트 셀의 다른 변형물를 나타낸다. 또한, 서브젝트 셀의 이러한 다음 페이즈는 각각의 페이징된 칩 레벨에 대한 인덱스 값들에 의해 정의될 수 있다. 동작 (509) 은 향후 리콜 및 사용을 위해 셀 라이브러리에 서브젝트 셀의 이러한 다음 페이즈의 저장을 포함한다.
그 후, 그 방법은 셀의 좌측 경계부의 다른 가능한 위치가 페이즈 공간 내에 존재하는지를 결정하기 위해 결정 동작 (511) 으로 진행된다. 셀의 좌측 경계부의 다른 가능한 위치가 페이즈 공간 내에 존재한다면, 그 방법은 동작 (507) 으로 다시 되돌아간다. 그러나, 셀의 좌측 경계부의 다른 가능한 위치가 페이즈 공간 내에 존재하지 않는다면, 그 방법은 종료한다. 도 5의 방법을 완료한 다음에, 셀 라이브러리는 동적 어레이 아키텍쳐의 페이징된 칩 레벨들에 따라 정의된 반도체 칩 상의 영역 내에서 발생할 수도 있는 각각의 가능한 셀 페이즈에 대한 서브젝트 셀의 변형물를 포함하게 된다.
도 6은 본 발명의 일 실시형태에 따라, 동적 어레이 아키텍쳐에 따라 정의된 반도체 칩의 부분 내에 셀들을 배치하는 방법의 플로우챠트를 나타내는 도면이다. 그 방법은 반도체 칩의 부분 내에서 2개의 페이징된 칩 레벨들 각각에 대한 각각의 가상 그레이트들을 정의하기 위한 동작 (601) 을 포함한다. 그 2개의 페이징된 칩 레벨은 유리 공간 관계를 갖도록 정의된다. 앞서 논의된 바와 같이, 공통으로 배향되고 공통 공간 위치에 인덱싱되며 유리수로 정의된 가상 그레이트 피치들의 비율을 갖는 2개의 가상 그레이트들은 유리 공간 관계를 갖도록 고려된다. 일 실시형태에 있어서, 2개의 페이징된 칩 레벨들은 게이트 레벨 및 제 2 상호접속 레벨에 대응한다. 그러나, 다른 실시형태들에 있어서, 2 개의 페이징된 칩 레벨들은 임의의 2개의 칩 레벨들에 대응할 수 있다는 것을 이해해야 한다.
그 후, 그 방법은 칩의 부분 내에 셀들을 배치하기 위한 동작 (603) 으로 진행된다. 일 실시형태에 있어서, 2개의 페이징된 칩 레벨들은 칩의 부분의 하부 좌측 코너에 인덱싱되며, 셀들은 칩의 부분에 걸쳐 좌측에서 우측으로 연장하는 로우들에 배치된다. 또한, 일 실시형태에 있어서, 셀들은, 2개의 페이징된 칩 레벨들의 가상 그레이트들과 공통으로 배향되는 경계부가 더 작은 가상 그레이트 피치를 갖는 페이징된 칩 레벨의 가상 그레이트의 절반 피치와 정렬하도록 배치될 수 있다.
그 다음, 그 방법은 동작 (603)에서 배치된 각 셀에 대해 필요한 셀 페이즈를 결정하기 위한 동작 (605) 으로 진행된다. 일 실시형태에 있어서, 소정의 셀에 대해 필요한 셀 페이즈는 배치된 셀 내에서 페이징된 칩 레벨들에 대한 인덱스 값들에 의해 식별된다. 또한, 배치된 셀 내의 소정의 페이징된 칩 레벨에 대한 인덱스 값은 배치된 셀의 좌측 경계부와 배치된 셀 내의 소정의 페이징된 칩 레벨의 가상 그레이트의 최근방의 가상 라인, 즉 셀의 좌측 경계부의 우측에 있는 소정의 페이징된 칩 레벨 가상 그레이트의 최근방의 가상 라인 사이에서 수직으로 측정된 거리로서 정의된다. 각각의 배치된 셀의 페이징된 칩에 대한 계산된 인덱스 값들은 셀 라이브러리 내의 동일 배치된 셀의 변형물들의 대응 인덱스 값들과 비교되어 필요한 셀 페이즈를 갖는 동일 배치된 셀의 특정 변형물을 식별할 수 있다. 그 후, 동작 (607) 은 배치된 셀에 대하여 필요한 셀 페이즈를 갖는 배치된 셀의 특정 변형물로의 치환을 수행함으로써, 각각의 배치된 셀의 페이징된 칩 레벨에서의 레이아웃 피쳐들이 반도체 칩의 부분에 걸쳐 정의된 페이징된 칩 레벨의 가상 그레이트와 정렬하게 한다.
상기에 기초하여, 일 실시형태에 있어서, 반도체 칩은 로직 블록 영역을 포함하도록 정의된다. 로직 블록 영역은 레이아웃 피쳐들이 제 1 가상 그레이트에 따라 배치되는 제 1 칩 레벨을 포함한다. 또한, 로직 블록 영역은 레이아웃 피쳐들이 제 2 가상 그레이트에 따라 배치되는 제 2 칩 레벨을 포함한다. 제 1 및 제 2 가상 그레이트들 사이에 유리 공간 관계가 존재한다. 다수의 셀들은 로직 블록 영역 내에 배치된다. 다수의 셀들의 각각은 다수의 셀 페이즈들 중 적절한 하나의 셀 페이즈에 따라 정의된다. 적절한 셀 페이즈는 소정의 배치된 셀의 제 1 및 제 2 칩 레벨들에서의 레이아웃 피쳐들이 소정의 배치된 셀 내에 위치된 제 1 및 제 2 가상 그레이트와 정렬되게 한다. 다수의 셀 페이즈들 중 어느 하나에 따라 정의된 소정의 셀은 소정의 셀과 연관된 동일한 로직 기능을 수행하도록 정의된다는 것을 이해해야 한다. 게다가, 일 실시형태에 있어서, 다양한 셀 페이즈에 대응하여 소정의 셀의 각 변형물을 정의하여, 유사한 전기적 특성들을 갖도록 하는 것이 관점인 것이다. 또한, 일 실시형태에 있어서, 다수의 셀들 중 일부는 제 1 및 제 2 가상 그레이트들의 가상 라인들에 평행한 셀 경계부를 따라 실질적으로 중심에 있는 방식으로 제 1 칩 레벨 또는 제 2 칩 레벨 중 어느 하나에 배치된 적어도 하나의 레이아웃 피쳐를 포함한다.
일 실시형태에 있어서, 다수의 셀들은 로직 블록 내의 로우들에 배치되어, 인터페이싱 셀 경계부들이 함께 정렬된다. 또한, 일 실시형태에 있어서, 다수의 셀들의 각 높이는 균일하다. 다수의 셀들의 각 높이는 제 1 및 제 2 가상 그레이트들의 가상 라인들에 평행한 방향에서 측정된다. 부가적으로, 일 실시형태에 있어서, 다수의 셀들의 각 폭은 제 1 가상 그레이트의 피치의 정수배이며, (제 1 가상 그레이트의 가상 라인들에 평행한) 각각의 배치된 셀의 각 경계부는 제 1 가상 그레이트의 가상 라인과 정렬된다. 다른 실시형태에 있어서, 다수의 셀들의 각 폭은 제 1 가상 그레이트의 피치의 정수배이고, (제 1 가상 그레이트의 가상 라인에 평행한) 각각의 배치된 셀의 각 경계부는 제 1 가상 그레이트의 인접 가상 라인들 사이의 중간점과 정렬된다. 또 다른 실시 형태에 있어서, 다수의 셀 들의 각 폭은 제 1 가상 그레이트의 피치의 1/2의 정수배이고, (제 1 가상 그레이트의 가상 라인들에 평행한) 각각의 배치된 셀의 각 경계부는 제 1 가상 그레이트의 인접 가상 라인들 사이의 중간점 또는 제 1 가상 그레이트의 가상 라인 중 어느 하나와 정렬된다.
부가적으로, 상술한 실시형태들은 소정의 로직 블록 내에 배치된 각 셀을 페이징하는 맥락에서 논의되었지만, 대안의 실시 형태에 있어서, 본 명세서에서 설명되는 셀 페이징 방법들은 페이징되지 않은 로직 블록 좌측에서의 셀들의 나머지에 대해, 소정의 로직 블록 내에 배치된 셀들의 일 부분에 적용될 수도 있다는 것을 이해해야 한다. 예를 들어, 소정의 로직 블록에서의 제 1 그룹의 셀들은 동적 어레이 아키텍쳐에 따라 정의되고, 배치될 때, 적절한 페이징을 사용하며, 소정의 로직 블록에서의 제 2 그룹의 셀들은 페이징을 사용하지 않는 다른 아키텍쳐 (즉, 비동적 어레이 아키텍쳐) 에 의해 정의되는 경우, 제 1 그룹의 셀들은 본 명세서에서 개시된 방법들에 따라 배치되고 페이징되며 제 2 그룹의 셀들은 페이징되지 않은 채로 남겨질 수 있다.
동적 어레이 섹션 (DAS; dynamic array section) 은, 세분의 각각 수직으로 기술된 레벨에 존재하는 피쳐들이 룰 세트에 따라 그 세분에서의 다른 피쳐들을 고려하여 정의되는 동적 어레이 아키텍쳐의 세분으로서 정의되는데, 여기서 룰들은 세분의 소정의 레벨에서의 피쳐들 사이 및 세분의 개별 레벨에서의 피쳐들 사이의 관계들을 지배하도록 설정된다. DAS는 임의의 형상 및 크기의 기판 영역을 점유하도록 정의될 수 있다. 또한, DAS는 기판 위의 임의의 형상 및 크기의 영역을 점유하도록 정의될 수 있다.
또한, 공동 계류중인 US 특허 출원 제 12/013,342 호에서 논의된 바와 같이, 로직 레벨의 소정의 레벨에서, 즉 DAS 포함 로직 셀의 소정 레벨에서의 도전성 피쳐들은 로직 셀의 원점에 대해 인덱싱될 수 있다. 예를 들어, 소정의 레벨에서의 로직 셀의 원점은 기판의 평면에 수직인 방향에서 볼 때 로직 셀의 하부 좌측 코너에 위치되도록 고려된다. 로직 셀 폭들이 변화할 수 있기 때문에, 폭 방향에서의 로직 셀 경계부는 소정의 DAS 레벨 내의 도전성 피쳐 피치 또는 절반 피치 상에 항상 떨어지지 않을 수도 있다. 이에 따라, 소정의 DAS 레벨의 가상 그레이트에 대한 로직 셀의 원점에 따라, 로직 셀의 소정의 DAS 레벨에서의 도전성 피쳐들은 로직 셀이 칩 상에 배치될 때 소정의 DAS 레벨의 가상 그레이트와 정렬시키기 위해 로직 셀 원점에 대해 이동되어야 할 필요가 있을 수도 있다. 상기 논의된 바와 같이, 로직 셀의 원점에 대해 로직 셀의 소정의 레렐에서의 도전성 피쳐들의 이동은 페이징이라 칭한다. 이에 따라, 페지징은 로직 셀의 원점의 위치에 따라, 소정의 칩 레벨에 대한 DAS 의 가상 그레이트에 로직 셀의 소정의 레벨에서의 도전성 피쳐들의 정렬을 제공한다. 예를 들어, 게이트 전극 가상 그레이트가 로직 셀 경계부들에 걸쳐 연장하는 경우, 페이징은 제 2 상호접속 레벨 가상 그레이트에 대한 소정의 로직 셀에서의 제 2 상호접속 레벨 도전성 피쳐들의 정렬을 유지할 필요가 있을 수도 있다.
도 7은 본 발명의 일 실시형태에 따라, DAS 내에 정의된 인접하여 배치된 로직 셀들의 제 2 상호접속 레벨에서의 상이한 페이징들의 일 예를 나타내는 도면이다. 도 7은 공동 계류중인 US 특허출원 제 12/013,342 호의 도 33에 대응한다. 도 7은 DAS 에 있어서 서로 인접하여 배치된 3개의 예시적인 셀들 (셀 1, 페이즈 A; 셀 1, 페이즈 B; 및 셀 1, 페이즈 C) 를 나타낸다. 이에 따라, 3개의 셀들의 각각은 DAS의 각 레벨에서 가상 그레이트를 공유한다. 페이징 개념의 설명을 용이하게 하기 위해, 각 셀의 제 2 상호접속 레벨 도전성 피쳐들 (3303) 이 각 셀의 게이트 전극 레벨 도전성 피쳐들 (3301) 위에 겹쳐진 것을 나타낸다. 폭 방향에서의 셀 경계부들은 게이트 전극 절반 피치 상에 떨어진다.
셀 페이징 원리를 설명하기 위해 도 2a 내지 도 4의 예들에서 사용된 바와 같이 M2 레벨 대 게이트 레벨 가상 그레이트 피치가 4/3인 것은, 상이한 칩 레벨들 사이에 적용될 수 있는 많은 가능한 가상 그레이티 피치 비율들 중 하나의 예인 것임을 이해해야 한다. 예를 들어, 도 7의 예시적인 실시형태에 있어서, M2 레벨 대 게이트 레벨 가상 그레이트 피치 비율이 3/4인 것이 사용되어, 4의 제 2 상호접속 레벨 도전성 피쳐 피치들이 3의 게이트 전극 레벨 도전성 피쳐 피치들마다 제공된다.
각 셀의 원점은 셀의 하부 좌측 코너에 상주하도록 도시된다. 제 2 상호접속 레벨에 대한 셀 1의 각 페이징은 셀의 원점에 제 2 상호접속 레벨 도전성 피쳐들을 인덱싱함으로써 정의된다. 도 7의 예에 나타낸 바와 같이, 인덱스, 즉 원점에 대한 제 2 상호접속 레벨 도전성 피쳐들의 간격은, 페이즈 A, B 및 C의 각각에 대해 연속하여 감소된다. 적절한 페이즈를 갖도록 각 로직 셀의 각 레벨을 정의함으로써, 소정의 DAS 레벨 내의 다양한 로직 셀들 내에 정의된 도전성 피쳐들이 소정의 DAS 레벨과 연관된 공통 가상 그레이트에 정렬될 수 있도록 공통 DAS 에서 서로에 대해 다음의 로직 셀을 배치하는 것이 가능하다. 부가적으로, 일 실시형태에 있어서 DAS 내의 인접 셀들은 하나 이상의 DAS 레벨들에서 도전성 피쳐들을 공유하도록 정의되고 배치될 수 있다는 것을 이해해야 한다. 예를 들어, 도 7에서의 셀 1의 페이즈 B 및 C 인스턴스들은 제 2 상호접속 레벨 도전성 피쳐들을 공유하는 것으로서 도시된다.
*일부 실시형태들에 있어서, 동적 어레이 아키택쳐 제한에 대해 자유로운 다른 칩 레벨들의 부분들을 덮으면서, 단지 하나의 칩 레벨의 일 부분에만 동적 어레이 아키텍쳐가 적용될 수도 있다. 예를 들어, 일 실시형태에 있어서, 게이트 전극은 동적 어레이 아키텍쳐를 따르도록 정의되고, 더 높은 상호접속 레벨들은 자유로운 방식, 즉 비동적 어레이 방식에 의해 정의된다. 이 실시형태에 있어서, 게이트 전극 레벨은 앞서 논의된 바와 같이 가상 그레이트와, 게이트 전극 레벨 도전성 피쳐들이 정의된 그 대응 피쳐 레이아웃 채널들에 의해 정의된다. 또한, 이 실시형태에 있어서, 비동적 어레이의 더 높은 상호접속 레벨들의 레이아웃 피쳐들은 가상 그레이트 및 연관된 피쳐 레이아웃 채널들에 대해 자유로울 수 있다. 예를 들어, 이 특정 실시형태에 있어서, 게이트 전극 레벨 위의 임의의 상호접속 레벨에서의 레이아웃 피쳐들은 임의의 2차원적으로 형상화된 레이아웃 피쳐들을 형성하도록 벤드들을 포함할 수 있다.
상기 언급된 실시형태에 대안적인 것으로서, 복수의 칩 레벨들이 동적 어레이 아키텍쳐에 따라 정의되는 다른 실시형태들이 존재할 수 있다. 본 명세서에서 개시된 페이징 기술들은, 동적 어레이 아키텍쳐에 따라 정의되는 다수의 칩 레벨들에 관계없이, 동적 어레이 아키텍쳐를 사용하는 임의의 실시형태에 동등하게 적용가능하다는 것을 이해해야 한다.
본 명세서에서 개시된 셀 페이징 기술들은 컴퓨터 판독가능 매체 상의 디지털 포맷과 같은 유형의 폼에 저장되는 레이아웃에 정의될 수 있다는 것을 이해해야 한다. 예를 들어, 본 명세서에 개시된 셀 페이징 레이아웃들은 하나 이상의 셀들의 라이브러리들로부터 선택가능한, 하나 이상의 셀들의 레이아웃 데이터 파일에 저장될 수 있다. 레이아웃 데이터 파일은 GDS Ⅱ (Graphic Data System) 데이터베이스 파일, OASIS (Open Artwork System Interchange Standard) 데이터베이스 파일, 또는 반도체 디바이스 레이아아웃들을 저장하고 통신하기에 적합한 임의의 다른 유형의 데이터 파일 포맷으로서 포맷될 수 있다. 또한, 셀 페이징 기술들을 이용하는 멀티 레벨 레이아웃들은 대형 반도체 디바이스의 멀티 레벨 레이아웃 내에 포함될 수 있다. 대형 반도체 디바이스의 멀티 레벨 레이아웃은 또한 상기 식별된 것과 같은 레이아웃 데이터 파일의 형태로 저장될 수 있다.
또한, 본 명세서에서 설명된 발명은 컴퓨터 판독가능 매체 상의 컴퓨터 판독 코드로서 포함될 수 있다. 예를 들어, 컴퓨터 판독 가능 코드는 셀 페이징 기술들을 포함하는 하나 이상의 레이아웃들이 저장되는 레이아웃 데이터 파일을 포함할 수 있다. 또한, 컴퓨터 판독가능 코드는 하나 이상의 레이아웃 라이브러리들 및/또는 그 내부에 정의된 셀 페이징 기술들을 이용하는 레이아웃을 포함하는 셀들을 선택하기 위한 프로그램 명령들을 포함할 수 있다. 레이아웃 라이브러리들 및/또는 셀들은 또한 컴퓨터 판독가능 매체 상의 디지털 포맷에 저장될 수 있다.
본 명세서에서 언급된 컴퓨터 판독가능 매체는 이후에 컴퓨터 시스템에 의해 판독될 수 있는 데이터를 저장할 수 있는 임의의 데이터 저장 디바이스이다. 컴퓨터 판독가능 매체의 예들은 하드 드라이브, NAS (network attached storage), 판독전용 메모리(read-only memory), 랜덤 엑세스 메모리(random-access memory), CD-ROM, CD-R, CD-RW, 마그네틱 테이프( magnetic tapes) 및 다른 광학 및 비광학 데이터 저장 디바이스를 포함한다. 또한, 컴퓨터 판독가능 매체는 커플링된 컴퓨터 시스템의 네트워크를 통해 분포되어 분포된 방식으로 컴퓨터 판독가능 코드가 저장되고 실행될 수 있다.
본 발명의 부분을 형성하는 본 명세서에서 설명되는 임의의 동작들은 유용한 머신 동작들이다. 또한, 본 발명은 이들 동작들을 수행하기 위한 디바이스 또는 장치와 관련된다. 그 장치는 특수 목적 컴퓨터와 같이 필요한 목적을 위해 특별히 구성될 수도 있다. 특수 목적 컴퓨터로서 정의되는 경우, 컴퓨터는 특수 목적을 위해서도 동작할 수 있으면서 특수 목적의 부분이 아닌 다른 프로세싱, 프로그램 실행 또는 루틴들을 또한 수행할 수 있다. 또한, 그 동작들은 네트워크를 통해 획득된 또는 컴퓨터 메모리, 캐시에 저장된 하나 이상의 컴퓨터 프로그램들에 의해 선택적으로 활성화되고 구성되는 범용 컴퓨터에 의해 처리될 수도 있다. 데이터가 네트워크를 통해 획득되는 경우, 그 데이터는 아마도 네트워크 상의 다른 컴퓨터들, 예를 들어 리소스들을 컴퓨팅하는 클라우드에 의해 처리되었을 것이다.
또한, 본 발명의 실시형태들은 하나의 상태에서 다른 상태로 데이터를 변환시키는 머신으로서 정의될 수 있다. 그 데이터는, 전자 신호 및 전기적 조정 데이터로서 나타낼 수 있는 물품을 나타낼 수도 있다. 몇몇 경우에 있어서, 그 변환된 데이터는, 데이터의 변환으로부터 야기되는 물리적 객체를 나타내는 디스플레이 상에 시각적으로 묘사될 수 있다. 그 변환된 데이터는 일반적으로 저장소에 저장될 수 있고, 또는 물리적 및 유형의 객체의 묘사 또는 구성을 가능하게 하는 특정 포맷들에 저장될 수 있다. 일부 실시형태들에 있어서, 조정은 프로세서에 의해 수행될 수 있다. 그러한 예로서, 프로세서는 하나의 것에서 다른 것으로 데이터를 변환시킨다. 또한, 그 방법들은 네트워크를 통해 접속될 수 있는 하나 이상의 머신들 또는 프로세서들에 의해 처리될 수 있다. 각 머신은 하나의 상태 또는 하나의 것에서 다른 것으로 데이터를 변환시킬 수 있으며 또한 데이터를 처리할 수 있고, 저장소에 데이터를 저장할 수 있으며, 네트워크를 통해 데이터를 송신할 수 있으며, 그 결과를 디스플레이하거나 또는 그 결과를 다른 머신과 통신할 수 있다.
본 명세서에서 개시된 셀 페이징 실시형태들은 반도체 디바이스 또는 칩의 부분으로서 제조될 수 있다는 것을 또한 이해해야 한다. 집적 회로, 메모리 셀 등과 같은 반도체 디바이스의 제조에 있어서, 일련의 제조 동작들은 반도체 웨이퍼 상에 피쳐들을 정의하기 위해 수행된다. 웨이퍼는 실리콘 기판 상에 정의된 멀티 레벨 구조들 형태의 집적 회로 디바이스들을 포함한다. 기판 레벨에서, 확산 영역들을 갖는 트랜지스터 디바이스들이 형성된다. 후속 레벨에서, 상호접속 금속화 라인들이 패터닝되고 전기적으로 트랜지스터 디바이스들에 접속되어 원하는 집적 회로 디바이스를 정의한다. 또한, 패터닝된 도전성 층들은 유전체 재료에 의해 다른 도전성 층들과 절연된다.
본 발명은 몇몇 실시형태들에 의해 설명되었지만, 당업자가 명세서를 계속 읽고 도면을 연구하면 다양한 변형물, 부가물, 치환물 및 그 등가물을 실현하게 될 것이라는 것을 이해해야 한다. 이에 따라, 본 발명은 본 발명의 진정한 사상 및 범위 내에 포함되는 모든 그러한 변형물, 부가물, 치환물 및 등가물을 포함하려는 것으로 의도된다.

Claims (11)

  1. 반도체 칩의 지정된 영역 내에 셀들의 배치를 가능하게 하는 상이한 셀 페이즈의 셀 변형물들을 정의하기 위한 방법으로서,
    a) 상기 반도체 칩의 지정된 영역에 대한 페이즈 공간을 식별하는 단계로서, 상기 페이즈 공간은 상기 반도체 칩의 지정된 영역 내에서 유리 공간 관계 (rational spatial relationship) 를 갖는 2개의 가상 그레이트들의 연속적인 정렬 위치들 사이에서 수직으로 연장하는 거리로서 정의되는 상기 페이즈 공간을 식별하는 단계;
    b) 상기 페이즈 공간의 좌측 에지와 셀의 좌측 경계부를 정렬시키는 단계;
    c) 상기 페이즈 공간의 좌측 에지와 정렬된 상기 셀의 좌측 경계부에 있어서, 상기 셀의 좌측 경계부에 대한 2개의 가상 그레이트들의 위치들에 기초하여 상기 셀의 제 1 페이즈를 정의하는 단계;
    d) 상기 셀의 제 1 페이즈를 셀 라이브러리에 저장하는 단계;
    e) 상기 셀의 좌측 경계부를 상기 페이즈 공간에 걸친 현재 위치에서 상기 페이즈 공간 내의 상기 셀의 좌측 경계부의 다음의 가능한 위치로 이동시키는 단계;
    f) 상기 다음의 가능한 위치와 정렬된 상기 셀의 좌측 경계부에 있어서, 상기 셀의 좌측 경계부에 대한 상기 2 개의 가상 그레이트들의 위치들에 기초하여 상기 셀의 다음 페이즈를 정의하는 단계;
    g) 상기 셀의 상기 다음 페이즈를 상기 셀 라이브러리에 저장하는 단계; 및
    h) 상기 페이즈 공간 내의 상기 셀의 좌측 경계부의 각각의 가능한 위치에 대해, 상기 e) 내지 g) 의 단계들을 반복하는 단계를 포함하며,
    상기 2 개의 가상 그레이트들 사이의 상기 유리 공간 관계는, 상기 2 개의 가상 그레이트들이 공통으로 배향되고, 상기 반도체 칩 상의 공통 공간 위치에 인덱싱되며, 유리수로 정의된 가상 그레이트 피치들의 비율을 갖는 것을 나타내는, 상이한 셀 페이즈의 셀 변형물들을 정의하기 위한 방법.
  2. 제 1 항에 있어서,
    상기 2 개의 가상 그레이트들의 각각은 상기 반도체 칩의 지정된 영역에서 각각의 칩 레벨에 걸쳐 연장하는 각 세트의 등간격 평행 가상 라인들에 의해 정의되는, 상이한 셀 페이즈의 셀 변형물들을 정의하기 위한 방법.
  3. 제 1 항에 있어서,
    상기 셀의 소정의 페이즈는 상기 셀의 소정의 페이즈가 요구되는 상기 반도체 칩의 지정된 영역에서 배치를 위해 정의된 상기 셀의 변형물을 나타내며, 상기 셀의 각 페이즈는 상기 셀과 연관된 동일한 로직 기능을 수행하도록 정의되는, 상이한 셀 페이즈의 셀 변형물들을 정의하기 위한 방법.
  4. 제 1 항에 있어서,
    상기 셀의 각 페이즈는 상기 페이즈 공간을 정의하는 상기 2개의 가상 그레이트들 각각에 대한 각 인덱스 값을 포함하는 인덱스 값들의 세트에 의해 정의되고, 상기 셀의 특정 페이즈에서의 특정 가상 그레이트에 대한 인덱스 값은 상기 페이즈 공간 내의 상기 특정 가상 그레이트의 최근방의 가상 라인과 상기 셀의 좌측 경계부 사이에서 수직으로 측정된 거리로서 정의되는, 상이한 셀 페이즈의 셀 변형물들을 정의하기 위한 방법.
  5. 제 1 항에 있어서,
    상기 페이즈 공간 내의 상기 셀의 좌측 경계부의 가능한 위치들의 세트는 상기 페이즈 공간 내의 상기 2개의 가상 그레이트들 중 하나에 대한 각각의 허용 가능한 셀 경계부 위치을 포함하는, 상이한 셀 페이즈의 셀 변형물들을 정의하기 위한 방법.
  6. 제 1 항에 있어서,
    상기 2개의 가상 그레이트들 중 제 1 가상 그레이트는 상기 반도체 칩의 게이트 레벨에 대해 정의되고, 상기 2 개의 가상 그레이트들 중 제 2 가상 그레이트는 상기 반도체 칩의 제 2 상호접속 레벨에 대해 정의되는, 상이한 셀 페이즈의 셀 변형물들을 정의하기 위한 방법.
  7. 제 6 항에 있어서,
    상기 제 1 가상 그레이트와 상기 제 2 가상 그레이트 사이의 상기 유리 공간 관계는 상기 제 2 상호접속 레벨과 상기 게이트 레벨 사이의 가상 그레이트 피치 비율이 3/4인 것에 의해 정의되는, 상이한 셀 페이즈의 셀 변형물들을 정의하기 위한 방법.
  8. 제 1 항에 있어서,
    상기 셀 라이브러리는 컴퓨터 판독가능 매체 상의 디지털 포맷에 저장되는, 상이한 셀 페이즈의 셀 변형물들을 정의하기 위한 방법.
  9. 컴퓨터 판독가능 저장 매체로서,
    디지털 포맷에 저장된 셀 라이브러리를 포함하고,
    상기 셀 라이브러리는, 소정의 셀의 상이한 페이즈들에 대응하는 복수의 셀 레이아웃을 포함하고,
    상기 소정의 셀은 레이아웃 피쳐들이 가상 그레이트에 따라 배치된 적어도 하나의 칩 레벨을 포함하고, 상기 가상 그레이트는 상기 셀 레이아웃에 걸쳐 연장하는 등간격 평행한 가상 라인들의 세트에 의해 정의되고, 상기 소정의 셀의 각각의 상이한 페이즈는, 상기 소정의 셀의 좌측 경계부와 상기 소정의 셀의 좌측 경계부와 최근방에 있는 상기 가상 그레이트의 가상 라인 사이에서 수직으로 연장하는 거리에 의해 정의되는, 컴퓨터 판독가능 저장 매체.
  10. 제 9 항에 있어서,
    상기 가상 그레이트에 따라 배치된 임의의 소정의 레이아웃 피쳐는 상기 가상 그레이트의 소정의 가상 라인에 인접한 이웃하는 가상 라이들 사이에서 폭방향으로 그리고 상기 소정의 가상 라인을 따라 길이 방향으로 연장하는 피쳐 레이아웃 채널 내에 정의되는, 컴퓨터 판독가능 저장 매체.
  11. 제 10 항에 있어서,
    소정의 피쳐 레이아웃 채널 내에 정의된 각각의 레이아웃 피쳐는 임의의 다른 피쳐 레이아웃 채널 내에 정의된 임의의 다른 레이아웃 피쳐와 물리적으로 콘택하는 것이 방지되는, 컴퓨터 판독가능 저장 매체.
KR1020167005477A 2008-07-16 2009-07-02 동적 어레이 아키텍쳐에서의 셀 페이징과 배치를 위한 방법 및 그 구현 KR101739709B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US8137008P 2008-07-16 2008-07-16
US61/081,370 2008-07-16
US12/497,052 US8214778B2 (en) 2007-08-02 2009-07-02 Methods for cell phasing and placement in dynamic array architecture and implementation of the same
PCT/US2009/049580 WO2010008948A2 (en) 2008-07-16 2009-07-02 Methods for cell phasing and placement in dynamic array architecture and implementation of the same
US12/497,052 2009-07-02

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020117003546A Division KR101749351B1 (ko) 2008-07-16 2009-07-02 동적 어레이 아키텍쳐에서의 셀 페이징과 배치를 위한 방법 및 그 구현

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020167020458A Division KR101761530B1 (ko) 2008-07-16 2009-07-02 동적 어레이 아키텍쳐에서의 셀 페이징과 배치를 위한 방법 및 그 구현

Publications (2)

Publication Number Publication Date
KR20160031041A KR20160031041A (ko) 2016-03-21
KR101739709B1 true KR101739709B1 (ko) 2017-05-24

Family

ID=41550966

Family Applications (4)

Application Number Title Priority Date Filing Date
KR1020167005477A KR101739709B1 (ko) 2008-07-16 2009-07-02 동적 어레이 아키텍쳐에서의 셀 페이징과 배치를 위한 방법 및 그 구현
KR1020167020458A KR101761530B1 (ko) 2008-07-16 2009-07-02 동적 어레이 아키텍쳐에서의 셀 페이징과 배치를 위한 방법 및 그 구현
KR1020117003546A KR101749351B1 (ko) 2008-07-16 2009-07-02 동적 어레이 아키텍쳐에서의 셀 페이징과 배치를 위한 방법 및 그 구현
KR1020177016186A KR101903975B1 (ko) 2008-07-16 2009-07-02 동적 어레이 아키텍쳐에서의 셀 페이징과 배치를 위한 방법 및 그 구현

Family Applications After (3)

Application Number Title Priority Date Filing Date
KR1020167020458A KR101761530B1 (ko) 2008-07-16 2009-07-02 동적 어레이 아키텍쳐에서의 셀 페이징과 배치를 위한 방법 및 그 구현
KR1020117003546A KR101749351B1 (ko) 2008-07-16 2009-07-02 동적 어레이 아키텍쳐에서의 셀 페이징과 배치를 위한 방법 및 그 구현
KR1020177016186A KR101903975B1 (ko) 2008-07-16 2009-07-02 동적 어레이 아키텍쳐에서의 셀 페이징과 배치를 위한 방법 및 그 구현

Country Status (8)

Country Link
US (6) US8214778B2 (ko)
EP (1) EP2321748B1 (ko)
JP (4) JP5599395B2 (ko)
KR (4) KR101739709B1 (ko)
MY (2) MY152456A (ko)
SG (2) SG10201608214SA (ko)
TW (1) TWI402709B (ko)
WO (1) WO2010008948A2 (ko)

Families Citing this family (56)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7448012B1 (en) 2004-04-21 2008-11-04 Qi-De Qian Methods and system for improving integrated circuit layout
US9563733B2 (en) 2009-05-06 2017-02-07 Tela Innovations, Inc. Cell circuit and layout with linear finfet structures
US7446352B2 (en) 2006-03-09 2008-11-04 Tela Innovations, Inc. Dynamic array architecture
US9035359B2 (en) 2006-03-09 2015-05-19 Tela Innovations, Inc. Semiconductor chip including region including linear-shaped conductive structures forming gate electrodes and having electrical connection areas arranged relative to inner region between transistors of different types and associated methods
US7956421B2 (en) 2008-03-13 2011-06-07 Tela Innovations, Inc. Cross-coupled transistor layouts in restricted gate level layout architecture
US8839175B2 (en) 2006-03-09 2014-09-16 Tela Innovations, Inc. Scalable meta-data objects
US7763534B2 (en) 2007-10-26 2010-07-27 Tela Innovations, Inc. Methods, structures and designs for self-aligning local interconnects used in integrated circuits
US8653857B2 (en) 2006-03-09 2014-02-18 Tela Innovations, Inc. Circuitry and layouts for XOR and XNOR logic
US8658542B2 (en) 2006-03-09 2014-02-25 Tela Innovations, Inc. Coarse grid design methods and structures
US9230910B2 (en) 2006-03-09 2016-01-05 Tela Innovations, Inc. Oversized contacts and vias in layout defined by linearly constrained topology
US8541879B2 (en) 2007-12-13 2013-09-24 Tela Innovations, Inc. Super-self-aligned contacts and method for making the same
US9009641B2 (en) 2006-03-09 2015-04-14 Tela Innovations, Inc. Circuits with linear finfet structures
US8448102B2 (en) 2006-03-09 2013-05-21 Tela Innovations, Inc. Optimizing layout of irregular structures in regular layout context
US7888705B2 (en) 2007-08-02 2011-02-15 Tela Innovations, Inc. Methods for defining dynamic array section with manufacturing assurance halo and apparatus implementing the same
US8667443B2 (en) 2007-03-05 2014-03-04 Tela Innovations, Inc. Integrated circuit cell library for multiple patterning
US8453094B2 (en) 2008-01-31 2013-05-28 Tela Innovations, Inc. Enforcement of semiconductor structure regularity for localized transistors and interconnect
US7939443B2 (en) 2008-03-27 2011-05-10 Tela Innovations, Inc. Methods for multi-wire routing and apparatus implementing same
SG10201608214SA (en) 2008-07-16 2016-11-29 Tela Innovations Inc Methods for cell phasing and placement in dynamic array architecture and implementation of the same
US9122832B2 (en) 2008-08-01 2015-09-01 Tela Innovations, Inc. Methods for controlling microloading variation in semiconductor wafer layout and fabrication
US8631377B2 (en) * 2009-05-14 2014-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for designing cell rows with differing cell heights
US8661392B2 (en) * 2009-10-13 2014-02-25 Tela Innovations, Inc. Methods for cell boundary encroachment and layouts implementing the Same
US9159627B2 (en) 2010-11-12 2015-10-13 Tela Innovations, Inc. Methods for linewidth modification and apparatus implementing the same
KR101888940B1 (ko) * 2012-03-28 2018-08-17 삼성전자주식회사 패턴 레이아웃을 디자인하는 방법
US20140167815A1 (en) * 2012-12-18 2014-06-19 Broadcom Corporation Area reconfigurable cells of a standard cell library
US8739104B1 (en) * 2013-02-28 2014-05-27 Broadcom Corporation Systems and methods for forming an integrated circuit using a standard cell library
US9254998B2 (en) * 2013-03-11 2016-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. MEMS device with a capping substrate
US9501600B2 (en) * 2013-05-02 2016-11-22 Taiwan Semiconductor Manufacturing Company, Ltd. Standard cells for predetermined function having different types of layout
US9245076B2 (en) * 2013-06-03 2016-01-26 International Business Machines Corporation Orthogonal circuit element routing
KR101632221B1 (ko) 2014-02-27 2016-07-01 엘지전자 주식회사 디지털 디바이스 및 그의 서비스 처리 방법
US9400862B2 (en) 2014-06-23 2016-07-26 Synopsys, Inc. Cells having transistors and interconnects including nanowires or 2D material strips
US9378320B2 (en) 2014-06-23 2016-06-28 Synopsys, Inc. Array with intercell conductors including nanowires or 2D material strips
US9361418B2 (en) * 2014-06-23 2016-06-07 Synopsys, Inc. Nanowire or 2D material strips interconnects in an integrated circuit cell
US10037397B2 (en) 2014-06-23 2018-07-31 Synopsys, Inc. Memory cell including vertical transistors and horizontal nanowire bit lines
US9449136B2 (en) * 2015-01-20 2016-09-20 Yu-Hsiang Pan Integrated circuit layout structure and method having different cell row heights with different row ratios for area optimization
US9684754B2 (en) * 2015-10-02 2017-06-20 Arm Limited Standard cell architecture layout
US10282503B2 (en) * 2016-06-25 2019-05-07 Qualcomm Incorporated Mitigating length-of-diffusion effect for logic cells and placement thereof
US9977854B2 (en) * 2016-07-12 2018-05-22 Ati Technologies Ulc Integrated circuit implementing standard cells with metal layer segments extending out of cell boundary
US10312229B2 (en) 2016-10-28 2019-06-04 Synopsys, Inc. Memory cells including vertical nanowire transistors
US10515180B1 (en) 2016-12-30 2019-12-24 Cadence Design Systems, Inc. Method, system, and computer program product to implement snapping for an electronic design
US10503858B1 (en) * 2016-12-30 2019-12-10 Cadence Design Systems, Inc. Method, system, and computer program product for implementing group legal placement on rows and grids for an electronic design
US10402530B1 (en) 2016-12-30 2019-09-03 Cadence Design Systems, Inc. Method, system, and computer program product for implementing placement using row templates for an electronic design
US10346943B2 (en) * 2017-01-03 2019-07-09 Microsoft Technology Licensing, Llc Prefetching for a graphics shader
US10452807B1 (en) 2017-03-31 2019-10-22 Cadence Design Systems, Inc. Method, system, and computer program product for implementing routing aware placement for an electronic design
US10642949B2 (en) 2017-06-07 2020-05-05 Taiwan Semiconductor Manufacturing Co., Ltd. Cell placement site optimization
CN110678980A (zh) * 2017-06-20 2020-01-10 英特尔公司 用于存储器位单元的内部节点跳线
US10515177B1 (en) 2017-06-29 2019-12-24 Cadence Design Systems, Inc. Method, system, and computer program product for implementing routing aware placement or floor planning for an electronic design
DE102017127276A1 (de) * 2017-08-30 2019-02-28 Taiwan Semiconductor Manufacturing Co., Ltd. Standardzellen und abwandlungen davon innerhalb einer standardzellenbibliothek
US10741539B2 (en) * 2017-08-30 2020-08-11 Taiwan Semiconductor Manufacturing Co., Ltd. Standard cells and variations thereof within a standard cell library
WO2019059907A1 (en) 2017-09-20 2019-03-28 Intel Corporation HANDLING OF MULTIVERSION LIBRARY CELLS FROM WHICH INTEGRATED CIRCUIT STRUCTURES ARE MANUFACTURED
US10628544B2 (en) 2017-09-25 2020-04-21 International Business Machines Corporation Optimizing integrated circuit designs based on interactions between multiple integration design rules
KR101966949B1 (ko) 2017-11-15 2019-04-09 (주)티에스이 스마트폰 보호 케이스 및 이의 제조방법
US10495443B1 (en) * 2018-07-26 2019-12-03 Qiagen Sciences, Llc Fiducial marking system
KR102628894B1 (ko) * 2018-12-05 2024-01-24 삼성전자주식회사 단위 배선 구조를 갖는 집적 회로, 그 제조 방법 및 설계 방법
KR20200130020A (ko) 2019-05-10 2020-11-18 삼성전자주식회사 하우징, 하우징 제조 방법 및 그것을 포함하는 전자 장치
KR20210128842A (ko) 2020-04-17 2021-10-27 삼성전자주식회사 금속 하우징을 포함하는 전자 장치
US11403454B2 (en) * 2020-11-09 2022-08-02 Synopsys, Inc. Placement and simulation of cell in proximity to cell with diffusion break

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7205191B2 (en) 2003-05-14 2007-04-17 Kabushiki Kaisha Toshiba Semiconductor integrated circuit and method of designing the same
US20070210391A1 (en) 2006-03-09 2007-09-13 Tela Innovations, Inc. Dynamic Array Architecture

Family Cites Families (697)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3521242A (en) 1967-05-02 1970-07-21 Rca Corp Complementary transistor write and ndro for memory cell
US4069493A (en) 1970-10-02 1978-01-17 Thomson-Csf Novel integrated circuit and method of manufacturing same
US4197555A (en) 1975-12-29 1980-04-08 Fujitsu Limited Semiconductor device
JPS5746536A (en) 1980-09-04 1982-03-17 Matsushita Electric Ind Co Ltd Gate circuit
US4424460A (en) * 1981-07-14 1984-01-03 Rockwell International Corporation Apparatus and method for providing a logical exclusive OR/exclusive NOR function
JPS5943824B2 (ja) 1982-03-03 1984-10-24 三菱電機株式会社 半導体集積回路装置
JPS58182242A (ja) 1982-04-19 1983-10-25 Nec Corp 半導体集積回路装置
JPS58182242U (ja) 1982-05-28 1983-12-05 パイオニア株式会社 スライドロツク付プツシユボタン
JPS58215827A (ja) 1982-06-09 1983-12-15 Toshiba Corp 論理回路
JPS5943548A (ja) 1982-09-06 1984-03-10 Hitachi Ltd 半導体集積回路装置
US4613940A (en) 1982-11-09 1986-09-23 International Microelectronic Products Method and structure for use in designing and building electronic systems in integrated circuits
JPS6035532A (ja) 1983-07-29 1985-02-23 Fujitsu Ltd マスタスライス集積回路装置
US4575648A (en) 1983-12-23 1986-03-11 At&T Bell Laboratories Complementary field effect transistor EXCLUSIVE OR logic gates
US5121186A (en) 1984-06-15 1992-06-09 Hewlett-Packard Company Integrated circuit device having improved junction connections
KR940002772B1 (ko) 1984-08-31 1994-04-02 가부시기가이샤 히다찌세이사꾸쇼 반도체 집적회로 장치 및 그 제조방법
US5545904A (en) 1986-01-17 1996-08-13 Quick Technologies Ltd. Personalizable gate array devices
JPH0695570B2 (ja) 1985-02-07 1994-11-24 三菱電機株式会社 半導体集積回路装置
JPS61202451A (ja) * 1985-03-05 1986-09-08 Nec Corp 半導体集積回路の配線構体
US4657628A (en) 1985-05-01 1987-04-14 Texas Instruments Incorporated Process for patterning local interconnects
US4804636A (en) 1985-05-01 1989-02-14 Texas Instruments Incorporated Process for making integrated circuits having titanium nitride triple interconnect
US4975756A (en) 1985-05-01 1990-12-04 Texas Instruments Incorporated SRAM with local interconnect
JPH0216605Y2 (ko) 1985-05-02 1990-05-08
US4602270A (en) 1985-05-17 1986-07-22 United Technologies Corporation Gate array with reduced isolation
JPS6247148A (ja) * 1985-08-27 1987-02-28 Toshiba Corp 半導体集積回路装置
JPS62169472A (ja) 1986-01-22 1987-07-25 Hitachi Ltd 半導体集積回路装置
US5097422A (en) 1986-10-10 1992-03-17 Cascade Design Automation Corporation Method and apparatus for designing integrated circuits
US4745084A (en) 1986-11-12 1988-05-17 Vlsi Technology, Inc. Method of making a customized semiconductor integrated device
US4884115A (en) 1987-02-27 1989-11-28 Siemens Aktiengesellschaft Basic cell for a gate array arrangement in CMOS Technology
US4801986A (en) * 1987-04-03 1989-01-31 General Electric Company Vertical double diffused metal oxide semiconductor VDMOS device with increased safe operating area and method
JP2742052B2 (ja) 1987-06-12 1998-04-22 日本電信電話株式会社 相補型misマスタスライス論理集積回路
JPH067345B2 (ja) 1987-06-24 1994-01-26 株式会社 エイ・ティ・ア−ル自動翻訳電話研究所 ベクトル量子化を用いた音声認識方式
US5119313A (en) 1987-08-04 1992-06-02 Texas Instruments Incorporated Comprehensive logic circuit layout system
KR100212098B1 (ko) 1987-09-19 1999-08-02 가나이 쓰도무 반도체 집적회로 장치 및 그 제조 방법과 반도체 집적 회로 장치의 배선기판 및 그 제조 방법
US5068603A (en) 1987-10-07 1991-11-26 Xilinx, Inc. Structure and method for producing mask-programmed integrated circuits which are pin compatible substitutes for memory-configured logic arrays
US4812688A (en) 1987-12-30 1989-03-14 International Business Machines Corporation Transistor delay circuits
JPH01284115A (ja) 1988-05-11 1989-11-15 Sharp Corp 論理回路
US5268319A (en) 1988-06-08 1993-12-07 Eliyahou Harari Highly compact EPROM and flash EEPROM devices
JPH0289342A (ja) * 1988-09-27 1990-03-29 Nec Corp スタンダードセル上配線通過位置登録方法
US4928160A (en) 1989-01-17 1990-05-22 Ncr Corporation Gate isolated base cell structure with off-grid gate polysilicon pattern
JPH02198154A (ja) 1989-01-27 1990-08-06 Hitachi Ltd 配線の形成方法及びこれを利用した半導体装置
US5224057A (en) 1989-02-28 1993-06-29 Kabushiki Kaisha Toshiba Arrangement method for logic cells in semiconductor IC device
US5351197A (en) * 1989-04-13 1994-09-27 Cascade Design Automation Corporation Method and apparatus for designing the layout of a subcircuit in an integrated circuit
JPH03165061A (ja) 1989-11-22 1991-07-17 Hitachi Ltd 半導体集積回路装置
US5298774A (en) 1990-01-11 1994-03-29 Mitsubishi Denki Kabushiki Kaisha Gate array system semiconductor integrated circuit device
US5483104A (en) 1990-01-12 1996-01-09 Paradigm Technology, Inc. Self-aligning contact and interconnect structure
KR100199258B1 (ko) 1990-02-09 1999-06-15 가나이 쓰도무 반도체집적회로장치
US5977305A (en) 1990-04-20 1999-11-02 Cold Spring Harbor Laboratories Cloning by complementation and related processes
US6100025A (en) 1990-04-20 2000-08-08 Cold Spring Harbor Laboratory Cloning by complementation and related processes
US5047979A (en) 1990-06-15 1991-09-10 Integrated Device Technology, Inc. High density SRAM circuit with ratio independent memory cells
US5208765A (en) 1990-07-20 1993-05-04 Advanced Micro Devices, Inc. Computer-based method and system for product development
US5079614A (en) 1990-09-26 1992-01-07 S-Mos Systems, Inc. Gate array architecture with basic cell interleaved gate electrodes
JP3017789B2 (ja) 1990-10-18 2000-03-13 三菱電機株式会社 半導体集積回路装置のレイアウト設計方法
JP2851447B2 (ja) 1991-03-08 1999-01-27 三菱電機株式会社 形状シミュレーション方法
JPH05152937A (ja) 1991-11-26 1993-06-18 Hitachi Ltd 論理ゲート回路
JP3129336B2 (ja) 1991-12-09 2001-01-29 沖電気工業株式会社 半導体記憶装置
US7071060B1 (en) 1996-02-28 2006-07-04 Sandisk Corporation EEPROM with split gate source side infection with sidewall spacers
US5242770A (en) 1992-01-16 1993-09-07 Microunity Systems Engineering, Inc. Mask for photolithography
JP2760195B2 (ja) 1992-01-20 1998-05-28 日本電気株式会社 論理回路
US5526307A (en) 1992-01-22 1996-06-11 Macronix International Co., Ltd. Flash EPROM integrated circuit architecture
JPH05218362A (ja) 1992-02-04 1993-08-27 Sharp Corp ゲートアレイのベーシックセル
IT1257184B (it) 1992-12-22 1996-01-10 Applied Research Systems Preparato ad attivita' antinfiammatoria, anticoagulante e antitumorale
US5367187A (en) 1992-12-22 1994-11-22 Quality Semiconductor, Inc. Master slice gate array integrated circuits with basic cells adaptable for both input/output and logic functions
US5420447A (en) 1993-01-29 1995-05-30 Sgs-Thomson Microelectronics, Inc. Double buffer base gate array cell
US5359226A (en) 1993-02-02 1994-10-25 Paradigm Technology, Inc. Static memory with self aligned contacts and split word lines
US5497334A (en) 1993-02-19 1996-03-05 International Business Machines Corporation Application generator for use in verifying a hierarchical circuit design
US5410107A (en) 1993-03-01 1995-04-25 The Board Of Trustees Of The University Of Arkansas Multichip module
FR2702595B1 (fr) 1993-03-11 1996-05-24 Toshiba Kk Structure de câblage multicouche.
US5536955A (en) 1993-03-29 1996-07-16 Toppan Electronics (Usa) Inc. Electronic devices for use in generating integrated circuit structures and method therefor
US5338963A (en) 1993-04-05 1994-08-16 International Business Machines Corporation Soft error immune CMOS static RAM cell
US5691218A (en) 1993-07-01 1997-11-25 Lsi Logic Corporation Method of fabricating a programmable polysilicon gate array base cell structure
US5396128A (en) 1993-09-13 1995-03-07 Motorola, Inc. Output circuit for interfacing integrated circuits having different power supply potentials
JP3285438B2 (ja) 1993-10-29 2002-05-27 三菱電機株式会社 半導体記憶装置
JP3144967B2 (ja) 1993-11-08 2001-03-12 株式会社日立製作所 半導体集積回路およびその製造方法
JP2746087B2 (ja) 1993-12-01 1998-04-28 日本電気株式会社 半導体集積回路
US5625568A (en) 1993-12-22 1997-04-29 Vlsi Technology, Inc. Method and apparatus for compacting integrated circuits with standard cell architectures
JP2684980B2 (ja) 1993-12-24 1997-12-03 日本電気株式会社 半導体記憶装置及びその製造方法
US6675361B1 (en) 1993-12-27 2004-01-06 Hyundai Electronics America Method of constructing an integrated circuit comprising an embedded macro
US5378649A (en) * 1994-04-08 1995-01-03 United Microelectronics Corporation Process for producing non-volatile memory devices having closely spaced buried bit lines and non-overlapping code implant areas
US5636002A (en) 1994-04-29 1997-06-03 Lucent Technologies Inc. Auxiliary mask features for enhancing the resolution of photolithography
JP3463180B2 (ja) 1994-05-02 2003-11-05 Necトーキン株式会社 磁性ガーネット酸化物粉末の製造方法及び磁性ガーネット酸化物膜の製造方法
US5591995A (en) 1994-05-10 1997-01-07 Texas Instruments, Incorporated Base cell for BiCMOS and CMOS gate arrays
TW297158B (ko) 1994-05-27 1997-02-01 Hitachi Ltd
JP3202490B2 (ja) 1994-07-22 2001-08-27 株式会社東芝 集積回路のレイアウト方法及び集積回路のレイアウト装置
JP3469362B2 (ja) 1994-08-31 2003-11-25 株式会社東芝 半導体記憶装置
US5528177A (en) 1994-09-16 1996-06-18 Research Foundation Of State University Of New York Complementary field-effect transistor logic circuits for wave pipelining
US5497337A (en) 1994-10-21 1996-03-05 International Business Machines Corporation Method for designing high-Q inductors in silicon technology without expensive metalization
US5852562A (en) * 1994-12-13 1998-12-22 Matsushita Electric Industrial Co., Ltd. Method and apparatus for designing an LSI layout utilizing cells having a predetermined wiring height in order to reduce wiring zones
JPH08292938A (ja) 1995-02-24 1996-11-05 Fujitsu Ltd 有限要素メッシュ発生方法及び装置、並びに解析方法及び装置
JP2647045B2 (ja) 1995-02-28 1997-08-27 日本電気株式会社 半導体記憶装置及びその製造方法
US5682323A (en) 1995-03-06 1997-10-28 Lsi Logic Corporation System and method for performing optical proximity correction on macrocell libraries
US5581098A (en) 1995-05-05 1996-12-03 Circuit Integration Technology, Inc. Circuit routing structure using fewer variable masks
JP3708168B2 (ja) 1995-06-13 2005-10-19 富士通株式会社 遅延装置
JP3535615B2 (ja) 1995-07-18 2004-06-07 株式会社ルネサステクノロジ 半導体集積回路装置
US5774367A (en) 1995-07-24 1998-06-30 Motorola, Inc. Method of selecting device threshold voltages for high speed and low power
US5764533A (en) 1995-08-01 1998-06-09 Sun Microsystems, Inc. Apparatus and methods for generating cell layouts
US5754826A (en) 1995-08-04 1998-05-19 Synopsys, Inc. CAD and simulation system for targeting IC designs to multiple fabrication processes
US5841663A (en) 1995-09-14 1998-11-24 Vlsi Technology, Inc. Apparatus and method for synthesizing integrated circuits using parameterized HDL modules
US5789776A (en) 1995-09-22 1998-08-04 Nvx Corporation Single poly memory cell and array
JPH0993118A (ja) 1995-09-22 1997-04-04 Kawasaki Steel Corp パストランジスタ論理回路
JPH0997885A (ja) 1995-09-28 1997-04-08 Denso Corp ゲートアレイ
US5973369A (en) 1997-03-11 1999-10-26 Nec Corporation SRAM having P-channel TFT as load element with less series-connected high resistance
US5723883A (en) 1995-11-14 1998-03-03 In-Chip Gate array cell architecture and routing scheme
US5640342A (en) 1995-11-20 1997-06-17 Micron Technology, Inc. Structure for cross coupled thin film transistors and static random access memory cell
JP3400215B2 (ja) 1995-11-21 2003-04-28 沖電気工業株式会社 半導体装置
JP3486725B2 (ja) 1995-11-28 2004-01-13 株式会社ルネサステクノロジ 可変論理集積回路
JP3934719B2 (ja) 1995-12-22 2007-06-20 株式会社東芝 光近接効果補正方法
US6043562A (en) 1996-01-26 2000-03-28 Micron Technology, Inc. Digit line architecture for dynamic memory
KR100229577B1 (ko) 1996-01-31 1999-11-15 포만 제프리 엘 게이트 어레이 셀 및 이것을 포함한 집적 회로 칩
US5798298A (en) 1996-02-09 1998-08-25 United Microelectronics Corporation Method of automatically generating dummy metals for multilevel interconnection
US5705301A (en) * 1996-02-27 1998-01-06 Lsi Logic Corporation Performing optical proximity correction with the aid of design rule checkers
US6269472B1 (en) 1996-02-27 2001-07-31 Lsi Logic Corporation Optical proximity correction method and apparatus
US5698873A (en) 1996-03-08 1997-12-16 Lsi Logic Corporation High density gate array base cell architecture
JPH09282349A (ja) 1996-04-17 1997-10-31 Shinko Electric Ind Co Ltd データ変換処理装置
JP2914292B2 (ja) 1996-04-25 1999-06-28 日本電気株式会社 半導体装置
US5740068A (en) 1996-05-30 1998-04-14 International Business Machines Corporation Fidelity enhancement of lithographic and reactive-ion-etched images by optical proximity correction
JP2809200B2 (ja) 1996-06-03 1998-10-08 日本電気株式会社 半導体装置の製造方法
US5935763A (en) 1996-06-11 1999-08-10 International Business Machines Corporation Self-aligned pattern over a reflective layer
US6026223A (en) * 1996-06-28 2000-02-15 Scepanovic; Ranko Advanced modular cell placement system with overlap remover with minimal noise
JP3311244B2 (ja) * 1996-07-15 2002-08-05 株式会社東芝 基本セルライブラリ及びその形成方法
US5796128A (en) 1996-07-25 1998-08-18 Translogic Technology, Inc. Gate array with fully wired multiplexer circuits
JP2918101B2 (ja) 1996-07-25 1999-07-12 日本電気株式会社 半導体集積回路のレイアウト方法
US5920486A (en) 1996-08-16 1999-07-06 International Business Machines Corporation Parameterized cells for generating dense layouts of VLSI circuits
JP3152635B2 (ja) 1996-09-09 2001-04-03 三洋電機株式会社 マスタスライス方式の基本セル、半導体集積回路装置、フリップフロップ回路、排他的論理和回路、マルチプレクサ及び加算器
US5858580A (en) * 1997-09-17 1999-01-12 Numerical Technologies, Inc. Phase shifting circuit manufacture method and apparatus
US5790417A (en) 1996-09-25 1998-08-04 Taiwan Semiconductor Manufacturing Company Ltd. Method of automatic dummy layout generation
US5923060A (en) 1996-09-27 1999-07-13 In-Chip Systems, Inc. Reduced area gate array cell design based on shifted placement of alternate rows of cells
US5684733A (en) 1996-09-30 1997-11-04 Holtek Microelectronics, Inc. Fixed resistance high density parallel ROM device
JP3529563B2 (ja) * 1996-10-09 2004-05-24 株式会社東芝 半導体集積回路の再レイアウト方法及び半導体集積回路の再レイアウトプログラムを記録した媒体
US6209123B1 (en) 1996-11-01 2001-03-27 Motorola, Inc. Methods of placing transistors in a circuit layout and semiconductor device with automatically placed transistors
US5984510A (en) 1996-11-01 1999-11-16 Motorola Inc. Automatic synthesis of standard cell layouts
US6099584A (en) 1996-12-06 2000-08-08 Vsli Technology, Inc. System to fix post-layout timing and design rules violations
JP3523762B2 (ja) 1996-12-19 2004-04-26 株式会社東芝 半導体記憶装置
JP3352895B2 (ja) 1996-12-25 2002-12-03 株式会社東芝 半導体集積回路、半導体集積回路の設計方法および製造方法
JPH10189746A (ja) 1996-12-27 1998-07-21 Oki Electric Ind Co Ltd Lsi論理回路の配線レイアウト方法
JP3420694B2 (ja) 1996-12-27 2003-06-30 株式会社東芝 スタンダードセル方式の集積回路
JP3036588B2 (ja) 1997-02-03 2000-04-24 日本電気株式会社 半導体記憶装置
JP3180700B2 (ja) 1997-02-03 2001-06-25 日本電気株式会社 半導体集積回路装置
JP3352349B2 (ja) 1997-02-24 2002-12-03 シャープ株式会社 双方向サイリスタ素子
US5900340A (en) 1997-03-03 1999-05-04 Motorola, Inc. One dimensional lithographic proximity correction using DRC shape functions
US5977574A (en) 1997-03-28 1999-11-02 Lsi Logic Corporation High density gate array cell architecture with sharing of well taps between cells
US5880991A (en) 1997-04-14 1999-03-09 International Business Machines Corporation Structure for low cost mixed memory integration, new NVRAM structure, and process for forming the mixed memory and NVRAM structure
US6393601B1 (en) 1997-04-14 2002-05-21 Matsushita Electric Industrial Co., Ltd. Layout designing apparatus for integrated circuit, transistor size determining apparatus, circuit characteristic evaluating method, and transistor size determining method
JP3178799B2 (ja) 1997-04-18 2001-06-25 シャープ株式会社 Mos論理回路及びこのmos論理回路を備えた半導体装置
KR100227621B1 (ko) 1997-05-22 1999-11-01 김영환 반도체 소자의 트랜지스터 제조방법
US6005296A (en) 1997-05-30 1999-12-21 Stmicroelectronics, Inc. Layout for SRAM structure
US6445049B1 (en) 1997-06-30 2002-09-03 Artisan Components, Inc. Cell based array comprising logic, transfer and drive cells
US6282696B1 (en) 1997-08-15 2001-08-28 Lsi Logic Corporation Performing optical proximity correction with the aid of design rule checkers
US6370679B1 (en) 1997-09-17 2002-04-09 Numerical Technologies, Inc. Data hierarchy layout correction and verification method and apparatus
US6470489B1 (en) 1997-09-17 2002-10-22 Numerical Technologies, Inc. Design rule checking system and method
US6009251A (en) 1997-09-30 1999-12-28 Synopsys, Inc. Method and system for layout verification of an integrated circuit design with reusable subdesigns
US6114071A (en) 1997-11-24 2000-09-05 Asml Masktools Netherlands B.V. Method of fine feature edge tuning with optically-halftoned mask
EP0920025B1 (en) 1997-11-28 2004-02-11 STMicroelectronics S.r.l. A low power RAM memory cell
JP3701781B2 (ja) 1997-11-28 2005-10-05 株式会社ルネサステクノロジ 論理回路とその作成方法
JP3926011B2 (ja) 1997-12-24 2007-06-06 株式会社ルネサステクノロジ 半導体装置の設計方法
JP3777768B2 (ja) 1997-12-26 2006-05-24 株式会社日立製作所 半導体集積回路装置およびセルライブラリを記憶した記憶媒体および半導体集積回路の設計方法
KR100278273B1 (ko) 1997-12-30 2001-02-01 김영환 반도체장치의콘택홀형성방법
US6249902B1 (en) 1998-01-09 2001-06-19 Silicon Perspective Corporation Design hierarchy-based placement
US6571140B1 (en) 1998-01-15 2003-05-27 Eutech Cybernetics Pte Ltd. Service-oriented community agent
JPH11214662A (ja) 1998-01-29 1999-08-06 Mitsubishi Electric Corp 半導体装置
US6091845A (en) 1998-02-24 2000-07-18 Micron Technology, Inc. Inspection technique of photomask
US6230299B1 (en) 1998-03-31 2001-05-08 Mentor Graphics Corporation Method and apparatus for extracting and storing connectivity and geometrical data for a deep sub-micron integrated circuit design
US6378110B1 (en) 1998-03-31 2002-04-23 Synopsys, Inc. Layer-based rule checking for an integrated circuit layout
JPH11297856A (ja) 1998-04-16 1999-10-29 Mitsubishi Electric Corp スタティック半導体記憶装置
US5915199A (en) 1998-06-04 1999-06-22 Sharp Microelectronics Technology, Inc. Method for manufacturing a CMOS self-aligned strapped interconnection
US6262487B1 (en) 1998-06-23 2001-07-17 Kabushiki Kaisha Toshiba Semiconductor integrated circuit device, semiconductor integrated circuit wiring method, and cell arranging method
US6063132A (en) 1998-06-26 2000-05-16 International Business Machines Corporation Method for verifying design rule checking software
US6480989B2 (en) 1998-06-29 2002-11-12 Lsi Logic Corporation Integrated circuit design incorporating a power mesh
US6714903B1 (en) 1998-07-10 2004-03-30 Lsi Logic Corporation Placement and routing of circuits using a combined processing/buffer cell
US6240542B1 (en) 1998-07-14 2001-05-29 Lsi Logic Corporation Poly routing for chip interconnects with minimal impact on chip performance
US6182272B1 (en) * 1998-07-16 2001-01-30 Lsi Logic Corporation Metal layer assignment
JP3562975B2 (ja) 1998-09-29 2004-09-08 株式会社東芝 集積回路設計方法及び集積回路設計装置
US20020008257A1 (en) 1998-09-30 2002-01-24 John P. Barnak Mosfet gate electrodes having performance tuned work functions and methods of making same
JP2000114262A (ja) 1998-10-05 2000-04-21 Toshiba Corp 半導体装置及びその製造方法
JP3852729B2 (ja) 1998-10-27 2006-12-06 富士通株式会社 半導体記憶装置
US6174742B1 (en) * 1998-10-30 2001-01-16 Lsi Logic Corporation Off-grid metal layer utilization
US6275973B1 (en) 1998-10-30 2001-08-14 Lsi Logic Corporation Integrated circuit design with delayed cell selection
US6166415A (en) 1998-11-02 2000-12-26 Mitsubishi Denki Kabushiki Kaisha Semiconductor device with improved noise resistivity
JP3680594B2 (ja) 1998-11-10 2005-08-10 株式会社日立製作所 半導体集積回路
TW476069B (en) 1998-11-20 2002-02-11 Via Tech Inc Placement and routing for array device
WO2000031871A1 (en) 1998-11-25 2000-06-02 Nanopower, Inc. Improved flip-flops and other logic circuits and techniques for improving layouts of integrated circuits
JP4437565B2 (ja) 1998-11-26 2010-03-24 富士通マイクロエレクトロニクス株式会社 半導体集積回路装置、半導体集積回路装置の設計方法、及び、記録媒体
US6477695B1 (en) 1998-12-09 2002-11-05 Artisan Components, Inc. Methods for designing standard cell transistor structures
WO2000036466A1 (fr) 1998-12-11 2000-06-22 Hitachi, Ltd. Dispositif a circuit integre a semiconducteurs et procede de fabrication
KR100291384B1 (ko) 1998-12-31 2001-07-12 윤종용 반도체장치의레이아웃방법
US6159839A (en) 1999-02-11 2000-12-12 Vanguard International Semiconductor Corporation Method for fabricating borderless and self-aligned polysilicon and metal contact landing plugs for multilevel interconnections
US6974978B1 (en) 1999-03-04 2005-12-13 Intel Corporation Gate array architecture
US6480032B1 (en) 1999-03-04 2002-11-12 Intel Corporation Gate array architecture
US6691297B1 (en) 1999-03-04 2004-02-10 Matsushita Electric Industrial Co., Ltd. Method for planning layout for LSI pattern, method for forming LSI pattern and method for generating mask data for LSI
US6194912B1 (en) * 1999-03-11 2001-02-27 Easic Corporation Integrated circuit device
US6331733B1 (en) 1999-08-10 2001-12-18 Easic Corporation Semiconductor device
US6044007A (en) 1999-03-24 2000-03-28 Advanced Micro Devices, Inc. Modification of mask layout data to improve writeability of OPC
JP3986036B2 (ja) 1999-04-16 2007-10-03 株式会社日立製作所 半導体集積回路装置
US6505328B1 (en) * 1999-04-27 2003-01-07 Magma Design Automation, Inc. Method for storing multiple levels of design data in a common database
US6507941B1 (en) 1999-04-28 2003-01-14 Magma Design Automation, Inc. Subgrid detailed routing
JP4565700B2 (ja) 1999-05-12 2010-10-20 ルネサスエレクトロニクス株式会社 半導体装置
US6492066B1 (en) 1999-05-28 2002-12-10 Advanced Micro Devices, Inc. Characterization and synthesis of OPC structures by fourier space analysis and/or wavelet transform expansion
US6425112B1 (en) 1999-06-17 2002-07-23 International Business Machines Corporation Auto correction of error checked simulated printed images
US6381730B1 (en) 1999-07-09 2002-04-30 Sequence Design, Inc. Method and system for extraction of parasitic interconnect impedance including inductance
US6525350B1 (en) * 1999-07-16 2003-02-25 Kawasaki Steel Corporation Semiconductor integrated circuit basic cell semiconductor integrated circuit using the same
JP2001056463A (ja) 1999-08-20 2001-02-27 Casio Comput Co Ltd 液晶表示装置
JP2001068558A (ja) 1999-08-30 2001-03-16 Hitachi Ltd 半導体集積回路装置
US6436805B1 (en) 1999-09-01 2002-08-20 Micron Technology, Inc. Local interconnect structures and methods for making the same
US6496965B1 (en) 1999-09-20 2002-12-17 Magma Design Automation, Inc. Automated design of parallel drive standard cells
TW423218B (en) 1999-10-06 2001-02-21 Ind Tech Res Inst Charge-redistribution low-swing differential logic circuit
US6194104B1 (en) * 1999-10-12 2001-02-27 Taiwan Semiconductor Manufacturing Company Optical proximity correction (OPC) method for improving lithography process window
US6737347B1 (en) 1999-10-20 2004-05-18 Texas Instruments Incorporated Semiconductor device with fully self-aligned local interconnects, and method for fabricating the device
US6426269B1 (en) 1999-10-21 2002-07-30 International Business Machines Corporation Dummy feature reduction using optical proximity effect correction
US6255845B1 (en) 1999-11-16 2001-07-03 Advanced Micro Devices, Inc. Efficient use of spare gates for post-silicon debug and enhancements
EP1234336A1 (en) 1999-11-17 2002-08-28 Aeroflex UTMC Microelectronic Systems Inc. Radiation resistant integrated circuit design
WO2001037322A2 (en) 1999-11-18 2001-05-25 Pdf Solutions, Inc. System and method for product yield prediction using a logic characterization vehicle
JP2001144603A (ja) 1999-11-18 2001-05-25 Oki Micro Design Co Ltd レベルシフタ回路およびそれを含むデータ出力回路
JP2001168707A (ja) 1999-12-03 2001-06-22 Sony Corp 論理回路およびそれを用いた全加算器
US6421820B1 (en) 1999-12-13 2002-07-16 Infineon Technologies Ag Semiconductor device fabrication using a photomask with assist features
US6303252B1 (en) 1999-12-27 2001-10-16 United Microelectronics Corp. Reticle having assist feature between semi-dense lines
US6295224B1 (en) 1999-12-30 2001-09-25 Stmicroelectronics, Inc. Circuit and method of fabricating a memory cell for a static random access memory
KR100346832B1 (ko) 2000-01-12 2002-08-03 삼성전자 주식회사 스태틱 랜덤 억세스 메모리 소자 및 그 제조 방법
US6737199B1 (en) 2000-01-31 2004-05-18 Taiwan Semiconductor Manufacturing Company Using new pattern fracturing rules for optical proximity correction mask-making to improve critical dimension uniformity
US6408427B1 (en) 2000-02-22 2002-06-18 The Regents Of The University Of California Wire width planning and performance optimization for VLSI interconnects
US6331790B1 (en) 2000-03-10 2001-12-18 Easic Corporation Customizable and programmable cell array
US6756811B2 (en) 2000-03-10 2004-06-29 Easic Corporation Customizable and programmable cell array
US6399972B1 (en) 2000-03-13 2002-06-04 Oki Electric Industry Co., Ltd. Cell based integrated circuit and unit cell architecture therefor
US6536028B1 (en) 2000-03-14 2003-03-18 Ammocore Technologies, Inc. Standard block architecture for integrated circuit design
JP2001272228A (ja) 2000-03-24 2001-10-05 Railway Technical Res Inst 相対変位量計測システム及び相対変位量計測方法
US6356112B1 (en) 2000-03-28 2002-03-12 Translogic Technology, Inc. Exclusive or/nor circuit
US6553544B2 (en) 2000-04-04 2003-04-22 Matsushita Electric Industrial Co., Ltd. Method for design of partial circuit
US6416907B1 (en) 2000-04-27 2002-07-09 Micron Technology, Inc. Method for designing photolithographic reticle layout, reticle, and photolithographic process
JP2001306641A (ja) 2000-04-27 2001-11-02 Victor Co Of Japan Ltd 半導体集積回路の自動配置配線方法
US6583041B1 (en) 2000-05-01 2003-06-24 Advanced Micro Devices, Inc. Microdevice fabrication method using regular arrays of lines and spaces
TW512424B (en) 2000-05-01 2002-12-01 Asml Masktools Bv Hybrid phase-shift mask
JP4885365B2 (ja) 2000-05-16 2012-02-29 ルネサスエレクトロニクス株式会社 半導体装置
US6509952B1 (en) * 2000-05-23 2003-01-21 Silicon Valley Group, Inc. Method and system for selective linewidth optimization during a lithographic process
US6610607B1 (en) 2000-05-25 2003-08-26 International Business Machines Corporation Method to define and tailor process limited lithographic features using a modified hard mask process
US6445065B1 (en) 2000-06-06 2002-09-03 In-Chip Systems, Inc. Routing driven, metal programmable integrated circuit architecture with multiple types of core cells
US6617621B1 (en) 2000-06-06 2003-09-09 Virage Logic Corporation Gate array architecture using elevated metal levels for customization
US6425113B1 (en) 2000-06-13 2002-07-23 Leigh C. Anderson Integrated verification and manufacturability tool
US6889370B1 (en) * 2000-06-20 2005-05-03 Unisys Corporation Method and apparatus for selecting and aligning cells using a placement tool
JP2002026296A (ja) 2000-06-22 2002-01-25 Internatl Business Mach Corp <Ibm> 半導体集積回路装置
JP2002009160A (ja) 2000-06-26 2002-01-11 Nec Microsystems Ltd 半導体集積回路の自動レイアウト方法、この方法で製造した半導体集積回路及びこの方法を記録した記録媒体
US7225423B2 (en) 2000-06-30 2007-05-29 Zenasis Technologies, Inc. Method for automated design of integrated circuits with targeted quality objectives using dynamically generated building blocks
US6787271B2 (en) 2000-07-05 2004-09-07 Numerical Technologies, Inc. Design and layout of phase shifting photolithographic masks
US7028285B2 (en) * 2000-07-05 2006-04-11 Synopsys, Inc. Standard cell design incorporating phase information
US6978436B2 (en) 2000-07-05 2005-12-20 Synopsys, Inc. Design data format and hierarchy management for phase processing
US6733929B2 (en) 2000-07-05 2004-05-11 Numerical Technologies, Inc. Phase shift masking for complex patterns with proximity adjustments
JP4794030B2 (ja) 2000-07-10 2011-10-12 ルネサスエレクトロニクス株式会社 半導体装置
US6516459B1 (en) * 2000-07-10 2003-02-04 Mentor Graphics Corporation Integrated circuit design correction using fragment correspondence
US6632741B1 (en) 2000-07-19 2003-10-14 International Business Machines Corporation Self-trimming method on looped patterns
US6574786B1 (en) 2000-07-21 2003-06-03 Aeroflex UTMC Microelectronics Systems, Inc. Gate array cell generator using cadence relative object design
US20050136340A1 (en) 2000-07-21 2005-06-23 Asml Netherlands B.V. Lithographic apparatus and methods, patterning structure and method for making a patterning structure, device manufacturing method, and device manufactured thereby
US6523162B1 (en) 2000-08-02 2003-02-18 Numerical Technologies, Inc. General purpose shape-based layout processing scheme for IC layout modifications
JP4357101B2 (ja) 2000-08-23 2009-11-04 株式会社ルネサステクノロジ 半導体記憶装置
JP4764987B2 (ja) 2000-09-05 2011-09-07 富士電機株式会社 超接合半導体素子
WO2002025373A2 (en) 2000-09-13 2002-03-28 Massachusetts Institute Of Technology Method of design and fabrication of integrated circuits using regular arrays and gratings
US6800883B2 (en) 2000-09-21 2004-10-05 Matsushita Electric Industrial Co., Ltd. CMOS basic cell and method for fabricating semiconductor integrated circuit using the same
US6625801B1 (en) 2000-09-29 2003-09-23 Numerical Technologies, Inc. Dissection of printed edges from a fabrication layout for correcting proximity effects
US6557162B1 (en) 2000-09-29 2003-04-29 Numerical Technologies, Inc. Method for high yield reticle formation
US6453457B1 (en) 2000-09-29 2002-09-17 Numerical Technologies, Inc. Selection of evaluation point locations based on proximity effects model amplitudes for correcting proximity effects in a fabrication layout
US6794677B2 (en) 2000-10-02 2004-09-21 Matsushita Electric Industrial Co., Ltd. Semiconductor integrated circuit device and method for fabricating the same
US6555450B2 (en) 2000-10-04 2003-04-29 Samsung Electronics Co., Ltd. Contact forming method for semiconductor device
US6566720B2 (en) 2000-10-05 2003-05-20 United Memories, Inc. Base cell layout permitting rapid layout with minimum clock line capacitance on CMOS standard-cell and gate-array integrated circuits
US6978437B1 (en) 2000-10-10 2005-12-20 Toppan Photomasks, Inc. Photomask for eliminating antenna effects in an integrated circuit and integrated circuit manufacture with same
KR20020034313A (ko) 2000-10-31 2002-05-09 박종섭 에스램셀의 제조 방법
US6703170B1 (en) 2000-12-13 2004-03-09 Dupont Photomasks, Inc. Method and apparatus for reducing loading effects on a semiconductor manufacturing component during an etch process
JP2002184870A (ja) 2000-12-18 2002-06-28 Mitsubishi Electric Corp スタティック型半導体記憶装置
KR100355036B1 (ko) 2000-12-22 2002-10-05 삼성전자 주식회사 크로스 커플드 트랜지스터 쌍의 레이아웃 방법
US6992394B2 (en) * 2000-12-28 2006-01-31 Infineon Technologies Ag Multi-level conductive lines with reduced pitch
US6553559B2 (en) 2001-01-05 2003-04-22 International Business Machines Corporation Method to determine optical proximity correction and assist feature rules which account for variations in mask dimensions
US6578190B2 (en) 2001-01-11 2003-06-10 International Business Machines Corporation Process window based optical proximity correction of lithographic images
JP2002289703A (ja) 2001-01-22 2002-10-04 Nec Corp 半導体記憶装置およびその製造方法
JP2002252161A (ja) 2001-02-23 2002-09-06 Hitachi Ltd 半導体製造システム
US6792591B2 (en) 2001-02-28 2004-09-14 Asml Masktools B.V. Method of identifying an extreme interaction pitch region, methods of designing mask patterns and manufacturing masks, device manufacturing methods and computer programs
JP4928675B2 (ja) 2001-03-01 2012-05-09 エルピーダメモリ株式会社 半導体装置
JP4736206B2 (ja) 2001-03-05 2011-07-27 大日本印刷株式会社 フォトマスクパタン欠陥検査方法および微細図形パタンの検出方法
EP1241525B1 (en) 2001-03-14 2004-12-15 ASML MaskTools B.V. An optical proximity correction method utilizing ruled ladder bars as sub-resolution assist features
US6514849B1 (en) * 2001-04-02 2003-02-04 Advanced Micro Devices, Inc. Method of forming smaller contact size using a spacer hard mask
US6732334B2 (en) 2001-04-02 2004-05-04 Matsushita Electric Industrial Co., Ltd. Analog MOS semiconductor device, manufacturing method therefor, manufacturing program therefor, and program device therefor
US6574779B2 (en) 2001-04-12 2003-06-03 International Business Machines Corporation Hierarchical layout method for integrated circuits
US6505327B2 (en) * 2001-04-13 2003-01-07 Numerical Technologies, Inc. Generating an instance-based representation of a design hierarchy
US6524870B2 (en) 2001-04-24 2003-02-25 Pell, Iii Edwin A. Method and apparatus for improving resolution of objects in a semiconductor wafer
JP4187947B2 (ja) 2001-04-26 2008-11-26 株式会社東芝 パターン補正方法、パターン補正装置、およびパターン補正プログラムを記録した記録媒体
US6936908B2 (en) 2001-05-03 2005-08-30 Ixys Corporation Forward and reverse blocking devices
US6553562B2 (en) 2001-05-04 2003-04-22 Asml Masktools B.V. Method and apparatus for generating masks utilized in conjunction with dipole illumination techniques
US6590289B2 (en) 2001-05-17 2003-07-08 Lsi Logic Corporation Hexadecagonal routing
US6523156B2 (en) * 2001-06-08 2003-02-18 Library Technologies, Inc. Apparatus and methods for wire load independent logic synthesis and timing closure with constant replacement delay cell libraries
JP2002368135A (ja) 2001-06-12 2002-12-20 Hitachi Ltd 半導体記憶装置
US6759282B2 (en) 2001-06-12 2004-07-06 International Business Machines Corporation Method and structure for buried circuits and devices
JP4746770B2 (ja) 2001-06-19 2011-08-10 ルネサスエレクトロニクス株式会社 半導体装置
US6609235B2 (en) 2001-06-22 2003-08-19 Bae Systems Information And Electronic Systems Integration, Inc. Method for providing a fill pattern for an integrated circuit design
US7079989B2 (en) 2001-06-29 2006-07-18 Shmuel Wimer Arrangements for automatic re-legging of transistors
US6835591B2 (en) 2001-07-25 2004-12-28 Nantero, Inc. Methods of nanotube films and articles
DE10137830A1 (de) 2001-08-02 2003-02-27 Infineon Technologies Ag Verfahren zum Herstellen einer selbstjustierten Struktur auf einem Halbleiter-Wafer
US6684382B2 (en) 2001-08-31 2004-01-27 Numerical Technologies, Inc. Microloading effect correction
DE10143723B4 (de) 2001-08-31 2006-09-28 Infineon Technologies Ag Verfahren zur Optimierung eines Layouts für eine Maske zur Verwendung bei der Halbleiterherstellung
US6633182B2 (en) 2001-09-05 2003-10-14 Carnegie Mellon University Programmable gate array based on configurable metal interconnect vias
JP4786836B2 (ja) 2001-09-07 2011-10-05 富士通セミコンダクター株式会社 配線接続部設計方法及び半導体装置
JP2003092250A (ja) 2001-09-18 2003-03-28 Hitachi Ltd 半導体装置及びその製造方法
JP3989213B2 (ja) 2001-09-25 2007-10-10 シャープ株式会社 パストランジスタ論理回路
JP3637299B2 (ja) 2001-10-05 2005-04-13 松下電器産業株式会社 半導体記憶装置
KR100576752B1 (ko) * 2001-10-09 2006-05-03 에이에스엠엘 마스크툴즈 비.브이. 2차원 피처모델 캘리브레이션 및 최적화 방법
JP2003124339A (ja) 2001-10-11 2003-04-25 Toshiba Corp 半導体装置およびその製造方法
JP3526450B2 (ja) 2001-10-29 2004-05-17 株式会社東芝 半導体集積回路およびスタンダードセル配置設計方法
JP2003142584A (ja) 2001-11-05 2003-05-16 Matsushita Electric Ind Co Ltd 半導体集積回路装置の設計方法
JP2003218238A (ja) 2001-11-14 2003-07-31 Mitsubishi Electric Corp 半導体記憶装置
US6673638B1 (en) * 2001-11-14 2004-01-06 Kla-Tencor Corporation Method and apparatus for the production of process sensitive lithographic features
JP3789351B2 (ja) 2001-11-30 2006-06-21 株式会社日立製作所 反射型液晶表示装置及びその製造方法
JP2003168640A (ja) 2001-12-03 2003-06-13 Hitachi Ltd 半導体装置の製造方法
JP2003188361A (ja) 2001-12-20 2003-07-04 Mitsubishi Electric Corp ゲートアレイ構造の半導体集積回路
JP3828419B2 (ja) 2001-12-25 2006-10-04 株式会社東芝 半導体装置及びその製造方法
US6787469B2 (en) 2001-12-28 2004-09-07 Texas Instruments Incorporated Double pattern and etch of poly with hard mask
US7159197B2 (en) * 2001-12-31 2007-01-02 Synopsys, Inc. Shape-based geometry engine to perform smoothing and other layout beautification operations
US7085701B2 (en) 2002-01-02 2006-08-01 International Business Machines Corporation Size reduction techniques for vital compliant VHDL simulation models
US6817000B2 (en) 2002-01-02 2004-11-09 International Business Machines Corporation Delay correlation analysis and representation for vital complaint VHDL models
JP2003203993A (ja) 2002-01-10 2003-07-18 Mitsubishi Electric Corp 半導体記憶装置及びその製造方法
US6749972B2 (en) 2002-01-15 2004-06-15 Taiwan Semiconductor Manufacturing Co., Ltd. Optical proximity correction common process window maximization over varying feature pitch
US6721926B2 (en) 2002-01-25 2004-04-13 Intel Corporation Method and apparatus for improving digital circuit design
US6662350B2 (en) 2002-01-28 2003-12-09 International Business Machines Corporation FinFET layout generation
US6820248B1 (en) 2002-02-14 2004-11-16 Xilinx, Inc. Method and apparatus for routing interconnects to devices with dissimilar pitches
US6877144B1 (en) 2002-02-28 2005-04-05 Dupont Photomasks, Inc. System and method for generating a mask layout file to reduce power supply voltage fluctuations in an integrated circuit
JP2003264231A (ja) 2002-03-11 2003-09-19 Mitsubishi Electric Corp レイアウト設計方法および半導体装置
TWI252516B (en) 2002-03-12 2006-04-01 Toshiba Corp Determination method of process parameter and method for determining at least one of process parameter and design rule
US7386433B2 (en) 2002-03-15 2008-06-10 Synopsys, Inc. Using a suggested solution to speed up a process for simulating and correcting an integrated circuit layout
US6732338B2 (en) 2002-03-20 2004-05-04 International Business Machines Corporation Method for comprehensively verifying design rule checking runsets
US6765245B2 (en) 2002-03-25 2004-07-20 Bae Systems Information And Electronic Systems Integration Inc. Gate array core cell for VLSI ASIC devices
US6754121B2 (en) 2002-03-29 2004-06-22 Stmicroelectronics, Inc. Sense amplifying circuit and method
US6745372B2 (en) 2002-04-05 2004-06-01 Numerical Technologies, Inc. Method and apparatus for facilitating process-compliant layout optimization
US6789246B1 (en) 2002-04-07 2004-09-07 Barcelona Design, Inc. Method and apparatus for automatic layout of circuit structures
US7252909B2 (en) 2002-04-18 2007-08-07 Taiwan Semiconductor Manufacturing Co., Ltd. Method to reduce CD non-uniformity in IC manufacturing
JP4190796B2 (ja) 2002-04-24 2008-12-03 Necエレクトロニクス株式会社 露光原版の作成方法
US6992925B2 (en) * 2002-04-26 2006-01-31 Kilopass Technologies, Inc. High density semiconductor memory cell and memory array using a single transistor and having counter-doped poly and buried diffusion wordline
US6826738B2 (en) 2002-05-10 2004-11-30 Pdf Solutions, Inc. Optimization of die placement on wafers
US6794914B2 (en) 2002-05-24 2004-09-21 Qualcomm Incorporated Non-volatile multi-threshold CMOS latch with leakage control
JP2004013920A (ja) 2002-06-03 2004-01-15 Mitsubishi Electric Corp 半導体記憶装置
US6980211B2 (en) 2002-06-04 2005-12-27 Springsoft, Inc. Automatic schematic diagram generation using topology information
US7363099B2 (en) 2002-06-07 2008-04-22 Cadence Design Systems, Inc. Integrated circuit metrology
US7152215B2 (en) 2002-06-07 2006-12-19 Praesagus, Inc. Dummy fill for integrated circuits
US7774726B2 (en) 2002-06-07 2010-08-10 Cadence Design Systems, Inc. Dummy fill for integrated circuits
US7124386B2 (en) 2002-06-07 2006-10-17 Praesagus, Inc. Dummy fill for integrated circuits
AU2003274370A1 (en) * 2002-06-07 2003-12-22 Praesagus, Inc. Characterization adn reduction of variation for integrated circuits
US20030229875A1 (en) 2002-06-07 2003-12-11 Smith Taber H. Use of models in integrated circuit fabrication
US7712056B2 (en) 2002-06-07 2010-05-04 Cadence Design Systems, Inc. Characterization and verification for integrated circuit designs
US6795953B2 (en) 2002-06-11 2004-09-21 Hpl Technologies, Inc. Method for avoiding false failures attributable to dummy interconnects during defect analysis of an integrated circuit design
JP3879063B2 (ja) 2002-06-11 2007-02-07 富士通株式会社 半導体装置およびその製造方法
JP2004022070A (ja) 2002-06-17 2004-01-22 Renesas Technology Corp 半導体記憶装置
US7039882B2 (en) 2002-06-17 2006-05-02 Amar Pal Singh Rana Technology dependent transformations for Silicon-On-Insulator in digital design synthesis
JP4036688B2 (ja) 2002-06-18 2008-01-23 松下電器産業株式会社 自動配置配線用スタンダードセルライブラリ及び半導体集積装置
JP4462528B2 (ja) 2002-06-24 2010-05-12 株式会社日立製作所 半導体集積回路装置
EP1376676A3 (en) 2002-06-24 2008-08-20 Interuniversitair Microelektronica Centrum Vzw Multibit non-volatile memory device and method
US6687895B2 (en) * 2002-07-03 2004-02-03 Numerical Technologies Inc. Method and apparatus for reducing optical proximity correction output file size
US6998722B2 (en) 2002-07-08 2006-02-14 Viciciv Technology Semiconductor latches and SRAM devices
JP2004040042A (ja) 2002-07-08 2004-02-05 Fujitsu Ltd 半導体記憶装置
US7063923B2 (en) 2002-07-11 2006-06-20 United Electronics Corp. Optical proximity correction method
US20040009409A1 (en) 2002-07-11 2004-01-15 Jiunn-Ren Hwang Optical proximity correction method
EP1579274A4 (en) 2002-07-12 2006-06-07 Cadence Design Systems Inc METHOD AND SYSTEM FOR CONTROLLING MASKS ACCORDING TO THE CONTEXT
JP4416384B2 (ja) 2002-07-19 2010-02-17 株式会社ルネサステクノロジ 半導体集積回路
KR100445638B1 (ko) 2002-07-26 2004-08-25 삼성전자주식회사 전기적으로 분리된 영역들을 연결하는 상호 연결 구조 및그 제조방법
US7739624B2 (en) 2002-07-29 2010-06-15 Synopsys, Inc. Methods and apparatuses to generate a shielding mesh for integrated circuit devices
US7171645B2 (en) 2002-08-06 2007-01-30 Matsushita Electric Industrial Co., Ltd. Semiconductor device, method of generating pattern for semiconductor device, method of manufacturing semiconductor device and device of generating pattern used for semiconductor device
KR100493025B1 (ko) 2002-08-07 2005-06-07 삼성전자주식회사 반도체 메모리 장치의 제조 방법
FR2843481B1 (fr) 2002-08-08 2005-09-16 Soisic Memoire sur substrat du type silicium sur isolant
US7143380B1 (en) 2002-08-08 2006-11-28 Xilinx, Inc. Method for application of network flow techniques under constraints
US6789244B1 (en) 2002-08-08 2004-09-07 Xilinx, Inc. Placement of clock objects under constraints
US6785875B2 (en) * 2002-08-15 2004-08-31 Fulcrum Microsystems, Inc. Methods and apparatus for facilitating physical synthesis of an integrated circuit design
US6854100B1 (en) * 2002-08-27 2005-02-08 Taiwan Semiconductor Manufacturing Company Methodology to characterize metal sheet resistance of copper damascene process
JP3795846B2 (ja) 2002-08-29 2006-07-12 富士通株式会社 半導体装置
US7345511B2 (en) 2002-08-29 2008-03-18 Technion Research & Development Foundation Ltd. Logic circuit and method of logic circuit design
US6734521B2 (en) 2002-08-30 2004-05-11 Texas Instruments Incorporated Integrated circuit cells
DE10241170A1 (de) 2002-09-05 2004-03-18 Infineon Technologies Ag Hochdichter NROM-FINFET
US20040049754A1 (en) 2002-09-06 2004-03-11 Sun Microsystems, Inc. Method and apparatus for filling and connecting filler material in a layout
TWI274969B (en) 2002-09-11 2007-03-01 Asml Masktools Bv Method and computer program product of generating masks and mask generated thereby, device manufacturing method and device manufactured thereby, and method of printing pattern
US6807663B2 (en) 2002-09-23 2004-10-19 Numerical Technologies, Inc. Accelerated layout processing using OPC pre-processing
US6928635B2 (en) 2002-09-25 2005-08-09 Numerical Technologies, Inc. Selectively applying resolution enhancement techniques to improve performance and manufacturing cost of integrated circuits
US7327597B1 (en) 2002-10-02 2008-02-05 Cisco Technology, Inc. Static random access memory architecture
JP4279782B2 (ja) 2002-10-10 2009-06-17 富士通株式会社 レイアウト方法及び装置並びにそのプログラム及び記録媒体
US7214579B2 (en) 2002-10-24 2007-05-08 Nxp Bv. Self-aligned 2-bit “double poly CMP” flash memory cell
US6994939B1 (en) * 2002-10-29 2006-02-07 Advanced Micro Devices, Inc. Semiconductor manufacturing resolution enhancement system and method for simultaneously patterning different feature types
US7053424B2 (en) 2002-10-31 2006-05-30 Yamaha Corporation Semiconductor integrated circuit device and its manufacture using automatic layout
US7219326B2 (en) 2002-12-16 2007-05-15 Intrinsity, Inc. Physical realization of dynamic logic using parameterized tile partitioning
JP3848248B2 (ja) 2002-12-17 2006-11-22 株式会社東芝 Sramセルおよびそれを用いたメモリ集積回路
US6953956B2 (en) 2002-12-18 2005-10-11 Easic Corporation Semiconductor device having borderless logic array and flexible I/O
US7093228B2 (en) 2002-12-20 2006-08-15 Lsi Logic Corporation Method and system for classifying an integrated circuit for optical proximity correction
JP4202120B2 (ja) 2002-12-27 2008-12-24 セイコーインスツル株式会社 集積回路の最適化設計装置
EP1434264A3 (en) 2002-12-27 2017-01-18 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method using the transfer technique
US6898770B2 (en) 2003-01-09 2005-05-24 Lsi Logic Corporation Split and merge design flow concept for fast turnaround time of circuit layout design
JP4136684B2 (ja) 2003-01-29 2008-08-20 Necエレクトロニクス株式会社 半導体装置及びそのダミーパターンの配置方法
US6996790B2 (en) 2003-01-30 2006-02-07 Synopsys, Inc. System and method for generating a two-dimensional yield map for a full layout
JP2004241529A (ja) 2003-02-05 2004-08-26 Matsushita Electric Ind Co Ltd 半導体回路装置及びその回路シミュレーション方法
US6884712B2 (en) 2003-02-07 2005-04-26 Chartered Semiconductor Manufacturing, Ltd. Method of manufacturing semiconductor local interconnect and contact
JP2004253730A (ja) 2003-02-21 2004-09-09 Renesas Technology Corp 半導体集積回路装置およびその製造方法
US6777146B1 (en) 2003-02-21 2004-08-17 International Business Machines Corporation Method of optical proximity correction with sub-resolution assists
US7149999B2 (en) 2003-02-25 2006-12-12 The Regents Of The University Of California Method for correcting a mask design layout
WO2004077162A1 (en) 2003-02-27 2004-09-10 The University Of Hong Kong Multiple exposure method for circuit performance improvement
JP4531340B2 (ja) 2003-02-27 2010-08-25 ルネサスエレクトロニクス株式会社 マルチプレクサセルのレイアウト構造
JP4290457B2 (ja) 2003-03-31 2009-07-08 株式会社ルネサステクノロジ 半導体記憶装置
JP3920804B2 (ja) 2003-04-04 2007-05-30 松下電器産業株式会社 半導体記憶装置
US6931617B2 (en) 2003-04-21 2005-08-16 Synopsys, Inc. Mask cost driven logic optimization and synthesis
US7065731B2 (en) 2003-05-07 2006-06-20 Cadence Design Systems, Inc. Removal of acute angles in a design layout
CN100416573C (zh) 2003-05-07 2008-09-03 睦塞德技术公司 利用电源岛管理集成电路上的功率
US7093208B2 (en) 2003-05-12 2006-08-15 International Business Machines Corporation Method for tuning a digital design for synthesized random logic circuit macros in a continuous design space with optional insertion of multiple threshold voltage devices
US7063920B2 (en) 2003-05-16 2006-06-20 Asml Holding, N.V. Method for the generation of variable pitch nested lines and/or contact holes using fixed size pixels for direct-write lithographic systems
JP4233381B2 (ja) 2003-05-21 2009-03-04 株式会社ルネサステクノロジ 半導体装置とその製造方法
US7770144B2 (en) 2003-05-28 2010-08-03 Eric Dellinger Modular array defined by standard cell logic
US7107551B1 (en) 2003-05-30 2006-09-12 Prolific, Inc. Optimization of circuit designs using a continuous spectrum of library cells
US7183611B2 (en) 2003-06-03 2007-02-27 Micron Technology, Inc. SRAM constructions, and electronic systems comprising SRAM constructions
US7400627B2 (en) 2003-06-05 2008-07-15 Brooktree Broadband Holding, Inc. ATM header compression using hash tables
US6992916B2 (en) 2003-06-13 2006-01-31 Taiwan Semiconductor Manufacturing Co., Ltd. SRAM cell design with high resistor CMOS gate structure for soft error rate improvement
JP4245418B2 (ja) 2003-06-25 2009-03-25 富士通マイクロエレクトロニクス株式会社 斜め方向配線を有する半導体集積回路装置及びそのレイアウト方法
US20050009312A1 (en) 2003-06-26 2005-01-13 International Business Machines Corporation Gate length proximity corrected device
US6900999B1 (en) 2003-06-30 2005-05-31 Integrated Device Technology, Inc. Ternary content addressable memory (TCAM) cells with small footprint size and efficient layout aspect ratio
KR100577610B1 (ko) 2003-07-15 2006-05-10 삼성전자주식회사 반도체 장치, 반도체 장치의 제조 방법 및 에스램 장치,에스램 장치 제조 방법.
US6993741B2 (en) * 2003-07-15 2006-01-31 International Business Machines Corporation Generating mask patterns for alternating phase-shift mask lithography
EP1519421A1 (en) 2003-09-25 2005-03-30 Interuniversitair Microelektronica Centrum Vzw Multiple gate semiconductor device and method for forming same
US6921982B2 (en) 2003-07-21 2005-07-26 International Business Machines Corporation FET channel having a strained lattice structure along multiple surfaces
EP1503411A1 (fr) 2003-07-30 2005-02-02 St Microelectronics S.A. Lignes conductrices enterrées dans des zones d'isolement
US6924560B2 (en) 2003-08-08 2005-08-02 Taiwan Semiconductor Manufacturing Co., Ltd. Compact SRAM cell with FinFET
JP4398195B2 (ja) 2003-08-08 2010-01-13 パナソニック株式会社 半導体記憶装置
JP4620942B2 (ja) 2003-08-21 2011-01-26 川崎マイクロエレクトロニクス株式会社 半導体集積回路のレイアウト方法、そのレイアウト構造、およびフォトマスク
TWI220268B (en) 2003-09-17 2004-08-11 Faraday Tech Corp Method for programming a routing layout design through one via layer
US7345909B2 (en) 2003-09-24 2008-03-18 Yen-Jen Chang Low-power SRAM memory cell
US6957402B2 (en) 2003-09-24 2005-10-18 Artisan Components, Inc. Yield maximization in the manufacture of integrated circuits
KR100516226B1 (ko) 2003-09-25 2005-09-23 동부아남반도체 주식회사 에스램 테스트용 셀 및 에스램 셀 테스트 방법
JP4599048B2 (ja) 2003-10-02 2010-12-15 川崎マイクロエレクトロニクス株式会社 半導体集積回路のレイアウト構造、半導体集積回路のレイアウト方法、およびフォトマスク
JP2005114752A (ja) 2003-10-02 2005-04-28 Yamaha Corp 演奏装置
JP4632287B2 (ja) 2003-10-06 2011-02-16 株式会社日立製作所 半導体集積回路装置
US7155689B2 (en) 2003-10-07 2006-12-26 Magma Design Automation, Inc. Design-manufacturing interface via a unified model
FR2860920A1 (fr) 2003-10-14 2005-04-15 St Microelectronics Sa Procede de realisation de connexions conductrices de circuits integres, et circuit integre mettant en oeuvre des telles connexions
JP2005123537A (ja) 2003-10-20 2005-05-12 Sony Corp 半導体装置及び製造方法
JP2005123524A (ja) 2003-10-20 2005-05-12 Toshiba Corp 半導体装置及びその製造方法
US6867073B1 (en) 2003-10-21 2005-03-15 Ziptronix, Inc. Single mask via method and device
JP4346410B2 (ja) 2003-10-28 2009-10-21 東芝メモリシステムズ株式会社 半導体集積回路の配線設計方法及び半導体集積回路
US7329953B2 (en) 2003-10-29 2008-02-12 Taiwan Semiconductor Manufacturing Co., Ltd. Structure for reducing leakage currents and high contact resistance for embedded memory and method for making same
JP2005149265A (ja) 2003-11-18 2005-06-09 Olympus Corp 演算処理システム及び演算処理装置
US7269803B2 (en) 2003-12-18 2007-09-11 Lsi Corporation System and method for mapping logical components to physical locations in an integrated circuit design environment
US7052972B2 (en) 2003-12-19 2006-05-30 Micron Technology, Inc. Method for forming sublithographic features during the manufacture of a semiconductor device and a resulting in-process apparatus
KR100702552B1 (ko) 2003-12-22 2007-04-04 인터내셔널 비지네스 머신즈 코포레이션 이중 게이트 FinFET 디자인을 위한 자동화 레이어생성 방법 및 장치
JP4585197B2 (ja) * 2003-12-22 2010-11-24 ルネサスエレクトロニクス株式会社 レイアウト設計方法およびフォトマスク
JP2005197345A (ja) 2004-01-05 2005-07-21 Hitachi Ltd 半導体装置
JP2005203447A (ja) 2004-01-13 2005-07-28 Toshiba Corp 半導体集積回路、半導体集積回路設計システム及び半導体集積回路設計方法
US7064068B2 (en) 2004-01-23 2006-06-20 Taiwan Semiconductor Manufacturing Company, Ltd. Method to improve planarity of electroplated copper
KR100564612B1 (ko) 2004-02-19 2006-03-28 삼성전자주식회사 하드 디스크 드라이브
US7523429B2 (en) 2004-02-20 2009-04-21 Takumi Technology Corporation System for designing integrated circuits with enhanced manufacturability
US7569308B2 (en) 2004-02-24 2009-08-04 The University Of Hong Kong Rectangular contact lithography for circuit performance improvement and manufacture cost reduction
US7084476B2 (en) 2004-02-26 2006-08-01 International Business Machines Corp. Integrated circuit logic with self compensating block delays
US7353492B2 (en) 2004-02-26 2008-04-01 International Business Machines Corporation Method of IC fabrication, IC mask fabrication and program product therefor
JP2005243928A (ja) 2004-02-26 2005-09-08 Fujitsu Ltd トレンチアイソレーションで分離されたトランジスタ対を有する半導体装置
US7335966B2 (en) * 2004-02-26 2008-02-26 Triad Semiconductor, Inc. Configurable integrated circuit capacitor array using via mask layers
JP4317777B2 (ja) * 2004-03-10 2009-08-19 パナソニック株式会社 半導体集積回路
US7115343B2 (en) 2004-03-10 2006-10-03 International Business Machines Corporation Pliant SRAF for improved performance and manufacturability
US7423298B2 (en) 2004-03-17 2008-09-09 Sharp Kabushiki Kaisha Bidirectional photothyristor chip, optical lighting coupler, and solid state relay
JP2005268610A (ja) 2004-03-19 2005-09-29 Matsushita Electric Ind Co Ltd スタンダードセルの設計方法及び半導体集積回路
DE102004014472B4 (de) 2004-03-24 2012-05-03 Infineon Technologies Ag Anwendungsspezifischer integrierter Halbleiter-Schaltkreis
US7126837B1 (en) 2004-03-26 2006-10-24 Netlogic Microsystems, Inc. Interlocking memory/logic cell layout and method of manufacture
ATE373876T1 (de) 2004-04-01 2007-10-15 Soisic Verbessertes layout einer sram-speicherzelle
EP1738412A1 (en) 2004-04-02 2007-01-03 Triad Semiconductor, Inc. Via configurable architecture for customization of analog circuitry in a semiconductor device
JP2007536564A (ja) 2004-04-02 2007-12-13 クリア・シェイプ・テクノロジーズ・インコーポレーテッド 集積回路の製造における超解像プロセスのモデル化
US20050229130A1 (en) 2004-04-07 2005-10-13 Aprio Technologies, Inc. Method and apparatus for selective, incremental, reconfigurable and reusable semiconductor manufacturing resolution-enhancements
US7404173B2 (en) 2004-04-07 2008-07-22 Aprio Technologies, Inc. Intermediate layout for resolution enhancement in semiconductor fabrication
US7115920B2 (en) 2004-04-12 2006-10-03 International Business Machines Corporation FinFET transistor and circuit
JP2007534258A (ja) 2004-04-20 2007-11-22 コーニンクレッカ フィリップス エレクトロニクス エヌ ヴィ 低スキューの対称差動出力信号を有するレールツーレール同相モード動作を有する高速差動レシーバ
JP2007536581A (ja) 2004-05-07 2007-12-13 メンター・グラフィクス・コーポレーション プロセス変動バンドを用いた集積回路レイアウト設計法
US7194712B2 (en) 2004-05-12 2007-03-20 Synopsys, Inc. Method and apparatus for identifying line-end features for lithography verification
US7053668B2 (en) 2004-05-25 2006-05-30 Kabushiki Kaisha Toshiba SOI sense amplifier with cross-coupled body terminal
US7426710B2 (en) 2004-05-27 2008-09-16 Verisilicon Holdings, Co. Ltd. Standard cell library having cell drive strengths selected according to delay
US6975133B1 (en) 2004-05-27 2005-12-13 International Business Machines Corporation Logic circuits having linear and cellular gate transistors
US7257017B2 (en) 2004-05-28 2007-08-14 Taiwan Semiconductor Manufacturing Company, Ltd. SRAM cell for soft-error rate reduction and cell stability improvement
KR100591158B1 (ko) 2004-06-01 2006-06-19 동부일렉트로닉스 주식회사 반도체 소자의 게이트 전극의 제조 방법
US20070257277A1 (en) 2004-06-04 2007-11-08 Nec Corporation Semiconductor Device and Method for Manufacturing the Same
JP4834853B2 (ja) 2004-06-10 2011-12-14 シャープ株式会社 薄膜トランジスタ回路、薄膜トランジスタ回路の設計方法、薄膜トランジスタ回路の設計プログラム、設計プログラム記録媒体、及び表示装置
JP4248451B2 (ja) 2004-06-11 2009-04-02 パナソニック株式会社 半導体装置およびそのレイアウト設計方法
JP4778689B2 (ja) 2004-06-16 2011-09-21 パナソニック株式会社 標準セル、標準セルライブラリおよび半導体集積回路
US7327591B2 (en) 2004-06-17 2008-02-05 Texas Instruments Incorporated Staggered memory cell array
US7003068B2 (en) 2004-06-21 2006-02-21 Kenet, Inc. Device for subtracting or adding a constant amount of charge in a charge-coupled device at high operating frequencies
JP4405865B2 (ja) 2004-06-24 2010-01-27 富士通マイクロエレクトロニクス株式会社 多層配線構造の製造方法及びfib装置
JP4175649B2 (ja) 2004-07-22 2008-11-05 松下電器産業株式会社 半導体装置
WO2006014849A2 (en) 2004-07-27 2006-02-09 Easic Corporation Structured integrated circuit device
US7176508B2 (en) 2004-07-27 2007-02-13 International Business Machines Corporation Temperature sensor for high power very large scale integration circuits
JP2006049780A (ja) 2004-08-09 2006-02-16 Elpida Memory Inc 半導体集積回路装置
US7093213B2 (en) 2004-08-13 2006-08-15 International Business Machines Corporation Method for designing an integrated circuit defect monitor
US7365432B2 (en) 2004-08-23 2008-04-29 Taiwan Semiconductor Manufacturing Company, Ltd. Memory cell structure
JP2006073696A (ja) 2004-09-01 2006-03-16 Matsushita Electric Ind Co Ltd スタンダードセルを用いた半導体集積回路とその設計方法
US7632610B2 (en) 2004-09-02 2009-12-15 Intel Corporation Sub-resolution assist features
US20060063334A1 (en) 2004-09-17 2006-03-23 International Business Machines Corporation Fin FET diode structures and methods for building
US7227183B2 (en) 2004-09-17 2007-06-05 International Business Machines Corporation Polysilicon conductor width measurement for 3-dimensional FETs
US7185294B2 (en) * 2004-09-23 2007-02-27 Verisilicon Holdings, Co Ltd Standard cell library having globally scalable transistor channel length
DE102004047263B4 (de) 2004-09-24 2010-04-22 Qimonda Ag Verfahren zum Erzeugen eines Abbildungsfehler vermeidenden Maskenlayouts für eine Maske
US7466607B2 (en) 2004-09-30 2008-12-16 Analog Devices, Inc. Memory access system and method using de-coupled read and write circuits
US7337421B2 (en) * 2004-09-30 2008-02-26 Cadence Design Systems, Inc. Method and system for managing design corrections for optical and process effects based on feature tolerances
JP2006100718A (ja) 2004-09-30 2006-04-13 Matsushita Electric Ind Co Ltd 半導体集積回路装置の動作解析方法、これに用いられる解析装置およびこれを用いた最適化設計方法
JP2006114668A (ja) 2004-10-14 2006-04-27 Sony Corp 半導体集積回路およびその製造方法
US7487475B1 (en) * 2004-10-15 2009-02-03 Cadence Design Systems, Inc. Systems, methods, and apparatus to perform statistical static timing analysis
JP2006119195A (ja) 2004-10-19 2006-05-11 Nec Electronics Corp 配線のレイアウト方法
US7302651B2 (en) 2004-10-29 2007-11-27 International Business Machines Corporation Technology migration for integrated circuits with radical design restrictions
US7458045B2 (en) 2004-10-29 2008-11-25 Synopsys, Inc. Silicon tolerance specification using shapes as design intent markers
WO2006052738A2 (en) 2004-11-04 2006-05-18 Fabbrix, Inc. A method and process for design of integrated circuits using regular geometry patterns to obtain geometrically consistent component features
US7350183B2 (en) 2004-11-05 2008-03-25 International Business Machines Corporation Method for improving optical proximity correction
KR100587692B1 (ko) 2004-11-05 2006-06-08 삼성전자주식회사 반도체 메모리 장치에서의 회로 배선 배치구조와 그에따른 배치방법
JP2006156778A (ja) 2004-11-30 2006-06-15 Matsushita Electric Ind Co Ltd 半導体装置及びそのレイアウト設計方法
US7465973B2 (en) 2004-12-03 2008-12-16 International Business Machines Corporation Integrated circuit having gates and active regions forming a regular grating
US7424696B2 (en) * 2004-12-03 2008-09-09 Lsi Corporation Power mesh for multiple frequency operation of semiconductor products
JP2006165365A (ja) 2004-12-09 2006-06-22 Renesas Technology Corp 半導体装置および半導体装置の製造方法
US7345330B2 (en) 2004-12-09 2008-03-18 Omnivision Technologies, Inc. Local interconnect structure and method for a CMOS image sensor
JP4357409B2 (ja) 2004-12-17 2009-11-04 株式会社東芝 半導体集積回路装置及びその設計方法
US7396732B2 (en) 2004-12-17 2008-07-08 Interuniversitair Microelektronica Centrum Vzw (Imec) Formation of deep trench airgaps and related applications
FR2879816B1 (fr) 2004-12-20 2007-06-08 Atmel Nantes Sa Sa Circuit electronique comprenant au moins une premiere et une seconde paires differentielles dont les transistors partagent un meme caisson
JP2007043049A (ja) * 2004-12-20 2007-02-15 Matsushita Electric Ind Co Ltd セル、スタンダードセル、スタンダードセル配置方法、スタンダードセルライブラリ、ならびに半導体集積回路
WO2006070473A1 (ja) 2004-12-28 2006-07-06 Spansion Llc 半導体装置及びその動作制御方法
US7106620B2 (en) 2004-12-30 2006-09-12 International Business Machines Corporation Memory cell having improved read stability
US7509621B2 (en) 2005-01-03 2009-03-24 Synopsys, Inc. Method and apparatus for placing assist features by identifying locations of constructive and destructive interference
US7366997B1 (en) 2005-01-11 2008-04-29 Synplicity, Inc. Methods and apparatuses for thermal analysis based circuit design
JP2006196627A (ja) 2005-01-12 2006-07-27 Nec Electronics Corp 半導体装置、及び半導体装置の設計プログラム
DE102005002533B4 (de) 2005-01-14 2007-09-13 Infineon Technologies Ag Verfahren zum Erzeugen eines Abbildungsfehler vermeidenden Maskenlayouts für eine Maske
JP4455356B2 (ja) 2005-01-28 2010-04-21 Necエレクトロニクス株式会社 半導体装置
JP4602112B2 (ja) 2005-02-17 2010-12-22 株式会社東芝 半導体集積回路の製造方法及び半導体集積回路
KR20060092408A (ko) 2005-02-17 2006-08-23 삼성전자주식회사 고성능 배타적 오아 및 배타적 노아 회로 및 방법
WO2006090445A1 (ja) 2005-02-23 2006-08-31 Fujitsu Limited 半導体回路装置及びその半導体回路装置の製造方法
US7287237B2 (en) 2005-02-24 2007-10-23 Icera Inc. Aligned logic cell grid and interconnect routing architecture
US7266787B2 (en) 2005-02-24 2007-09-04 Icera, Inc. Method for optimising transistor performance in integrated circuits
US7200835B2 (en) 2005-02-24 2007-04-03 Texas Instruments Incorporated Method of locating sub-resolution assist feature(s)
US7721246B2 (en) * 2005-02-24 2010-05-18 Synopsys, Inc. Method and apparatus for quickly determining the effect of placing an assist feature at a location in a layout
US7421678B2 (en) 2005-02-24 2008-09-02 Synopsys, Inc. Assist feature placement using a process-sensitivity model
US7188322B2 (en) 2005-02-25 2007-03-06 International Business Machines Corporation Circuit layout methodology using a shape processing application
TWI281317B (en) 2005-03-07 2007-05-11 Sunplus Technology Co Ltd Self DC-bias high frequency logic gate, NAND gate, and NOR gate using the same
US7304874B2 (en) 2005-03-08 2007-12-04 Lsi Corporation Compact ternary and binary CAM bitcell architecture with no enclosed diffusion areas
US7992122B1 (en) 2005-03-25 2011-08-02 Gg Technology, Inc. Method of placing and routing for power optimization and timing closure
US7563701B2 (en) 2005-03-31 2009-07-21 Intel Corporation Self-aligned contacts for transistors
US7882456B2 (en) 2005-04-09 2011-02-01 Cadence Design Systems, Inc. Optical lithography correction process
JP4634849B2 (ja) 2005-04-12 2011-02-16 株式会社東芝 集積回路のパターンレイアウト、フォトマスク、半導体装置の製造方法、及びデータ作成方法
US7681171B2 (en) 2005-04-12 2010-03-16 Asml Masktooks B.V. Method, program product and apparatus for performing double exposure lithography
JP4921723B2 (ja) 2005-04-18 2012-04-25 株式会社東芝 半導体装置の製造方法
TWI297101B (en) 2005-04-20 2008-05-21 Nanya Technology Corp Phase shifting mask for equal line/space dense line patterns
US7506300B2 (en) 2005-04-29 2009-03-17 Cadence Design Systems, Inc. Apparatus and method for breaking up and merging polygons
US7480891B2 (en) * 2005-04-29 2009-01-20 Cadence Design Systems, Inc. Method and apparatus of model-based photomask synthesis
US7441211B1 (en) 2005-05-06 2008-10-21 Blaze Dfm, Inc. Gate-length biasing for digital circuit optimization
US8044437B1 (en) 2005-05-16 2011-10-25 Lsi Logic Corporation Integrated circuit cell architecture configurable for memory or logic elements
JP4936418B2 (ja) 2005-05-17 2012-05-23 ルネサスエレクトロニクス株式会社 半導体装置とその製造方法、及び半導体装置の設計プログラム
US7308669B2 (en) 2005-05-18 2007-12-11 International Business Machines Corporation Use of redundant routes to increase the yield and reliability of a VLSI layout
JP4912016B2 (ja) 2005-05-23 2012-04-04 ルネサスエレクトロニクス株式会社 半導体記憶装置
WO2006126125A1 (en) 2005-05-26 2006-11-30 Nxp B.V. Electronic device
US7411252B2 (en) 2005-06-21 2008-08-12 International Business Machines Corporation Substrate backgate for trigate FET
US7960791B2 (en) 2005-06-24 2011-06-14 International Business Machines Corporation Dense pitch bulk FinFET process by selective EPI and etch
US7492013B2 (en) 2005-06-27 2009-02-17 International Business Machines Corporation Systems and arrangements to interconnect components of a semiconductor device
WO2007002799A1 (en) 2005-06-29 2007-01-04 Lightspeed Logic, Inc. Methods and systems for placement
US8405216B2 (en) 2005-06-29 2013-03-26 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure for integrated circuits
US7236396B2 (en) 2005-06-30 2007-06-26 Texas Instruments Incorporated Area efficient implementation of small blocks in an SRAM array
JP2007012855A (ja) 2005-06-30 2007-01-18 Matsushita Electric Ind Co Ltd 半導体集積回路、標準セル、標準セルライブラリ、半導体集積回路の設計方法および半導体集積回路の設計装置
JP2007013060A (ja) * 2005-07-04 2007-01-18 Matsushita Electric Ind Co Ltd 半導体装置
JP2007018588A (ja) 2005-07-06 2007-01-25 Toshiba Corp 半導体記憶装置および半導体記憶装置の駆動方法
US7235424B2 (en) 2005-07-14 2007-06-26 Taiwan Semiconductor Manufacturing Co., Ltd. Method and apparatus for enhanced CMP planarization using surrounded dummy design
CN101228478B (zh) 2005-07-22 2012-08-08 富士通半导体股份有限公司 光掩模图形数据生成方法、用此数据生成的光掩模及用此掩模的半导体器件制造方法
WO2007014053A2 (en) 2005-07-22 2007-02-01 Nanopower Technologies, Inc. High sensitivity rfid tag integrated circuits
US7404154B1 (en) 2005-07-25 2008-07-22 Lsi Corporation Basic cell architecture for structured application-specific integrated circuits
US7934172B2 (en) 2005-08-08 2011-04-26 Micronic Laser Systems Ab SLM lithography: printing to below K1=.30 without previous OPC processing
US7568174B2 (en) 2005-08-19 2009-07-28 Cadence Design Systems, Inc. Method for checking printability of a lithography target
JP2007093861A (ja) 2005-09-28 2007-04-12 Renesas Technology Corp マスクパターン設計方法および半導体装置の製造方法
JP4761914B2 (ja) * 2005-10-07 2011-08-31 川崎マイクロエレクトロニクス株式会社 スタンダードセルライブラリ、半導体集積回路の設計方法、半導体集積回路パターンおよび半導体集積回路
US7749662B2 (en) 2005-10-07 2010-07-06 Globalfoundries Inc. Process margin using discrete assist features
US7485934B2 (en) 2005-10-25 2009-02-03 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated semiconductor structure for SRAM cells
JP4796817B2 (ja) 2005-10-31 2011-10-19 エルピーダメモリ株式会社 基本セル設計方法、レイアウト設計方法、設計装置およびプログラム
US20070106971A1 (en) 2005-11-04 2007-05-10 Lizotech, Inc. Apparatus for a routing system
US7397260B2 (en) 2005-11-04 2008-07-08 International Business Machines Corporation Structure and method for monitoring stress-induced degradation of conductive interconnects
US7569309B2 (en) 2005-11-09 2009-08-04 Texas Instruments Incorporated Gate critical dimension variation by use of ghost features
US7527900B2 (en) 2005-11-10 2009-05-05 United Microelectronics Corp. Reticle and optical proximity correction method
US7934184B2 (en) 2005-11-14 2011-04-26 Takumi Technology Corporation Integrated circuit design using modified cells
JP2007141971A (ja) 2005-11-15 2007-06-07 Matsushita Electric Ind Co Ltd 半導体集積回路の設計方法
US8124976B2 (en) 2005-12-02 2012-02-28 Nec Corporation Semiconductor device and method of manufacturing the same
US7543262B2 (en) * 2005-12-06 2009-06-02 Cadence Design Systems, Inc. Analog layout module generator and method
US7569310B2 (en) 2005-12-07 2009-08-04 Intel Corporation Sub-resolution assist features for photolithography with trim ends
US7512017B2 (en) 2005-12-21 2009-03-31 Intel Corporation Integration of planar and tri-gate devices on the same substrate
JP4774294B2 (ja) 2005-12-26 2011-09-14 富士通株式会社 集積回路レイアウト装置、その方法及びプログラム
EP1804282A1 (en) 2005-12-29 2007-07-04 Interuniversitair Microelektronica Centrum vzw ( IMEC) Methods for manufacturing dense integrated circuits
US7640522B2 (en) 2006-01-14 2009-12-29 Tela Innovations, Inc. Method and system for placing layout objects in a standard-cell layout
US7614030B2 (en) 2006-01-17 2009-11-03 Taiwan Semiconductor Manufacturing Co., Ltd. Scattering bar OPC application method for mask ESD prevention
JP5091462B2 (ja) 2006-01-19 2012-12-05 パナソニック株式会社 セルおよび半導体装置
JP4675249B2 (ja) 2006-02-07 2011-04-20 パナソニック株式会社 位置依存変動量計算方法並びに回路解析方法
US7480880B2 (en) * 2006-02-21 2009-01-20 International Business Machines Corporation Method, system, and program product for computing a yield gradient from statistical timing
US7469401B2 (en) 2006-02-22 2008-12-23 International Business Machines Corporation Method for using partitioned masks to build a chip
US8225239B2 (en) 2006-03-09 2012-07-17 Tela Innovations, Inc. Methods for defining and utilizing sub-resolution features in linear topology
US7932545B2 (en) * 2006-03-09 2011-04-26 Tela Innovations, Inc. Semiconductor device and associated layouts including gate electrode level region having arrangement of six linear conductive segments with side-to-side spacing less than 360 nanometers
US8245180B2 (en) 2006-03-09 2012-08-14 Tela Innovations, Inc. Methods for defining and using co-optimized nanopatterns for integrated circuit design and apparatus implementing same
US9009641B2 (en) 2006-03-09 2015-04-14 Tela Innovations, Inc. Circuits with linear finfet structures
US9035359B2 (en) 2006-03-09 2015-05-19 Tela Innovations, Inc. Semiconductor chip including region including linear-shaped conductive structures forming gate electrodes and having electrical connection areas arranged relative to inner region between transistors of different types and associated methods
US9563733B2 (en) 2009-05-06 2017-02-07 Tela Innovations, Inc. Cell circuit and layout with linear finfet structures
US7956421B2 (en) 2008-03-13 2011-06-07 Tela Innovations, Inc. Cross-coupled transistor layouts in restricted gate level layout architecture
US8658542B2 (en) 2006-03-09 2014-02-25 Tela Innovations, Inc. Coarse grid design methods and structures
US7763534B2 (en) 2007-10-26 2010-07-27 Tela Innovations, Inc. Methods, structures and designs for self-aligning local interconnects used in integrated circuits
US8839175B2 (en) 2006-03-09 2014-09-16 Tela Innovations, Inc. Scalable meta-data objects
US7943967B2 (en) * 2006-03-09 2011-05-17 Tela Innovations, Inc. Semiconductor device and associated layouts including diffusion contact placement restriction based on relation to linear conductive segments
US8225261B2 (en) 2006-03-09 2012-07-17 Tela Innovations, Inc. Methods for defining contact grid in dynamic array architecture
US8247846B2 (en) 2006-03-09 2012-08-21 Tela Innovations, Inc. Oversized contacts and vias in semiconductor chip defined by linearly constrained topology
JP2007265179A (ja) 2006-03-29 2007-10-11 Fujitsu Ltd レイアウト検証方法、レイアウト検証装置
JP4882455B2 (ja) * 2006-03-31 2012-02-22 富士通セミコンダクター株式会社 半導体集積回路のユニットセルおよびユニットセルを使用した配線方法および配線プログラム
US7437691B2 (en) * 2006-04-11 2008-10-14 International Business Machines Corporation VLSI artwork legalization for hierarchical designs with multiple grid constraints
US7484197B2 (en) * 2006-04-14 2009-01-27 International Business Machines Corporation Minimum layout perturbation-based artwork legalization with grid constraints for hierarchical designs
US7509622B2 (en) 2006-04-17 2009-03-24 Synopsys, Inc. Dummy filling technique for improved planarization of chip surface topography
JP5579959B2 (ja) 2006-04-18 2014-08-27 ピーエスフォー ルクスコ エスエイアールエル 半導体装置
US7407890B2 (en) 2006-04-21 2008-08-05 International Business Machines Corporation Patterning sub-lithographic features with variable widths
US7355906B2 (en) 2006-05-24 2008-04-08 International Business Machines Corporation SRAM cell design to improve stability
US7941776B2 (en) 2006-05-26 2011-05-10 Open-Silicon Inc. Method of IC design optimization via creation of design-specific cells from post-layout patterns
WO2007149004A1 (en) 2006-06-13 2007-12-27 Freescale Semiconductor, Inc. Methods and apparatus for simulating distributed effects
US7317339B1 (en) 2006-06-16 2008-01-08 Via Technologies, Inc. N-domino register with accelerated non-discharge path
US7459792B2 (en) 2006-06-19 2008-12-02 Taiwan Semiconductor Manufacturing Co., Ltd. Via layout with via groups placed in interlocked arrangement
US7992117B2 (en) 2006-06-20 2011-08-02 Adtran, Inc. System and method for designing a common centroid layout for an integrated circuit
JP2008004796A (ja) 2006-06-23 2008-01-10 Matsushita Electric Ind Co Ltd 半導体装置および回路素子レイアウト方法
US7444609B2 (en) * 2006-06-29 2008-10-28 International Business Machines Corporation Method of optimizing customizable filler cells in an integrated circuit physical design process
US7763932B2 (en) 2006-06-29 2010-07-27 International Business Machines Corporation Multi-bit high-density memory device and architecture and method of fabricating multi-bit high-density memory devices
US7739627B2 (en) 2006-07-05 2010-06-15 Chew Marko P System and method of maximizing integrated circuit manufacturing yield with context-dependent yield cells
JP2008027940A (ja) 2006-07-18 2008-02-07 Matsushita Electric Ind Co Ltd 半導体集積回路の設計方法および回路シミュレーション方法
WO2008010163A2 (en) * 2006-07-19 2008-01-24 Koninklijke Philips Electronics N.V. Arrays of particle containing cells
DE102006037162B4 (de) 2006-08-01 2008-08-21 Qimonda Ag Verfahren und Vorrichtung und deren Verwendung zur Prüfung des Layouts einer elektronischen Schaltung
JP5080578B2 (ja) 2006-08-04 2012-11-21 サガンテック イスラエル リミテッド 回路レイアウトを所定のグリッドに適応させるための方法及びシステム
US7966579B2 (en) 2006-08-04 2011-06-21 Infineon Technologies Ag Methods of optical proximity correction
US7873929B2 (en) 2006-08-14 2011-01-18 The Regents Of The University Of California Method, apparatus and system for designing an integrated circuit including generating at least one auxiliary pattern for cell-based optical proximity correction
US7886262B2 (en) * 2006-08-15 2011-02-08 Chew Marko P System and method of maximizing integrated circuit manufacturing yield with fabrication process simulation driven layout optimization
TW200811704A (en) 2006-08-31 2008-03-01 Univ Nat Yunlin Sci & Tech Full adder of complementary type carry logic voltage compensator
KR100773353B1 (ko) 2006-09-26 2007-11-05 삼성전자주식회사 기판 플러그를 가지는 반도체 장치들 및 그의 형성방법들
US7434185B2 (en) 2006-09-27 2008-10-07 International Business Machines Corporation Method and apparatus for parallel data preparation and processing of integrated circuit graphical design data
JP4362785B2 (ja) 2006-09-28 2009-11-11 エルピーダメモリ株式会社 半導体装置の製造方法
US20080082952A1 (en) 2006-09-29 2008-04-03 Texas Instruments Incorporated Method of inclusion of sub-resolution assist feature(s)
JP4814044B2 (ja) 2006-10-05 2011-11-09 ルネサスエレクトロニクス株式会社 パターン設計方法
JP2008103610A (ja) 2006-10-20 2008-05-01 Matsushita Electric Ind Co Ltd 半導体集積回路の配線構造およびその設計方法と設計装置
US8230379B2 (en) 2006-10-20 2012-07-24 Kabushiki Kaisha Toshiba Layout generating method for semiconductor integrated circuits
US7624369B2 (en) 2006-10-31 2009-11-24 International Business Machines Corporation Closed-loop design for manufacturability process
US7802219B2 (en) 2006-11-30 2010-09-21 Cadence Design Systems, Inc. Flat placement of cells on non-integer multiple height rows in a digital integrated circuit layout
US7774739B2 (en) 2006-11-30 2010-08-10 Texas Instruments Incorporated Methods for adjusting shifter width of an alternating phase shifter having variable width
US8378407B2 (en) 2006-12-07 2013-02-19 Tower Semiconductor, Ltd. Floating gate inverter type memory cell and array
US8156450B2 (en) 2006-12-18 2012-04-10 Cadence Design Systems, Inc. Method and system for mask optimization
US7814447B2 (en) 2006-12-29 2010-10-12 Cadence Design Systems, Inc. Supplant design rules in electronic designs
US8178905B2 (en) 2007-01-12 2012-05-15 Panasonic Corporation Layout structure of semiconductor device
US7535751B2 (en) 2007-02-12 2009-05-19 Taiwan Semioconductor Manufacturing Co., Ltd. Dual-port SRAM device
JP5217180B2 (ja) 2007-02-20 2013-06-19 富士通セミコンダクター株式会社 静電放電保護装置の製造方法
US7888705B2 (en) 2007-08-02 2011-02-15 Tela Innovations, Inc. Methods for defining dynamic array section with manufacturing assurance halo and apparatus implementing the same
US8667443B2 (en) 2007-03-05 2014-03-04 Tela Innovations, Inc. Integrated circuit cell library for multiple patterning
US20080216207A1 (en) 2007-03-09 2008-09-11 Shen-Hai Tsai Finger pressing massage glove
KR100911187B1 (ko) 2007-03-13 2009-08-06 주식회사 하이닉스반도체 래치 구조 및 그것을 포함하는 비트라인 센스앰프 구조
US7575973B2 (en) 2007-03-27 2009-08-18 Sandisk 3D Llc Method of making three dimensional NAND memory
US7543252B2 (en) 2007-03-28 2009-06-02 International Business Machines Corporation Migration of integrated circuit layout for alternating phase shift masks
US7791109B2 (en) 2007-03-29 2010-09-07 International Business Machines Corporation Metal silicide alloy local interconnect
US7757196B2 (en) 2007-04-04 2010-07-13 Cisco Technology, Inc. Optimizing application specific integrated circuit pinouts for high density interconnect printed circuit boards
US7723786B2 (en) 2007-04-11 2010-05-25 Ronald Kakoschke Apparatus of memory array using FinFETs
US7964267B1 (en) 2007-04-13 2011-06-21 Bae Systems Tensylon H.P.M., Inc. Ballistic-resistant panel including high modulus ultra high molecular weight polyethylene tape
US7453125B1 (en) 2007-04-24 2008-11-18 Infineon Technologies Ag Double mesh finfet
JP4461154B2 (ja) 2007-05-15 2010-05-12 株式会社東芝 半導体装置
US20080283910A1 (en) 2007-05-15 2008-11-20 Qimonda Ag Integrated circuit and method of forming an integrated circuit
US7911830B2 (en) 2007-05-17 2011-03-22 Integrated Magnetoelectronics Scalable nonvolatile memory
JP4445521B2 (ja) 2007-06-15 2010-04-07 株式会社東芝 半導体装置
US7898040B2 (en) 2007-06-18 2011-03-01 Infineon Technologies Ag Dual gate FinFET
US7923337B2 (en) 2007-06-20 2011-04-12 International Business Machines Corporation Fin field effect transistor devices with self-aligned source and drain regions
US7759194B2 (en) * 2008-07-25 2010-07-20 Semiconductor Manufacturing International (Shanghai) Corporation Electrically programmable device with embedded EEPROM and method for making thereof
JP2009025914A (ja) * 2007-07-17 2009-02-05 Nec Electronics Corp 半導体集積回路の設計方法及び設計プログラム
US7625790B2 (en) 2007-07-26 2009-12-01 International Business Machines Corporation FinFET with sublithographic fin width
US7700466B2 (en) 2007-07-26 2010-04-20 International Business Machines Corporation Tunneling effect transistor with self-aligned gate
US20090057780A1 (en) 2007-08-27 2009-03-05 International Business Machines Corporation Finfet structure including multiple semiconductor fin channel heights
US8156451B2 (en) 2007-09-14 2012-04-10 Renesas Electronics Corporation Method of manufacturing photomask
KR100905157B1 (ko) 2007-09-18 2009-06-29 주식회사 하이닉스반도체 반도체 소자의 미세 패턴 형성 방법
JP2009088085A (ja) 2007-09-28 2009-04-23 Tokyo Electron Ltd 半導体装置の製造方法、半導体装置の製造装置、制御プログラム及びプログラム記憶媒体
US20090101940A1 (en) 2007-10-19 2009-04-23 Barrows Corey K Dual gate fet structures for flexible gate array design methodologies
US8042070B2 (en) 2007-10-23 2011-10-18 International Business Machines Corporation Methods and system for analysis and management of parametric yield
JP2009130238A (ja) 2007-11-27 2009-06-11 Fujitsu Microelectronics Ltd 半導体装置
JP5193582B2 (ja) 2007-12-12 2013-05-08 株式会社東芝 半導体装置の製造方法
JPWO2009078069A1 (ja) 2007-12-14 2011-04-28 富士通株式会社 半導体装置
US7825437B2 (en) 2007-12-28 2010-11-02 Intel Corporation Unity beta ratio tri-gate transistor static random access memory (SRAM)
EP2235453B1 (en) 2007-12-31 2016-08-10 Arçelik Anonim Sirketi A cooling device
US7816740B2 (en) 2008-01-04 2010-10-19 Texas Instruments Incorporated Memory cell layout structure with outer bitline
US7934173B2 (en) 2008-01-14 2011-04-26 Taiwan Semiconductor Manufacturing Company, Ltd. Reverse dummy insertion algorithm
US7926001B2 (en) 2008-01-16 2011-04-12 Cadence Design Systems, Inc. Uniformity for semiconductor patterning operations
US7984395B2 (en) 2008-01-17 2011-07-19 Synopsys, Inc. Hierarchical compression for metal one logic layer
US8453094B2 (en) 2008-01-31 2013-05-28 Tela Innovations, Inc. Enforcement of semiconductor structure regularity for localized transistors and interconnect
US8866254B2 (en) 2008-02-19 2014-10-21 Micron Technology, Inc. Devices including fin transistors robust to gate shorts and methods of making the same
US7962878B2 (en) 2008-02-26 2011-06-14 Infineon Technologies Ag Method of making an integrated circuit using pre-defined interconnect wiring
US8423947B2 (en) 2008-03-13 2013-04-16 International Business Machines Corporation Gridded glyph geometric objects (L3GO) design method
US7939443B2 (en) 2008-03-27 2011-05-10 Tela Innovations, Inc. Methods for multi-wire routing and apparatus implementing same
US8173544B2 (en) 2008-05-02 2012-05-08 Texas Instruments Incorporated Integrated circuit having interleaved gridded features, mask set and method for printing
US7958465B2 (en) 2008-05-08 2011-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. Dummy pattern design for reducing device performance drift
EP2117045A1 (en) 2008-05-09 2009-11-11 Imec Design Methodology for MuGFET ESD Protection Devices
US7917877B2 (en) 2008-05-09 2011-03-29 Cadence Design Systems, Inc. System and method for circuit schematic generation
US7830025B2 (en) 2008-05-19 2010-11-09 United Microelectronics Corp. Contact layout structure
US7853915B2 (en) 2008-06-24 2010-12-14 Synopsys, Inc. Interconnect-driven physical synthesis using persistent virtual routing
SG10201608214SA (en) 2008-07-16 2016-11-29 Tela Innovations Inc Methods for cell phasing and placement in dynamic array architecture and implementation of the same
US8136072B2 (en) 2008-11-03 2012-03-13 Arm Limited Standard cell placement
US8363455B2 (en) 2008-12-04 2013-01-29 David Rennie Eight transistor soft error robust storage cell
US8116121B2 (en) 2009-03-06 2012-02-14 Kabushiki Kaisha Toshiba Semiconductor device and manufacturing methods with using non-planar type of transistors
WO2010099673A1 (en) 2009-03-06 2010-09-10 Kaixin Inc. Leadless integrated circuit package having high density contacts
US8184472B2 (en) 2009-03-13 2012-05-22 International Business Machines Corporation Split-gate DRAM with lateral control-gate MuGFET
US8004042B2 (en) 2009-03-20 2011-08-23 Taiwan Semiconductor Manufacturing Company, Ltd. Static random access memory (SRAM) cell and method for forming same
US8053299B2 (en) 2009-04-17 2011-11-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabrication of a FinFET element
US8076236B2 (en) 2009-06-01 2011-12-13 Globalfoundries Inc. SRAM bit cell with self-aligned bidirectional local interconnects
US8782586B2 (en) 2009-07-16 2014-07-15 Cadence Design Systems, Inc. Method, system, and program product for routing an integrated circuit to be manufactured by doubled patterning
US8294212B2 (en) 2009-09-18 2012-10-23 Taiwan Semiconductor Manufacturing Company, Ltd. Methods and apparatus for SRAM bit cell with low standby current, low supply voltage and high speed
US8675397B2 (en) 2010-06-25 2014-03-18 Taiwan Semiconductor Manufacturing Company, Ltd. Cell structure for dual-port SRAM
US8860107B2 (en) 2010-06-03 2014-10-14 International Business Machines Corporation FinFET-compatible metal-insulator-metal capacitor
US8839162B2 (en) 2010-07-14 2014-09-16 International Business Machines Corporation Specifying circuit level connectivity during circuit design synthesis
US8796759B2 (en) * 2010-07-15 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. Fin-like field effect transistor (FinFET) device and method of manufacturing same
US9159627B2 (en) 2010-11-12 2015-10-13 Tela Innovations, Inc. Methods for linewidth modification and apparatus implementing the same
US8418111B2 (en) 2010-11-24 2013-04-09 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for achieving multiple patterning technology compliant design layout
US8402397B2 (en) 2011-07-26 2013-03-19 Mentor Graphics Corporation Hotspot detection based on machine learning
US8689164B2 (en) 2011-10-18 2014-04-01 National Taiwan University Method of analytical placement with weighted-average wirelength model
US9006841B2 (en) 2011-12-30 2015-04-14 Stmicroelectronics International N.V. Dual port SRAM having reduced cell size and rectangular shape
FR2996950B1 (fr) 2012-10-11 2016-01-01 Dolphin Integration Sa Réseau de mémoire base sur des bascules
MX2015014958A (es) 2013-04-25 2016-03-07 Yuhan Corp Nuevos derivados de triazolona o sales de la misma y composicion farmaceutica que comprende a la misma.

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7205191B2 (en) 2003-05-14 2007-04-17 Kabushiki Kaisha Toshiba Semiconductor integrated circuit and method of designing the same
US20070210391A1 (en) 2006-03-09 2007-09-13 Tela Innovations, Inc. Dynamic Array Architecture

Also Published As

Publication number Publication date
US20090271753A1 (en) 2009-10-29
WO2010008948A2 (en) 2010-01-21
EP2321748A2 (en) 2011-05-18
US20140035152A1 (en) 2014-02-06
JP5599395B2 (ja) 2014-10-01
US8966424B2 (en) 2015-02-24
EP2321748B1 (en) 2017-10-04
JP2016136650A (ja) 2016-07-28
KR101749351B1 (ko) 2017-06-20
TW201020836A (en) 2010-06-01
US8549455B2 (en) 2013-10-01
MY152456A (en) 2014-09-30
JP2017143307A (ja) 2017-08-17
US8214778B2 (en) 2012-07-03
MY167970A (en) 2018-10-09
KR20160031041A (ko) 2016-03-21
US20150143321A1 (en) 2015-05-21
SG192532A1 (en) 2013-08-30
JP6412050B2 (ja) 2018-10-24
JP2014199934A (ja) 2014-10-23
EP2321748A4 (en) 2014-08-27
US20120273841A1 (en) 2012-11-01
US20180196909A1 (en) 2018-07-12
TWI402709B (zh) 2013-07-21
JP5923135B2 (ja) 2016-05-24
KR20160093095A (ko) 2016-08-05
WO2010008948A3 (en) 2011-04-07
JP2012505526A (ja) 2012-03-01
KR20110050638A (ko) 2011-05-16
KR101761530B1 (ko) 2017-07-25
US9910950B2 (en) 2018-03-06
SG10201608214SA (en) 2016-11-29
KR20170072359A (ko) 2017-06-26
US20160357897A1 (en) 2016-12-08
KR101903975B1 (ko) 2018-10-04
US9424387B2 (en) 2016-08-23

Similar Documents

Publication Publication Date Title
KR101739709B1 (ko) 동적 어레이 아키텍쳐에서의 셀 페이징과 배치를 위한 방법 및 그 구현
US9530795B2 (en) Methods for cell boundary encroachment and semiconductor devices implementing the same
US8247846B2 (en) Oversized contacts and vias in semiconductor chip defined by linearly constrained topology
US9336344B2 (en) Coarse grid design methods and structures
US8258549B2 (en) Semiconductor device including two transistors of first type having gates formed by conductors of different length respectively aligned with two transistors of second type having gates formed by conductors of different length
US20100277202A1 (en) Circuitry and Layouts for XOR and XNOR Logic
US9425145B2 (en) Oversized contacts and vias in layout defined by linearly constrained topology
EP3327594A1 (en) Methods for cell phasing and placement in dynamic array architecture and implementation of the same

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal
A107 Divisional application of patent
E701 Decision to grant or registration of patent right
GRNT Written decision to grant