TWD227576S - Gas flow control plate - Google Patents

Gas flow control plate Download PDF

Info

Publication number
TWD227576S
TWD227576S TW111301001F TW111301001F TWD227576S TW D227576 S TWD227576 S TW D227576S TW 111301001 F TW111301001 F TW 111301001F TW 111301001 F TW111301001 F TW 111301001F TW D227576 S TWD227576 S TW D227576S
Authority
TW
Taiwan
Prior art keywords
flow control
gas flow
control plate
item
design
Prior art date
Application number
TW111301001F
Other languages
Chinese (zh)
Inventor
李政鎬
辛進豪
金大淵
金材玹
金泰雄
Original Assignee
荷蘭商Asm Ip私人控股有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 荷蘭商Asm Ip私人控股有限公司 filed Critical 荷蘭商Asm Ip私人控股有限公司
Publication of TWD227576S publication Critical patent/TWD227576S/en

Links

Abstract

【物品用途】;本設計物品關於一種氣流控制板。;【設計說明】;省略之。【Use of item】;This design item is about an airflow control panel. ;[Design description];Omit it.

Description

氣流控制板 air flow control panel

本設計物品關於一種氣流控制板。 This design article relates to an airflow control panel.

TW111301001F 2021-09-07 2022-03-01 Gas flow control plate TWD227576S (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US29/806,823 2021-09-07
US29/806,823 USD990441S1 (en) 2021-09-07 2021-09-07 Gas flow control plate

Publications (1)

Publication Number Publication Date
TWD227576S true TWD227576S (en) 2023-09-21

Family

ID=86852487

Family Applications (1)

Application Number Title Priority Date Filing Date
TW111301001F TWD227576S (en) 2021-09-07 2022-03-01 Gas flow control plate

Country Status (2)

Country Link
US (1) USD990441S1 (en)
TW (1) TWD227576S (en)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
USD1005974S1 (en) * 2022-05-19 2023-11-28 Asm Ip Holding B.V. Gas distributor for semiconductor manufacturing apparatus

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
USD796458S1 (en) 2016-01-08 2017-09-05 Asm Ip Holding B.V. Gas flow control plate for semiconductor manufacturing apparatus

Family Cites Families (5031)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3089507A (en) 1963-05-14 Air eject system control valve
FR686869A (en) 1930-12-31 1930-07-31 Jacob Ets Mixer tap
GB400010A (en) 1931-10-05 1933-10-19 Johann Puppe Improvements in and connected with ingot moulds
US2059480A (en) 1933-09-20 1936-11-03 John A Obermaier Thermocouple
US2161626A (en) 1937-09-25 1939-06-06 Walworth Patents Inc Locking device
US2240163A (en) 1938-09-30 1941-04-29 Permutit Co Valve apparatus for controlling hydraulic or pneumatic machines
US2266416A (en) 1939-01-14 1941-12-16 Western Electric Co Control apparatus
US2280778A (en) 1939-09-29 1942-04-28 John C Andersen Garden tool
US2410420A (en) 1944-01-01 1946-11-05 Robert B Bennett Scraper
US2441253A (en) 1944-10-30 1948-05-11 Rohim Mfg Company Inc Valve
US2563931A (en) 1946-04-02 1951-08-14 Honeywell Regulator Co Rate responsive thermocouple
US2480557A (en) 1946-08-02 1949-08-30 Harry S Cummins Detachable thermocouple housing
US2660061A (en) 1949-03-05 1953-11-24 Dominion Eng Works Ltd Immersion type thermocouple temperature measuring device
US2745640A (en) 1953-09-24 1956-05-15 American Viscose Corp Heat exchanging apparatus
GB752277A (en) 1953-10-28 1956-07-11 Canadian Ind 1954 Ltd Improved thermocouple unit
US2847320A (en) 1956-05-08 1958-08-12 Ohio Commw Eng Co Method for gas plating with aluminum organo compounds
US3094396A (en) 1959-07-07 1963-06-18 Continental Can Co Method of and apparatus for curing internal coatings on can bodies
US2990045A (en) 1959-09-18 1961-06-27 Lipe Rollway Corp Thermally responsive transmission for automobile fan
US3038951A (en) 1961-01-19 1962-06-12 Leeds & Northrup Co Fast acting totally expendable immersion thermocouple
US3197682A (en) 1961-04-13 1965-07-27 Pure Oil Co Safet electro-responsive-fluid chuck
US3232437A (en) 1963-03-13 1966-02-01 Champlon Lab Inc Spin-on filter cartridge
US3410349A (en) 1964-01-02 1968-11-12 Ted R. Troutman Tubing scraper and method
US3263502A (en) 1964-01-21 1966-08-02 Redwood L Springfield Multiple thermocouple support
FR1408266A (en) 1964-06-30 1965-08-13 Realisations Electr Et Electro Connection socket for thermocouples
DE1255646B (en) 1965-02-27 1967-12-07 Hoechst Ag Process for the production of fluorine in the form of calcium silicofluoride from nitric or hydrochloric acid rock phosphate digestions
US3332286A (en) 1965-09-02 1967-07-25 Gen Electric Thermocouple pressure gauge
NL6706680A (en) 1966-06-02 1967-12-04
US3588192A (en) 1969-06-02 1971-06-28 Trw Inc Hydraulic skid control system
US3647387A (en) 1970-03-19 1972-03-07 Stanford Research Inst Detection device
US3647716A (en) 1970-04-03 1972-03-07 Westvaco Corp Transport reactor with a venturi tube connection to a combustion chamber for producing activated carbon
US3634740A (en) 1970-04-20 1972-01-11 Addressograph Multigraph Electrostatic holddown
US4393013A (en) 1970-05-20 1983-07-12 J. C. Schumacher Company Vapor mass flow control system
US3713899A (en) 1970-11-12 1973-01-30 Ford Motor Co Thermocouple probe
US3885504A (en) 1971-01-09 1975-05-27 Max Baermann Magnetic stabilizing or suspension system
US3718429A (en) 1971-03-15 1973-02-27 Du Pont No-no2 analyzer
GB1337173A (en) 1971-05-17 1973-11-14 Tecalemit Engineering Fluid flow control
CA1002299A (en) 1971-06-24 1976-12-28 William H. Trembley Installation tool
US3833492A (en) 1971-09-22 1974-09-03 Pollution Control Ind Inc Method of producing ozone
US3796182A (en) 1971-12-16 1974-03-12 Applied Materials Tech Susceptor structure for chemical vapor deposition reactor
US3862397A (en) 1972-03-24 1975-01-21 Applied Materials Tech Cool wall radiantly heated reactor
FR2181175A5 (en) 1972-04-20 1973-11-30 Commissariat Energie Atomique
JPS5132766B2 (en) 1972-07-25 1976-09-14
JPS5539903B2 (en) 1972-10-19 1980-10-14
DE7242602U (en) 1972-11-20 1976-04-29 Hoogovens Ijmuiden B.V., Ijmuiden (Niederlande)
DE2427992A1 (en) 1973-06-13 1975-03-13 Thermal Syndicate Ltd METHOD OF MEASURING HIGH TEMPERATURES WITH THERMOCOUPLES
US3854443A (en) 1973-12-19 1974-12-17 Intel Corp Gas reactor for depositing thin films
DE2407133B2 (en) 1974-02-15 1976-12-09 Deutsche Forschungs- und Versuchsanstalt für Luft- und Raumfahrt e.V., 5300 Bonn METHOD AND DEVICE FOR DETERMINING NITROGEN OXIDE
US3904371A (en) 1974-03-04 1975-09-09 Beckman Instruments Inc Chemiluminescent ammonia detection
US3916270A (en) 1974-05-02 1975-10-28 Tektronix Inc Electrostatic holddown apparatus
SU494614A1 (en) 1974-05-05 1975-12-05 Специальное Проектно-Конструкторское Бюро "Главнефтеснабсбыта" Усср Remote level measurement device
US3997638A (en) 1974-09-18 1976-12-14 Celanese Corporation Production of metal ion containing carbon fibers useful in electron shielding applications
US3887790A (en) 1974-10-07 1975-06-03 Vernon H Ferguson Wrap-around electric resistance heater
SE393967B (en) 1974-11-29 1977-05-31 Sateko Oy PROCEDURE AND PERFORMANCE OF LAYING BETWEEN THE STORAGE IN A LABOR PACKAGE
US3962004A (en) 1974-11-29 1976-06-08 Rca Corporation Pattern definition in an organic layer
JPS589954B2 (en) 1975-02-28 1983-02-23 松下電器産業株式会社 rhythm hatsei sochi
US3983401A (en) 1975-03-13 1976-09-28 Electron Beam Microfabrication Corporation Method and apparatus for target support in electron projection systems
GB1514921A (en) 1975-04-02 1978-06-21 Kanji S Record-playing apparatus
US4054071A (en) 1975-06-17 1977-10-18 Aetna-Standard Engineering Company Flying saw with movable work shifter
US4079944A (en) 1975-12-05 1978-03-21 Durley Iii Benton A Cueing device for phonographs
DE2610556C2 (en) 1976-03-12 1978-02-02 Siemens AG, 1000 Berlin und 8000 München Device for distributing flowing media over a flow cross-section
US4048110A (en) 1976-05-12 1977-09-13 Celanese Corporation Rhenium catalyst composition
PL114843B1 (en) 1976-08-13 1981-02-28 Gewerk Eisenhuette Westfalia Coupling member for segments of trough-shaped running track of a chain driven scraper coveyor
USD249341S (en) 1976-11-11 1978-09-12 Umc Industries, Inc. Electro-mechanical pulser
US4194536A (en) 1976-12-09 1980-03-25 Eaton Corporation Composite tubing product
US4181330A (en) 1977-03-22 1980-01-01 Noriatsu Kojima Horn shaped multi-inlet pipe fitting
US4099041A (en) 1977-04-11 1978-07-04 Rca Corporation Susceptor for heating semiconductor substrates
US4164959A (en) 1977-04-15 1979-08-21 The Salk Institute For Biological Studies Metering valve
US4179530A (en) 1977-05-20 1979-12-18 Wacker-Chemitronic Gesellschaft Fur Elektronik-Grundstoffe Mbh Process for the deposition of pure semiconductor material
US4176630A (en) 1977-06-01 1979-12-04 Dynair Limited Automatic control valves
US4126027A (en) 1977-06-03 1978-11-21 Westinghouse Electric Corp. Method and apparatus for eccentricity correction in a rolling mill
US4152760A (en) 1977-09-16 1979-05-01 The Foxboro Company Industrial process control system
US4149237A (en) 1977-09-16 1979-04-10 The Foxboro Company Industrial process control system
US4145699A (en) 1977-12-07 1979-03-20 Bell Telephone Laboratories, Incorporated Superconducting junctions utilizing a binary semiconductor barrier
US4184188A (en) 1978-01-16 1980-01-15 Veeco Instruments Inc. Substrate clamping technique in IC fabrication processes
US4217463A (en) 1978-03-13 1980-08-12 National Distillers And Chemical Corporation Fast responsive, high pressure thermocouple
US4241000A (en) 1978-08-24 1980-12-23 The United States Of America As Represented By The Secretary Of The Army Process for producing polycrystalline cubic aluminum oxynitride
US4229064A (en) 1978-10-25 1980-10-21 Trw Inc. Polarizing adapter sleeves for electrical connectors
US4314763A (en) 1979-01-04 1982-02-09 Rca Corporation Defect detection system
FI57975C (en) 1979-02-28 1980-11-10 Lohja Ab Oy OVER ANCHORING VIDEO UPDATE FOR AVAILABILITY
GB2051875A (en) 1979-05-29 1981-01-21 Standard Telephones Cables Ltd Preparing metal coatings
US4234449A (en) 1979-05-30 1980-11-18 The United States Of America As Represented By The United States Department Of Energy Method of handling radioactive alkali metal waste
JPS5651045A (en) 1979-09-29 1981-05-08 Toshiba Corp Detector for part between data of record player
US4389973A (en) 1980-03-18 1983-06-28 Oy Lohja Ab Apparatus for performing growth of compound thin films
US4324611A (en) 1980-06-26 1982-04-13 Branson International Plasma Corporation Process and gas mixture for etching silicon dioxide and silicon nitride
DE3030697A1 (en) 1980-08-14 1982-03-18 Hochtemperatur-Reaktorbau GmbH, 5000 Köln GAS-COOLED CORE REACTOR
US4322592A (en) 1980-08-22 1982-03-30 Rca Corporation Susceptor for heating semiconductor substrates
US4355912A (en) 1980-09-12 1982-10-26 Haak Raymond L Spring loaded sensor fitting
US4479831A (en) 1980-09-15 1984-10-30 Burroughs Corporation Method of making low resistance polysilicon gate transistors and low resistance interconnections therefor via gas deposited in-situ doped amorphous layer and heat-treatment
US4384918A (en) 1980-09-30 1983-05-24 Fujitsu Limited Method and apparatus for dry etching and electrostatic chucking device used therein
GB2092908A (en) 1981-02-18 1982-08-25 Nat Res Dev Method and apparatus for delivering a controlled flow rate of reactant to a vapour deposition process
US4333735A (en) 1981-03-16 1982-06-08 Exxon Research & Engineering Co. Process and apparatus for measuring gaseous fixed nitrogen species
US4466766A (en) 1981-05-20 1984-08-21 Ruska Instrument Corporation Transfer apparatus
NO150532C (en) 1981-05-22 1984-10-31 Bjoern R Hope DEVICE FOR LEVELS.
US4488506A (en) 1981-06-18 1984-12-18 Itt Industries, Inc. Metallization plant
USD269850S (en) 1981-07-22 1983-07-26 Drag Specialties, Inc. Handlebar grip
JPS5819462A (en) 1981-07-24 1983-02-04 Kawasaki Steel Corp Electric welded steel pipe
US4436674A (en) 1981-07-30 1984-03-13 J.C. Schumacher Co. Vapor mass flow control system
NL8103979A (en) 1981-08-26 1983-03-16 Bok Edward METHOD AND APPARATUS FOR APPLYING A FILM LIQUID MEDIUM TO A SUBSTRATE
US4720362A (en) 1981-08-31 1988-01-19 Raytheon Company Transparent aluminum oxynitride and method of manufacture
US4481300A (en) 1981-08-31 1984-11-06 Raytheon Company Aluminum oxynitride having improved optical characteristics and method of manufacture
US4520116A (en) 1981-08-31 1985-05-28 Raytheon Company Transparent aluminum oxynitride and method of manufacture
GB2106325A (en) 1981-09-14 1983-04-07 Philips Electronic Associated Electrostatic chuck
FR2517790A1 (en) 1981-12-07 1983-06-10 British Nuclear Fuels Ltd Process gas valve esp. of uranium isotope separator - with sealed operating arrangement providing back-up for bellows seal
US4412133A (en) 1982-01-05 1983-10-25 The Perkin-Elmer Corp. Electrostatic cassette
US4414492A (en) 1982-02-02 1983-11-08 Intent Patent A.G. Electronic ballast system
JPS6059104B2 (en) 1982-02-03 1985-12-23 株式会社東芝 electrostatic chuck board
NL8200753A (en) 1982-02-24 1983-09-16 Integrated Automation METHOD AND APPARATUS FOR APPLYING A COATING TO A SUBSTRATE OR TAPE.
US4484061A (en) 1982-05-13 1984-11-20 Sys-Tec, Inc. Temperature control system for liquid chromatographic columns employing a thin film heater/sensor
US4465716A (en) 1982-06-02 1984-08-14 Texas Instruments Incorporated Selective deposition of composite materials
FR2529714A1 (en) 1982-07-01 1984-01-06 Commissariat Energie Atomique METHOD FOR PRODUCING THE FIELD OXIDE OF AN INTEGRATED CIRCUIT
US4401507A (en) 1982-07-14 1983-08-30 Advanced Semiconductor Materials/Am. Method and apparatus for achieving spatially uniform externally excited non-thermal chemical reactions
JPS5929435A (en) 1982-08-11 1984-02-16 Hitachi Ltd Supporter for sample
NL8203318A (en) 1982-08-24 1984-03-16 Integrated Automation DEVICE FOR PROCESSING SUBSTRATES.
FR2532783A1 (en) 1982-09-07 1984-03-09 Vu Duy Phach THERMAL PROCESSING MACHINE FOR SEMICONDUCTORS
US4454370A (en) 1982-09-07 1984-06-12 Wahl Instruments, Inc. Thermocouple surface probe
US4444990A (en) 1982-09-08 1984-04-24 Servo Corporation Of America Heat sensing device
US5242501A (en) 1982-09-10 1993-09-07 Lam Research Corporation Susceptor in chemical vapor deposition reactors
JPS5945900U (en) 1982-09-17 1984-03-27 住友電気工業株式会社 Torch for high frequency induced plasma
US4512113A (en) 1982-09-23 1985-04-23 Budinger William D Workpiece holder for polishing operation
US4499354A (en) 1982-10-06 1985-02-12 General Instrument Corp. Susceptor for radiant absorption heater system
JPS5979545A (en) 1982-10-29 1984-05-08 Toshiba Corp Electrostatic chucking device
JPS59127847A (en) 1983-01-13 1984-07-23 Tokuda Seisakusho Ltd Electrostatic chucking unit for sputtering device
JPS60110133A (en) 1983-01-24 1985-06-15 Toshiba Corp Fault checking apparatus in electrostatic chuck
US4622918A (en) 1983-01-31 1986-11-18 Integrated Automation Limited Module for high vacuum processing
US4570328A (en) 1983-03-07 1986-02-18 Motorola, Inc. Method of producing titanium nitride MOS device gate electrode
JPS59211779A (en) 1983-05-14 1984-11-30 Toshiba Corp Compressor
US4548688A (en) 1983-05-23 1985-10-22 Fusion Semiconductor Systems Hardening of photoresist
US4537001A (en) 1983-05-23 1985-08-27 Uppstroem Leif R Building elements
USD274122S (en) 1983-06-20 1984-06-05 Drag Specialties, Inc. Motorcycle handlebar grip
US4551192A (en) 1983-06-30 1985-11-05 International Business Machines Corporation Electrostatic or vacuum pinchuck formed with microcircuit lithography
US4496828A (en) 1983-07-08 1985-01-29 Ultra Carbon Corporation Susceptor assembly
JPS6050923A (en) 1983-08-31 1985-03-22 Hitachi Ltd Method of plasma surface treatment and device therefor
JPS6074626A (en) 1983-09-30 1985-04-26 Fujitsu Ltd Device for plasma treatment
GB2154365A (en) 1984-02-10 1985-09-04 Philips Electronic Associated Loading semiconductor wafers on an electrostatic chuck
US4579080A (en) 1983-12-09 1986-04-01 Applied Materials, Inc. Induction heated reactor system for chemical vapor deposition
US4655592A (en) 1983-12-30 1987-04-07 Hamamatsu Systems, Inc. Particle detection method and apparatus
US6784033B1 (en) 1984-02-15 2004-08-31 Semiconductor Energy Laboratory Co., Ltd. Method for the manufacture of an insulated gate field effect semiconductor device
USD288556S (en) 1984-02-21 1987-03-03 Pace, Incorporated Ornamental design for a frame of circuit elements utilized to replace damaged elements on printed circuit boards
US4735259A (en) 1984-02-21 1988-04-05 Hewlett-Packard Company Heated transfer line for capillary tubing
US5259881A (en) 1991-05-17 1993-11-09 Materials Research Corporation Wafer processing cluster tool batch preheating and degassing apparatus
US4527005A (en) 1984-03-13 1985-07-02 The United States Of America As Represented By The United States Department Of Energy Spring loaded thermocouple module
US4512841A (en) 1984-04-02 1985-04-23 International Business Machines Corporation RF Coupling techniques
US4724272A (en) 1984-04-17 1988-02-09 Rockwell International Corporation Method of controlling pyrolysis temperature
US4575636A (en) 1984-04-30 1986-03-11 Rca Corporation Deep ultraviolet (DUV) flood exposure system
US4611966A (en) 1984-05-30 1986-09-16 Johnson Lester R Apparatus for transferring semiconductor wafers
US4590326A (en) 1984-06-14 1986-05-20 Texaco Inc. Multi-element thermocouple
US4534816A (en) 1984-06-22 1985-08-13 International Business Machines Corporation Single wafer plasma etch reactor
US4858557A (en) 1984-07-19 1989-08-22 L.P.E. Spa Epitaxial reactors
JPS6138863A (en) 1984-07-30 1986-02-24 Toshiba Corp Polishing apparatus
NL8402410A (en) 1984-08-01 1986-03-03 Bok Edward IMPROVED PROCESS INSTALLATION WITH DOUBLE-FLOATING TRANSPORT AND PROCESSING OF WAFERS AND TAPE.
US4700089A (en) 1984-08-23 1987-10-13 Fujitsu Limited Delay circuit for gate-array LSI
US4579378A (en) 1984-10-31 1986-04-01 Snyders Robert V Mortar joint pointing guide
US6786997B1 (en) 1984-11-26 2004-09-07 Semiconductor Energy Laboratory Co., Ltd. Plasma processing apparatus
JPH0752718B2 (en) 1984-11-26 1995-06-05 株式会社半導体エネルギー研究所 Thin film formation method
JPH0236276Y2 (en) 1985-01-10 1990-10-03
US4620998A (en) 1985-02-05 1986-11-04 Haresh Lalvani Crescent-shaped polygonal tiles
US4624728A (en) 1985-06-11 1986-11-25 Tegal Corporation Pin lift plasma processing
JPS624231U (en) 1985-06-22 1987-01-12
US4653541A (en) 1985-06-26 1987-03-31 Parker Hannifin Corporation Dual wall safety tube
KR910004039B1 (en) 1985-08-28 1991-06-22 에프_에스_아이 코포레이션 Gaseous process and apparatus for removing film from substrates
US4789294A (en) 1985-08-30 1988-12-06 Canon Kabushiki Kaisha Wafer handling apparatus and method
US4776744A (en) 1985-09-09 1988-10-11 Applied Materials, Inc. Systems and methods for wafer handling in semiconductor process equipment
US4721534A (en) 1985-09-12 1988-01-26 System Planning Corporation Immersion pyrometer
US5512102A (en) 1985-10-14 1996-04-30 Semiconductor Energy Laboratory Co., Ltd. Microwave enhanced CVD system under magnetic field
US6230650B1 (en) 1985-10-14 2001-05-15 Semiconductor Energy Laboratory Co., Ltd. Microwave enhanced CVD system under magnetic field
US4949671A (en) 1985-10-24 1990-08-21 Texas Instruments Incorporated Processing apparatus and method
US4664769A (en) 1985-10-28 1987-05-12 International Business Machines Corporation Photoelectric enhanced plasma glow discharge system and method including radiation means
DE3544812A1 (en) 1985-12-18 1987-06-25 Heraeus Schott Quarzschmelze DOUBLE WALL QUARTZ GLASS TUBE FOR IMPLEMENTING SEMICONDUCTOR TECHNICAL PROCESSES
JPH0651909B2 (en) 1985-12-28 1994-07-06 キヤノン株式会社 Method of forming thin film multilayer structure
KR940000915B1 (en) 1986-01-31 1994-02-04 가부시기가이샤 히다찌세이사꾸쇼 Surface treating method of semiconductor device
NL8600255A (en) 1986-02-03 1987-09-01 Bok Edward Installation for floating transport and processing of wafers - provides transfer of successive wafers under floating condition through interfacing tunnel passages
US4654226A (en) 1986-03-03 1987-03-31 The University Of Delaware Apparatus and method for photochemical vapor deposition
JPS62222625A (en) 1986-03-25 1987-09-30 Shimizu Constr Co Ltd Semiconductor manufacturing equipment
JPS62237236A (en) 1986-04-09 1987-10-17 Hitachi Ltd Constant temperature clean work room
US4764076A (en) 1986-04-17 1988-08-16 Varian Associates, Inc. Valve incorporating wafer handling arm
US4670126A (en) 1986-04-28 1987-06-02 Varian Associates, Inc. Sputter module for modular wafer processing system
US4917556A (en) 1986-04-28 1990-04-17 Varian Associates, Inc. Modular wafer transport and processing system
US4770590A (en) 1986-05-16 1988-09-13 Silicon Valley Group, Inc. Method and apparatus for transferring wafers between cassettes and a boat
US4722298A (en) 1986-05-19 1988-02-02 Machine Technology, Inc. Modular processing apparatus for processing semiconductor wafers
US4747367A (en) 1986-06-12 1988-05-31 Crystal Specialties, Inc. Method and apparatus for producing a constant flow, constant pressure chemical vapor deposition
USD309702S (en) 1986-06-25 1990-08-07 Don Hall Safety clamp attachment for a hammer
US4718637A (en) 1986-07-02 1988-01-12 Mdc Vacuum Products Corporation High vacuum gate valve having improved metal vacuum joint
US4681134A (en) 1986-07-23 1987-07-21 Paris Sr Raymond L Valve lock
US5183511A (en) 1986-07-23 1993-02-02 Semiconductor Energy Laboratory Co., Ltd. Photo CVD apparatus with a glow discharge system
US4812201A (en) 1986-07-25 1989-03-14 Tokyo Electron Limited Method of ashing layers, and apparatus for ashing layers
US4721533A (en) 1986-08-01 1988-01-26 System Planning Corporation Protective structure for an immersion pyrometer
US4749416A (en) 1986-08-01 1988-06-07 System Planning Corporation Immersion pyrometer with protective structure for sidewall use
DE3626724C2 (en) 1986-08-07 1994-06-16 Siemens Ag Arrangement for surface inspection
US4882199A (en) 1986-08-15 1989-11-21 Massachusetts Institute Of Technology Method of forming a metal coating on a substrate
US5427824A (en) 1986-09-09 1995-06-27 Semiconductor Energy Laboratory Co., Ltd. CVD apparatus
KR910003742B1 (en) 1986-09-09 1991-06-10 세미콘덕터 에너지 라보라터리 캄파니 리미티드 Cvd apparatus
US4717461A (en) 1986-09-15 1988-01-05 Machine Technology, Inc. System and method for processing workpieces
US4938815A (en) 1986-10-15 1990-07-03 Advantage Production Technology, Inc. Semiconductor substrate heater and reactor process and apparatus
DE3635216A1 (en) 1986-10-16 1988-04-21 Draegerwerk Ag ELECTRICALLY CONTROLLED VALVE
US4725204A (en) 1986-11-05 1988-02-16 Pennwalt Corporation Vacuum manifold pumping system
KR930002562B1 (en) 1986-11-20 1993-04-03 시미즈 겐세쯔 가부시끼가이샤 Dust tight storage cabinet apparatus for use in clean rooms
JPS63136532A (en) 1986-11-27 1988-06-08 Nec Kyushu Ltd Thermal treatment equipment for semiconductor substrate
US4775281A (en) 1986-12-02 1988-10-04 Teradyne, Inc. Apparatus and method for loading and unloading wafers
US5882165A (en) 1986-12-19 1999-03-16 Applied Materials, Inc. Multiple chamber integrated process system
DE3776118D1 (en) 1986-12-22 1992-02-27 Siemens Ag TRANSPORT CONTAINER WITH INTERCHANGEABLE, TWO-PIECE INTERNAL CONTAINER.
USD311126S (en) 1986-12-23 1990-10-09 Joseph Crowley Shelf extending mounting bracket for additional product display
US4753856A (en) 1987-01-02 1988-06-28 Dow Corning Corporation Multilayer ceramic coatings from silicate esters and metal oxides
SU1408319A1 (en) 1987-01-06 1988-07-07 Всесоюзный научно-исследовательский институт аналитического приборостроения Chemoluminescent gas analyzer for nitrogen oxides
US4753192A (en) 1987-01-08 1988-06-28 Btu Engineering Corporation Movable core fast cool-down furnace
US4802441A (en) 1987-01-08 1989-02-07 Btu Engineering Corporation Double wall fast cool-down furnace
FR2610007B1 (en) 1987-01-22 1990-08-24 Bmi Fours Ind INDUSTRIAL VERTICAL OVEN WITH PERIPHERAL VENTILATION
IT209910Z2 (en) 1987-02-06 1988-11-04 Sgs Microelettronica Spa WAFER HOLDER OR SLICE HURRY, USED FOR THE VACUUM STORAGE AND / OR SHIPMENT OF THE SAME.
US4976996A (en) 1987-02-17 1990-12-11 Lam Research Corporation Chemical vapor deposition reactor and method of use thereof
US4874273A (en) 1987-03-16 1989-10-17 Hitachi, Ltd. Apparatus for holding and/or conveying articles by fluid
US4863374A (en) 1987-03-27 1989-09-05 Edward Orton, Jr., Ceramic Foundation Kiln with ventilation system
US5198034A (en) 1987-03-31 1993-03-30 Epsilon Technology, Inc. Rotatable substrate supporting mechanism with temperature sensing device for use in chemical vapor deposition equipment
US4821674A (en) 1987-03-31 1989-04-18 Deboer Wiebe B Rotatable substrate supporting mechanism with temperature sensing device for use in chemical vapor deposition equipment
US4790258A (en) 1987-04-03 1988-12-13 Tegal Corporation Magnetically coupled wafer lift pins
US4812217A (en) 1987-04-27 1989-03-14 American Telephone And Telegraph Company, At&T Bell Laboratories Method and apparatus for feeding and coating articles in a controlled atmosphere
US4780169A (en) 1987-05-11 1988-10-25 Tegal Corporation Non-uniform gas inlet for dry etching apparatus
US4827430A (en) 1987-05-11 1989-05-02 Baxter International Inc. Flow measurement system
US4738618A (en) 1987-05-14 1988-04-19 Semitherm Vertical thermal processor
US4808387A (en) 1987-05-15 1989-02-28 Exxon Chemical Patents Inc. Stabilization of vanadium tetrachloride
US4871523A (en) 1987-05-15 1989-10-03 Exxon Chemical Patents Inc. Vanadium tetrachloride stabilization
US4828224A (en) 1987-10-15 1989-05-09 Epsilon Technology, Inc. Chemical vapor deposition system
US5221556A (en) 1987-06-24 1993-06-22 Epsilon Technology, Inc. Gas injectors for reaction chambers in CVD systems
NO161941C (en) 1987-06-25 1991-04-30 Kvaerner Eng PROCEDURE AT THE PLANT FOR TRANSPORTING HYDROCARBONS OVER LONG DISTANCE FROM A HYDROCARBON SOURCE TO SEA.
NL8701549A (en) 1987-07-01 1989-02-01 Asm International N V Amtc Magnetron plasma reactor for plasma etching and depositing IC - is filled with ionisable gas subjected to RF and magnetic fields
US4837113A (en) 1987-07-16 1989-06-06 Texas Instruments Incorporated Method for depositing compound from group II-VI
US5062386A (en) 1987-07-27 1991-11-05 Epitaxy Systems, Inc. Induction heated pancake epitaxial reactor
USD327534S (en) 1987-07-30 1992-06-30 CLM Investments, Inc. Floor drain strainer
US4854263B1 (en) 1987-08-14 1997-06-17 Applied Materials Inc Inlet manifold and methods for increasing gas dissociation and for PECVD of dielectric films
JPH0777211B2 (en) 1987-08-19 1995-08-16 富士通株式会社 Ashing method
JPS6455821A (en) 1987-08-26 1989-03-02 Dainippon Screen Mfg Rapid cooling type heat treating apparatus
US4756794A (en) 1987-08-31 1988-07-12 The United States Of America As Represented By The Secretary Of The Navy Atomic layer etching
KR970004947B1 (en) 1987-09-10 1997-04-10 도오교오 에레구토론 가부시끼가이샤 Handling apparatus
US5180435A (en) 1987-09-24 1993-01-19 Research Triangle Institute, Inc. Remote plasma enhanced CVD method and apparatus for growing an epitaxial semiconductor layer
US4854266A (en) 1987-11-02 1989-08-08 Btu Engineering Corporation Cross-flow diffusion furnace
US4916091A (en) 1987-11-05 1990-04-10 Texas Instruments Incorporated Plasma and plasma UV deposition of SiO2
US4880982A (en) 1987-11-17 1989-11-14 Impex Production & Development A/S (Ltd.) Fluid indicator for a containment vessel
JPH0648217B2 (en) 1987-12-24 1994-06-22 川惣電機工業株式会社 Continuous temperature measuring device for molten metal
KR970003885B1 (en) 1987-12-25 1997-03-22 도오교오 에레구토론 가부시끼 가이샤 Etching method and apparatus thereof
US4830515A (en) 1987-12-28 1989-05-16 Omega Engineering, Inc. Mounting clip for a thermocouple assembly
US5028366A (en) 1988-01-12 1991-07-02 Air Products And Chemicals, Inc. Water based mold release compositions for making molded polyurethane foam
JPH01185176A (en) 1988-01-18 1989-07-24 Fujitsu Ltd Processing method using electrostatic adsorption
FR2628985B1 (en) 1988-03-22 1990-12-28 Labo Electronique Physique EPITAXY REACTOR WITH WALL PROTECTION
KR0129406B1 (en) 1988-03-24 1998-04-07 카자마 젠쥬 Semiconductor wafer-processing apparatus
JP2768685B2 (en) 1988-03-28 1998-06-25 株式会社東芝 Semiconductor device manufacturing method and device
US4978567A (en) 1988-03-31 1990-12-18 Materials Technology Corporation, Subsidiary Of The Carbon/Graphite Group, Inc. Wafer holding fixture for chemical reaction processes in rapid thermal processing equipment and method for making same
JP2859632B2 (en) 1988-04-14 1999-02-17 キヤノン株式会社 Film forming apparatus and film forming method
US4857382A (en) 1988-04-26 1989-08-15 General Electric Company Apparatus and method for photoetching of polyimides, polycarbonates and polyetherimides
US4949848A (en) 1988-04-29 1990-08-21 Fluoroware, Inc. Wafer carrier
US5407867A (en) 1988-05-12 1995-04-18 Mitsubishki Denki Kabushiki Kaisha Method of forming a thin film on surface of semiconductor substrate
US5174881A (en) 1988-05-12 1992-12-29 Mitsubishi Denki Kabushiki Kaisha Apparatus for forming a thin film on surface of semiconductor substrate
JPH01296613A (en) 1988-05-25 1989-11-30 Nec Corp Method of vapor growth of iii-v compound semiconductor
JPH01307229A (en) 1988-06-06 1989-12-12 Canon Inc Deposition film forming method
JPH01313954A (en) 1988-06-14 1989-12-19 Fujitsu Ltd Static chuck
KR960012876B1 (en) 1988-06-16 1996-09-25 도오교오 에레구토론 사가미 가부시끼가이샤 Heat treating apparatus with cooling fluid nozzles
US5178682A (en) 1988-06-21 1993-01-12 Mitsubishi Denki Kabushiki Kaisha Method for forming a thin layer on a semiconductor substrate and apparatus therefor
KR0155545B1 (en) 1988-06-27 1998-12-01 고다까 토시오 Apparatus for heat-treating a substrate
US5064337A (en) 1988-07-19 1991-11-12 Tokyo Electron Limited Handling apparatus for transferring carriers and a method of transferring carriers
US5125358A (en) 1988-07-26 1992-06-30 Matsushita Electric Industrial Co., Ltd. Microwave plasma film deposition system
IT1227708B (en) 1988-07-29 1991-05-06 Pomini Farrel Spa TEMPERATURE DETECTION DEVICE OF THE MATERIAL CONTAINED WITHIN A CLOSED APPLIANCE.
US5158128A (en) 1988-09-01 1992-10-27 Sumitec, Inc. Thermocouple for a continuous casting machine
US4986215A (en) 1988-09-01 1991-01-22 Kyushu Electronic Metal Co., Ltd. Susceptor for vapor-phase growth system
US4956538A (en) 1988-09-09 1990-09-11 Texas Instruments, Incorporated Method and apparatus for real-time wafer temperature measurement using infrared pyrometry in advanced lamp-heated rapid thermal processors
JPH0293071A (en) 1988-09-29 1990-04-03 Toshiba Corp Thin film formation
JP2918892B2 (en) 1988-10-14 1999-07-12 株式会社日立製作所 Plasma etching method
JPH04502981A (en) 1988-10-14 1992-05-28 アドバンテイジ・プロダクション・テクノロジー・インク Method and equipment for semiconductor wafer processing
US5107170A (en) 1988-10-18 1992-04-21 Nissin Electric Co., Ltd. Ion source having auxillary ion chamber
US4837185A (en) 1988-10-26 1989-06-06 Intel Corporation Pulsed dual radio frequency CVD process
DE3836696C1 (en) 1988-10-28 1989-12-07 Fraunhofer-Gesellschaft Zur Foerderung Der Angewandten Forschung Ev, 8000 Muenchen, De Lock for transporting material between clean rooms
US4962063A (en) 1988-11-10 1990-10-09 Applied Materials, Inc. Multistep planarized chemical vapor deposition process with the use of low melting inorganic material for flowing while depositing
US5519234A (en) 1991-02-25 1996-05-21 Symetrix Corporation Ferroelectric dielectric memory cell can switch at least giga cycles and has low fatigue - has high dielectric constant and low leakage current
US5119760A (en) 1988-12-27 1992-06-09 Symetrix Corporation Methods and apparatus for material deposition
US5084126A (en) 1988-12-29 1992-01-28 Texas Instruments Incorporated Method and apparatus for uniform flow distribution in plasma reactors
USD320148S (en) 1988-12-30 1991-09-24 Andrews Edward A Drill socket
JPH02185038A (en) 1989-01-11 1990-07-19 Nec Corp Thermal treatment equipment
JPH0834187B2 (en) 1989-01-13 1996-03-29 東芝セラミックス株式会社 Susceptor
US5160545A (en) 1989-02-03 1992-11-03 Applied Materials, Inc. Method and apparatus for epitaxial deposition
EP0382984A1 (en) 1989-02-13 1990-08-22 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Thermal decomposition trap
JPH0645893B2 (en) 1989-02-17 1994-06-15 科学技術庁長官官房会計課長 Method of forming thin film
DE8902307U1 (en) 1989-02-27 1989-08-31 Söhlbrand, Heinrich, Dr. Dipl.-Chem., 8027 Neuried Device for thermal treatment of semiconductor materials
US5053247A (en) 1989-02-28 1991-10-01 Moore Epitaxial, Inc. Method for increasing the batch size of a barrel epitaxial reactor and reactor produced thereby
NL8900544A (en) 1989-03-06 1990-10-01 Asm Europ TREATMENT SYSTEM, TREATMENT VESSEL AND METHOD FOR TREATING A SUBSTRATE.
US5088444A (en) 1989-03-15 1992-02-18 Kabushiki Kaisha Toshiba Vapor deposition system
US4934831A (en) 1989-03-20 1990-06-19 Claud S. Gordon Company Temperature sensing device
US5186120A (en) 1989-03-22 1993-02-16 Mitsubishi Denki Kabushiki Kaisha Mixture thin film forming apparatus
WO1990012126A1 (en) 1989-03-31 1990-10-18 Canon Kabushiki Kaisha Method of forming polycrystalline film by chemical vapor deposition
NL8900980A (en) 1989-04-19 1990-11-16 Asm Europ A method for providing a metered vapor stream as well as a device for carrying it out.
US5194401A (en) 1989-04-18 1993-03-16 Applied Materials, Inc. Thermally processing semiconductor wafers at non-ambient pressures
US4920918A (en) 1989-04-18 1990-05-01 Applied Materials, Inc. Pressure-resistant thermal reactor system for semiconductor processing
US4963506A (en) 1989-04-24 1990-10-16 Motorola Inc. Selective deposition of amorphous and polycrystalline silicon
DE69033711T2 (en) 1989-04-25 2001-06-28 Matsushita Electronics Corp Method of manufacturing a bipolar transistor
JP2779950B2 (en) 1989-04-25 1998-07-23 東陶機器株式会社 Method and apparatus for applying voltage to electrostatic chuck
US5192717A (en) 1989-04-28 1993-03-09 Canon Kabushiki Kaisha Process for the formation of a polycrystalline semiconductor film by microwave plasma chemical vapor deposition method
US5360269A (en) 1989-05-10 1994-11-01 Tokyo Kogyo Kabushiki Kaisha Immersion-type temperature measuring apparatus using thermocouple
US4987856A (en) 1989-05-22 1991-01-29 Advanced Semiconductor Materials America, Inc. High throughput multi station processor for multiple single wafers
US5313061A (en) 1989-06-06 1994-05-17 Viking Instrument Miniaturized mass spectrometer system
US5134965A (en) 1989-06-16 1992-08-04 Hitachi, Ltd. Processing apparatus and method for plasma processing
US5061083A (en) 1989-06-19 1991-10-29 The United States Of America As Represented By The Department Of Energy Temperature monitoring device and thermocouple assembly therefor
JP2890494B2 (en) 1989-07-11 1999-05-17 セイコーエプソン株式会社 Method for producing plasma thin film
US5022961B1 (en) 1989-07-26 1997-05-27 Dainippon Screen Mfg Method for removing a film on a silicon layer surface
US5060322A (en) 1989-07-27 1991-10-29 Delepine Jean C Shower room and ceiling element, especially for a shower room
US5013691A (en) 1989-07-31 1991-05-07 At&T Bell Laboratories Anisotropic deposition of silicon dioxide
EP0606114A1 (en) 1989-08-11 1994-07-13 Seiko Instruments Inc. Method of producing field effect transistor
US5213650A (en) 1989-08-25 1993-05-25 Applied Materials, Inc. Apparatus for removing deposits from backside and end edge of semiconductor wafer while preventing removal of materials from front surface of wafer
FI83176C (en) 1989-09-12 1991-06-10 Aitec Oy A method for controlling the movements of a robot and a piece manipulator during the learning phase of a robot cell
US5057436A (en) 1989-10-02 1991-10-15 Agmaster, Inc. Method and apparatus for detecting toxic gases
JPH03125453A (en) 1989-10-09 1991-05-28 Toshiba Corp Semiconductor wafer transfer device
US5098865A (en) 1989-11-02 1992-03-24 Machado Jose R High step coverage silicon oxide thin films
EP0500670B1 (en) 1989-11-03 1994-08-10 Asm International N.V. Method for halide etching in the presence of water of semi-conductor substrates
JPH03155625A (en) 1989-11-14 1991-07-03 Seiko Epson Corp Manufacture of plasma cvd film
US5002632A (en) 1989-11-22 1991-03-26 Texas Instruments Incorporated Method and apparatus for etching semiconductor materials
EP0454846B1 (en) 1989-11-22 1996-09-11 Nippon Steel Corporation Thermocouple-type temperature sensor and method of measuring temperature of molten steel
US4987102A (en) 1989-12-04 1991-01-22 Motorola, Inc. Process for forming high purity thin films
USD333606S (en) 1989-12-12 1993-03-02 Kabushiki Kaisha Kanemitsu Pulley
JPH0738407B2 (en) 1989-12-28 1995-04-26 株式会社荏原製作所 Storehouse
JP2867526B2 (en) 1990-01-16 1999-03-08 富士通株式会社 Semiconductor manufacturing equipment
JP2723324B2 (en) 1990-01-25 1998-03-09 日本特殊陶業株式会社 Alumina sintered substrate
USD330900S (en) 1990-02-08 1992-11-10 Wakegijig William M Drill adapter
JP2936623B2 (en) 1990-02-26 1999-08-23 日本電気株式会社 Method for manufacturing semiconductor device
JPH03257182A (en) 1990-03-07 1991-11-15 Hitachi Ltd Surface processing device
LU87693A1 (en) 1990-03-07 1991-10-08 Wurth Paul Sa PROBE FOR TAKING GAS SAMPLES AND THERMAL MEASUREMENTS IN A TANK OVEN
EP0448346B1 (en) 1990-03-19 1997-07-09 Kabushiki Kaisha Toshiba Vapor-phase deposition apparatus
JPH03277774A (en) 1990-03-27 1991-12-09 Semiconductor Energy Lab Co Ltd Photo-vapor reaction device
US5310410A (en) 1990-04-06 1994-05-10 Sputtered Films, Inc. Method for processing semi-conductor wafers in a multiple vacuum and non-vacuum chamber apparatus
DE4011933C2 (en) 1990-04-12 1996-11-21 Balzers Hochvakuum Process for the reactive surface treatment of a workpiece and treatment chamber therefor
US5243202A (en) 1990-04-25 1993-09-07 Casio Computer Co., Ltd. Thin-film transistor and a liquid crystal matrix display device using thin-film transistors of this type
US5328810A (en) 1990-05-07 1994-07-12 Micron Technology, Inc. Method for reducing, by a factor or 2-N, the minimum masking pitch of a photolithographic process
US5356672A (en) 1990-05-09 1994-10-18 Jet Process Corporation Method for microwave plasma assisted supersonic gas jet deposition of thin films
CA2016970A1 (en) 1990-05-16 1991-11-16 Prasad N. Gadgil Inverted diffusion stagnation point flow reactor for vapor deposition of thin films
JPH0429313A (en) 1990-05-24 1992-01-31 Fujitsu Ltd Device for producing semiconductor crystal
US5130003A (en) 1990-06-14 1992-07-14 Conrad Richard H method of powering corona discharge in ozone generators
US5393577A (en) 1990-06-19 1995-02-28 Nec Corporation Method for forming a patterned layer by selective chemical vapor deposition
US5225366A (en) 1990-06-22 1993-07-06 The United States Of America As Represented By The Secretary Of The Navy Apparatus for and a method of growing thin films of elemental semiconductors
NL9001451A (en) 1990-06-25 1992-01-16 Asm Europ THREE-WAY VALVE.
KR0153250B1 (en) 1990-06-28 1998-12-01 카자마 겐쥬 Vertical heat-treating apparatus
JPH0464025A (en) 1990-07-02 1992-02-28 Matsushita Electric Ind Co Ltd Temperature sensor for cooking apparatus
US5362328A (en) 1990-07-06 1994-11-08 Advanced Technology Materials, Inc. Apparatus and method for delivering reagents in vapor form to a CVD reactor, incorporating a cleaning subsystem
EP0493609B1 (en) 1990-07-18 1997-09-10 Sumitomo Electric Industries, Ltd. Method and device for manufacturing diamond
KR0176715B1 (en) 1990-07-30 1999-04-15 오가 노리오 Dry etching method
US5231062A (en) 1990-08-09 1993-07-27 Minnesota Mining And Manufacturing Company Transparent aluminum oxynitride-based ceramic article
US5082517A (en) 1990-08-23 1992-01-21 Texas Instruments Incorporated Plasma density controller for semiconductor device processing equipment
JPH04115531A (en) 1990-09-05 1992-04-16 Mitsubishi Electric Corp Chemical vapor growth device
US5273609A (en) 1990-09-12 1993-12-28 Texas Instruments Incorporated Method and apparatus for time-division plasma chopping in a multi-channel plasma processing equipment
KR0167476B1 (en) 1990-09-27 1999-02-01 이노우에 다케시 Vertical heat treating apparatus
US5167716A (en) 1990-09-28 1992-12-01 Gasonics, Inc. Method and apparatus for batch processing a semiconductor wafer
JP2780866B2 (en) 1990-10-11 1998-07-30 大日本スクリーン製造 株式会社 Light irradiation heating substrate temperature measurement device
TW214599B (en) 1990-10-15 1993-10-11 Seiko Epson Corp
JP2714247B2 (en) 1990-10-29 1998-02-16 キヤノン株式会社 Method and apparatus for continuously forming large-area functional deposited film by microwave plasma CVD
US5228114A (en) 1990-10-30 1993-07-13 Tokyo Electron Sagami Limited Heat-treating apparatus with batch scheme having improved heat controlling capability
US5304248A (en) 1990-12-05 1994-04-19 Applied Materials, Inc. Passive shield for CVD wafer processing which provides frontside edge exclusion and prevents backside depositions
US5855687A (en) 1990-12-05 1999-01-05 Applied Materials, Inc. Substrate support shield in wafer processing reactors
JP2839720B2 (en) 1990-12-19 1998-12-16 株式会社東芝 Heat treatment equipment
US5071258A (en) 1991-02-01 1991-12-10 Vesuvius Crucible Company Thermocouple assembly
DE69117166T2 (en) 1991-02-15 1996-07-04 Air Liquide Process for the production of a fiber-reinforced ceramic composite
JPH05136218A (en) 1991-02-19 1993-06-01 Tokyo Electron Yamanashi Kk Inspection device
US6110531A (en) 1991-02-25 2000-08-29 Symetrix Corporation Method and apparatus for preparing integrated circuit thin films by chemical vapor deposition
JP2740050B2 (en) 1991-03-19 1998-04-15 株式会社東芝 Groove embedded wiring formation method
JP2986121B2 (en) 1991-03-26 1999-12-06 東京エレクトロン株式会社 Load lock device and vacuum processing device
US5271732A (en) 1991-04-03 1993-12-21 Tokyo Electron Sagami Kabushiki Kaisha Heat-treating apparatus
JP3323530B2 (en) 1991-04-04 2002-09-09 株式会社日立製作所 Method for manufacturing semiconductor device
DE69230493T2 (en) 1991-04-04 2000-05-04 Seagate Technology HIGH SPEED METHOD AND DEVICE
US5182232A (en) 1991-04-08 1993-01-26 Micron Technology, Inc. Metal silicide texturizing technique
US5116018A (en) 1991-04-12 1992-05-26 Automax, Inc. Lockout modules
JPH0812847B2 (en) 1991-04-22 1996-02-07 株式会社半導体プロセス研究所 Semiconductor manufacturing apparatus and semiconductor device manufacturing method
US5243195A (en) 1991-04-25 1993-09-07 Nikon Corporation Projection exposure apparatus having an off-axis alignment system and method of alignment therefor
US5125710A (en) 1991-05-14 1992-06-30 Angelo Gianelo Under-platform drawer for trucks
US5104514A (en) 1991-05-16 1992-04-14 The United States Of America As Represented By The Secretary Of The Navy Protective coating system for aluminum
US5565038A (en) 1991-05-16 1996-10-15 Intel Corporation Interhalogen cleaning of process equipment
US5193969A (en) 1991-05-20 1993-03-16 Fortrend Engineering Corporation Wafer transfer machine
US5234526A (en) 1991-05-24 1993-08-10 Lam Research Corporation Window for microwave plasma processing device
US5252134A (en) 1991-05-31 1993-10-12 Stauffer Craig M Integrated delivery system for chemical vapor from non-gaseous sources for semiconductor processing
JP3002013B2 (en) 1991-06-04 2000-01-24 松下技研株式会社 Method and apparatus for manufacturing thin film and multilayer film
US5249960A (en) 1991-06-14 1993-10-05 Tokyo Electron Sagami Kabushiki Kaisha Forced cooling apparatus for heat treatment apparatus
US6095083A (en) 1991-06-27 2000-08-01 Applied Materiels, Inc. Vacuum processing chamber having multi-mode access
JP3086719B2 (en) 1991-06-27 2000-09-11 株式会社東芝 Surface treatment method
DE4122452C2 (en) 1991-07-06 1993-10-28 Schott Glaswerke Method and device for igniting CVD plasmas
US5221369A (en) 1991-07-08 1993-06-22 Air Products And Chemicals, Inc. In-situ generation of heat treating atmospheres using non-cryogenically produced nitrogen
JPH0523079A (en) 1991-07-19 1993-02-02 Shimano Inc Fishing rod and production thereof
US5277932A (en) 1991-07-29 1994-01-11 Syracuse University CVD method for forming metal boride films using metal borane cluster compounds
JP2580928Y2 (en) 1991-08-22 1998-09-17 日本電気株式会社 Vapor phase growth equipment
US5137286A (en) 1991-08-23 1992-08-11 General Electric Company Permanent magnet floating shaft seal
CA2069132C (en) 1991-08-29 1996-01-09 Koji Fujii Light-beam heating apparatus
JP3040212B2 (en) 1991-09-05 2000-05-15 株式会社東芝 Vapor phase growth equipment
US5294778A (en) 1991-09-11 1994-03-15 Lam Research Corporation CVD platen heater system utilizing concentric electric heating elements
US5154301A (en) 1991-09-12 1992-10-13 Fluoroware, Inc. Wafer carrier
JPH05217921A (en) 1991-09-13 1993-08-27 Motorola Inc Temperature-controlled treatment for execution of epitaxial growth of material film
US5430011A (en) 1991-09-17 1995-07-04 Sumitomi Electric Industries, Ltd. Crystal compensated superconducting thin film formed of oxide superconductor material
FR2682047B1 (en) 1991-10-07 1993-11-12 Commissariat A Energie Atomique GAS PHASE CHEMICAL PROCESSING REACTOR.
JPH05118928A (en) 1991-10-25 1993-05-14 Tokyo Electron Ltd Contact type temperature measuring method
US5219226A (en) 1991-10-25 1993-06-15 Quadtek, Inc. Imaging and temperature monitoring system
US5387265A (en) 1991-10-29 1995-02-07 Kokusai Electric Co., Ltd. Semiconductor wafer reaction furnace with wafer transfer means
US5193912A (en) 1991-11-18 1993-03-16 Saunders Roger I Probe for sensing and measuring temperature
JP3140111B2 (en) 1991-11-19 2001-03-05 オリンパス光学工業株式会社 High magnification microscope objective
US5199603A (en) 1991-11-26 1993-04-06 Prescott Norman F Delivery system for organometallic compounds
US6400996B1 (en) 1999-02-01 2002-06-04 Steven M. Hoffberg Adaptive pattern recognition based control system and method
JPH05171446A (en) 1991-12-24 1993-07-09 Furukawa Electric Co Ltd:The Formation of thin film
DE69227575T2 (en) 1991-12-30 1999-06-02 Texas Instruments Inc Programmable multi-zone gas injector for a system for the treatment of individual semiconductor wafers
US5414221A (en) 1991-12-31 1995-05-09 Intel Corporation Embedded ground plane and shielding structures using sidewall insulators in high frequency circuits having vias
US5443686A (en) 1992-01-15 1995-08-22 International Business Machines Corporation Inc. Plasma CVD apparatus and processes
US6379466B1 (en) 1992-01-17 2002-04-30 Applied Materials, Inc. Temperature controlled gas distribution plate
US5215588A (en) 1992-01-17 1993-06-01 Amtech Systems, Inc. Photo-CVD system
US5480818A (en) 1992-02-10 1996-01-02 Fujitsu Limited Method for forming a film and method for manufacturing a thin film transistor
JP2506539B2 (en) 1992-02-27 1996-06-12 株式会社ジーティシー Method of forming insulating film
US5208961A (en) 1992-02-28 1993-05-11 National Semiconductor Corporation Semiconductor processing furnace door alignment apparatus and method
NL9200446A (en) 1992-03-10 1993-10-01 Tempress B V Apparatus for handling microcircuit disks (wafers).
US5226383A (en) 1992-03-12 1993-07-13 Bell Communications Research, Inc. Gas foil rotating substrate holder
JPH05267186A (en) 1992-03-18 1993-10-15 Fujitsu Ltd Vapor growth device and vapor growth method using its device
US5766360A (en) 1992-03-27 1998-06-16 Kabushiki Kaisha Toshiba Substrate processing apparatus and substrate processing method
JP3191392B2 (en) 1992-04-07 2001-07-23 神鋼電機株式会社 Sealed container for clean room
JPH05291142A (en) 1992-04-15 1993-11-05 Nec Corp Liquid source supplying equipment
US5268989A (en) 1992-04-16 1993-12-07 Texas Instruments Incorporated Multi zone illuminator with embeded process control sensors and light interference elimination circuit
US5226967A (en) 1992-05-14 1993-07-13 Lam Research Corporation Plasma apparatus including dielectric window for inducing a uniform electric field in a plasma chamber
US5455069A (en) 1992-06-01 1995-10-03 Motorola, Inc. Method of improving layer uniformity in a CVD reactor
ATE157159T1 (en) 1992-06-03 1997-09-15 Esec Sa DEVICE FOR THE HEAT TREATMENT OF A MAGAZINE FOR SYSTEM CARRIER WITH ELECTRONIC COMPONENTS
US5461214A (en) 1992-06-15 1995-10-24 Thermtec, Inc. High performance horizontal diffusion furnace system
JP3502096B2 (en) 1992-06-22 2004-03-02 ラム リサーチ コーポレイション Plasma cleaning method for removing residue in plasma processing apparatus
US5534072A (en) 1992-06-24 1996-07-09 Anelva Corporation Integrated module multi-chamber CVD processing system and its method for processing subtrates
JP2964779B2 (en) 1992-06-29 1999-10-18 松下電器産業株式会社 Press mold for optical element
JP3148004B2 (en) 1992-07-06 2001-03-19 株式会社東芝 Optical CVD apparatus and method for manufacturing semiconductor device using the same
US5601641A (en) 1992-07-21 1997-02-11 Tse Industries, Inc. Mold release composition with polybutadiene and method of coating a mold core
US5306666A (en) 1992-07-24 1994-04-26 Nippon Steel Corporation Process for forming a thin metal film by chemical vapor deposition
JPH0653210A (en) 1992-07-28 1994-02-25 Nec Corp Semiconductor device
KR100304127B1 (en) 1992-07-29 2001-11-30 이노마다 시게오 Electronic-substrate treatment system using portable sealed container and apparatus thereof
JP3334911B2 (en) 1992-07-31 2002-10-15 キヤノン株式会社 Pattern formation method
EP0582019B1 (en) 1992-08-04 1995-10-18 International Business Machines Corporation Fully automated and computerized conveyor based manufacturing line architectures adapted to pressurized sealable transportable containers
US5271967A (en) 1992-08-21 1993-12-21 General Motors Corporation Method and apparatus for application of thermal spray coatings to engine blocks
USD363464S (en) 1992-08-27 1995-10-24 Tokyo Electron Yamanashi Limited Electrode for a semiconductor processing apparatus
US5338362A (en) 1992-08-29 1994-08-16 Tokyo Electron Limited Apparatus for processing semiconductor wafer comprising continuously rotating wafer table and plural chamber compartments
JP3183575B2 (en) 1992-09-03 2001-07-09 東京エレクトロン株式会社 Processing device and processing method
US5326427A (en) 1992-09-11 1994-07-05 Lsi Logic Corporation Method of selectively etching titanium-containing materials on a semiconductor wafer using remote plasma generation
US5246218A (en) 1992-09-25 1993-09-21 Intel Corporation Apparatus for securing an automatically loaded wafer cassette on a wafer processing equipment
US5280894A (en) 1992-09-30 1994-01-25 Honeywell Inc. Fixture for backside wafer etching
US6438502B1 (en) 1992-10-07 2002-08-20 Dallas Semiconductor Corporation Environmental condition sensor device and method
USD354898S (en) 1992-10-13 1995-01-31 Verdel Innovations Egg holder for use with a stand for decorating eggs
JP2906873B2 (en) 1992-10-26 1999-06-21 日本電気株式会社 Manufacturing method of gold wiring
JP3179212B2 (en) 1992-10-27 2001-06-25 日本電気株式会社 Method for manufacturing semiconductor device
JP3190745B2 (en) 1992-10-27 2001-07-23 株式会社東芝 Vapor growth method
DE4236324C1 (en) 1992-10-28 1993-09-02 Schott Glaswerke, 55122 Mainz, De
JP3093487B2 (en) 1992-10-28 2000-10-03 松下電子工業株式会社 Semiconductor device and manufacturing method thereof
US6235858B1 (en) 1992-10-30 2001-05-22 Ppg Industries Ohio, Inc. Aminoplast curable film-forming compositions providing films having resistance to acid etching
JPH06295862A (en) 1992-11-20 1994-10-21 Mitsubishi Electric Corp Compound semiconductor fabrication system and organic metal material vessel
JPH086181B2 (en) 1992-11-30 1996-01-24 日本電気株式会社 Chemical vapor deposition method and chemical vapor deposition apparatus
IT1257434B (en) 1992-12-04 1996-01-17 Cselt Centro Studi Lab Telecom STEAM GENERATOR FOR VAPOR PHASE CHEMICAL LAYING PLANTS
KR100238629B1 (en) 1992-12-17 2000-01-15 히가시 데쓰로 Stage having eletrostatic chuck and plasma processing apparatus using same
US5295777A (en) 1992-12-23 1994-03-22 Materials Research Corporation Wafer transport module with rotatable and horizontally extendable wafer holder
DE4244189C2 (en) 1992-12-24 1995-06-01 Busch Dieter & Co Prueftech Contact temperature sensor
US5453124A (en) 1992-12-30 1995-09-26 Texas Instruments Incorporated Programmable multizone gas injector for single-wafer semiconductor processing equipment
CA2114294A1 (en) 1993-01-05 1995-07-27 Thomas Earle Allen Apparatus and method for continuously mixing fluids
US5478429A (en) 1993-01-20 1995-12-26 Tokyo Electron Limited Plasma process apparatus
US5444217A (en) 1993-01-21 1995-08-22 Moore Epitaxial Inc. Rapid thermal processing apparatus for processing semiconductor wafers
US5820686A (en) 1993-01-21 1998-10-13 Moore Epitaxial, Inc. Multi-layer susceptor for rapid thermal process reactors
KR100251873B1 (en) 1993-01-21 2000-04-15 마쓰바 구니유키 Vertical type heat treating apparatus
US5709745A (en) 1993-01-25 1998-01-20 Ohio Aerospace Institute Compound semi-conductors and controlled doping thereof
JP3245246B2 (en) 1993-01-27 2002-01-07 東京エレクトロン株式会社 Heat treatment equipment
JP2683208B2 (en) 1993-01-28 1997-11-26 アプライド マテリアルズ インコーポレイテッド Workpiece alignment method and apparatus for loading and unloading using robot mechanism
JP3258748B2 (en) 1993-02-08 2002-02-18 東京エレクトロン株式会社 Heat treatment equipment
JPH06319177A (en) 1993-02-24 1994-11-15 Hewlett Packard Co <Hp> Adaptive remote control system
US5421893A (en) 1993-02-26 1995-06-06 Applied Materials, Inc. Susceptor drive and wafer displacement mechanism
JP3348936B2 (en) 1993-10-21 2002-11-20 東京エレクトロン株式会社 Vertical heat treatment equipment
KR100261532B1 (en) 1993-03-14 2000-07-15 야마시타 히데나리 Multi-chamber system provided with carrier units
JP3265042B2 (en) 1993-03-18 2002-03-11 東京エレクトロン株式会社 Film formation method
JP2948437B2 (en) 1993-03-18 1999-09-13 富士通株式会社 How to create data for logic simulation
US5305417A (en) 1993-03-26 1994-04-19 Texas Instruments Incorporated Apparatus and method for determining wafer temperature using pyrometry
DE4311197A1 (en) 1993-04-05 1994-10-06 Patent Treuhand Ges Fuer Elektrische Gluehlampen Mbh Method for operating an incoherently radiating light source
US5346961A (en) 1993-04-07 1994-09-13 Union Carbide Chemicals & Plastics Technology Corporation Process for crosslinking
JP3190165B2 (en) 1993-04-13 2001-07-23 東京エレクトロン株式会社 Vertical heat treatment apparatus and heat treatment method
KR100221983B1 (en) 1993-04-13 1999-09-15 히가시 데쓰로 A treating apparatus for semiconductor process
ATE204491T1 (en) 1993-04-17 2001-09-15 Messer Griesheim Austria Ges M DEVICE FOR THE CONTROLLED ADDITION OF NO TO THE BREATHING AIR OF PATIENTS
JPH06310438A (en) 1993-04-22 1994-11-04 Mitsubishi Electric Corp Substrate holder and apparatus for vapor growth of compound semiconductor
US5404082A (en) 1993-04-23 1995-04-04 North American Philips Corporation High frequency inverter with power-line-controlled frequency modulation
USD353452S (en) 1993-04-27 1994-12-13 Groenhoff Larry C Window adapter for portable box fans
US5637153A (en) 1993-04-30 1997-06-10 Tokyo Electron Limited Method of cleaning reaction tube and exhaustion piping system in heat processing apparatus
WO1994027315A1 (en) 1993-05-13 1994-11-24 Interuniversitair Microelektronica Centrum Method for semiconductor processing using mixtures of hf and carboxylic acid
JPH06330323A (en) 1993-05-18 1994-11-29 Mitsubishi Electric Corp Production device for semiconductor device and cleaning method therefor
JPH0711446A (en) 1993-05-27 1995-01-13 Applied Materials Inc Suscepter device for vapor growth
JP2508581B2 (en) 1993-05-28 1996-06-19 日本電気株式会社 Chemical vapor deposition
US5501740A (en) 1993-06-04 1996-03-26 Applied Science And Technology, Inc. Microwave plasma reactor
US5354580A (en) 1993-06-08 1994-10-11 Cvd Incorporated Triangular deposition chamber for a vapor deposition system
US5616264A (en) 1993-06-15 1997-04-01 Tokyo Electron Limited Method and apparatus for controlling temperature in rapid heat treatment system
JPH0799162A (en) 1993-06-21 1995-04-11 Hitachi Ltd Cvd reactor apparatus
EP0630749B1 (en) 1993-06-28 1998-12-23 Canon Kabushiki Kaisha Heat generating resistor containing TaN0.8, substrate provided with said heat generating resistor for liquid jet head, liquid jet head provided with said substrate, and liquid jet apparatus provided with said liquid jet head
US5997768A (en) 1993-06-29 1999-12-07 Ciba Specialty Chemicals Corporation Pelletization of metal soap powders
US5484484A (en) 1993-07-03 1996-01-16 Tokyo Electron Kabushiki Thermal processing method and apparatus therefor
US5972196A (en) 1995-06-07 1999-10-26 Lynntech, Inc. Electrochemical production of ozone and hydrogen peroxide
DE69404397T2 (en) 1993-07-13 1997-11-13 Applied Materials Inc Improved susceptor design
JPH0729836A (en) 1993-07-14 1995-01-31 Sony Corp Deposition of plasma silicon nitride
JP3667781B2 (en) 1993-07-16 2005-07-06 株式会社日立製作所 Engine system diagnostic device
US5312245A (en) 1993-07-16 1994-05-17 International Business Machines Corporation Particulate trap for vertical furnace
US5540821A (en) 1993-07-16 1996-07-30 Applied Materials, Inc. Method and apparatus for adjustment of spacing between wafer and PVD target during semiconductor processing
US5415753A (en) 1993-07-22 1995-05-16 Materials Research Corporation Stationary aperture plate for reactive sputter deposition
US5350480A (en) 1993-07-23 1994-09-27 Aspect International, Inc. Surface cleaning and conditioning using hot neutral gas beam array
FR2708624A1 (en) 1993-07-30 1995-02-10 Neuville Stephane Process for deposition of a protective coating based on amorphous diamond pseudocarbon or on modified silicon carbide
US5348774A (en) 1993-08-11 1994-09-20 Alliedsignal Inc. Method of rapidly densifying a porous structure
JPH0766267A (en) 1993-08-27 1995-03-10 Kokusai Electric Co Ltd Wafer cassette loading-unloading equipment
JP3418458B2 (en) 1993-08-31 2003-06-23 富士通株式会社 Method for manufacturing semiconductor device
JP3576188B2 (en) 1993-08-31 2004-10-13 株式会社半導体エネルギー研究所 Gas phase reaction apparatus and gas phase reaction method
US5418382A (en) 1993-09-23 1995-05-23 Fsi International, Inc. Substrate location and detection apparatus
US5417803A (en) 1993-09-29 1995-05-23 Intel Corporation Method for making Si/SiC composite material
US5556275A (en) 1993-09-30 1996-09-17 Tokyo Electron Limited Heat treatment apparatus
US5378501A (en) 1993-10-05 1995-01-03 Foster; Robert F. Method for chemical vapor deposition of titanium nitride films at low temperatures
JPH07109576A (en) 1993-10-07 1995-04-25 Shinko Seiki Co Ltd Formation of film by plasma cvd
US6122036A (en) 1993-10-21 2000-09-19 Nikon Corporation Projection exposure apparatus and method
US5650082A (en) 1993-10-29 1997-07-22 Applied Materials, Inc. Profiled substrate heating
JP2682403B2 (en) 1993-10-29 1997-11-26 日本電気株式会社 Method for manufacturing semiconductor device
EP0653501B1 (en) 1993-11-11 1998-02-04 Nissin Electric Company, Limited Plasma-CVD method and apparatus
US5413813A (en) 1993-11-23 1995-05-09 Enichem S.P.A. CVD of silicon-based ceramic materials on internal surface of a reactor
US5463176A (en) 1994-01-03 1995-10-31 Eckert; C. Edward Liquid waste oxygenation
JPH07209093A (en) 1994-01-20 1995-08-11 Tokyo Electron Ltd Thermometer
US5616947A (en) 1994-02-01 1997-04-01 Matsushita Electric Industrial Co., Ltd. Semiconductor device having an MIS structure
US5681779A (en) 1994-02-04 1997-10-28 Lsi Logic Corporation Method of doping metal layers for electromigration resistance
JPH07225214A (en) 1994-02-14 1995-08-22 Shimadzu Corp Nox measuring apparatus
JP2844304B2 (en) 1994-02-15 1999-01-06 日本原子力研究所 Plasma facing material
US5766365A (en) 1994-02-23 1998-06-16 Applied Materials, Inc. Removable ring for controlling edge deposition in substrate processing apparatus
US5888304A (en) 1996-04-02 1999-03-30 Applied Materials, Inc. Heater with shadow ring and purge above wafer surface
US5645646A (en) 1994-02-25 1997-07-08 Applied Materials, Inc. Susceptor for deposition apparatus
JP2959947B2 (en) 1994-02-28 1999-10-06 信越石英株式会社 Source gas supply method and apparatus
US5589002A (en) 1994-03-24 1996-12-31 Applied Materials, Inc. Gas distribution plate for semiconductor wafer processing apparatus with means for inhibiting arcing
US5900103A (en) 1994-04-20 1999-05-04 Tokyo Electron Limited Plasma treatment method and apparatus
JP3211548B2 (en) 1994-03-30 2001-09-25 ウシオ電機株式会社 Dielectric barrier discharge fluorescent lamp
JPH07283149A (en) 1994-04-04 1995-10-27 Nissin Electric Co Ltd Thin film vapor growth device
US5685914A (en) 1994-04-05 1997-11-11 Applied Materials, Inc. Focus ring for semiconductor wafer processing in a plasma reactor
DE69419496T2 (en) 1994-04-20 1999-10-28 St Microelectronics Srl Observation of the RF plasma-induced potential on a gate dielectric within a plasma etcher
JPH07297271A (en) 1994-04-22 1995-11-10 Shinko Electric Co Ltd Support mechanism for supporting wafer cassettes with different sizes arbitrarily
US6447232B1 (en) 1994-04-28 2002-09-10 Semitool, Inc. Semiconductor wafer processing apparatus having improved wafer input/output handling system
US5431734A (en) 1994-04-28 1995-07-11 International Business Machines Corporation Aluminum oxide low pressure chemical vapor deposition (LPCVD) system-fourier transform infrared (FTIR) source chemical control
RU95106478A (en) 1994-04-29 1997-01-20 Моторола Arrangement and method for degradation of chemical compounds
US5456207A (en) 1994-05-16 1995-10-10 The United States Of America As Represented By The Secretary Of The Navy Synthesis of triisopropylindium diisopropyltelluride adduct and use for semiconductor materials
US5775889A (en) 1994-05-17 1998-07-07 Tokyo Electron Limited Heat treatment process for preventing slips in semiconductor wafers
US5531835A (en) 1994-05-18 1996-07-02 Applied Materials, Inc. Patterned susceptor to reduce electrostatic force in a CVD chamber
JP3181171B2 (en) 1994-05-20 2001-07-03 シャープ株式会社 Vapor phase growth apparatus and vapor phase growth method
KR960002534A (en) 1994-06-07 1996-01-26 이노우에 아키라 Pressure reducing and atmospheric pressure treatment device
KR0144956B1 (en) 1994-06-10 1998-08-17 김광호 Forming method of wiring
GB9411911D0 (en) 1994-06-14 1994-08-03 Swan Thomas & Co Ltd Improvements in or relating to chemical vapour deposition
CN1052116C (en) 1994-06-15 2000-05-03 精工爱普生株式会社 Manufacture of thin film semiconductor device, thin film semiconductor device, liquid crystal display device and electronic device
US5518780A (en) 1994-06-16 1996-05-21 Ford Motor Company Method of making hard, transparent amorphous hydrogenated boron nitride films
US5423942A (en) 1994-06-20 1995-06-13 Texas Instruments Incorporated Method and apparatus for reducing etching erosion in a plasma containment tube
US5504042A (en) 1994-06-23 1996-04-02 Texas Instruments Incorporated Porous dielectric material with improved pore surface properties for electronics applications
US5510277A (en) 1994-06-29 1996-04-23 At&T Corp. Surface treatment for silicon substrates
JP2709568B2 (en) 1994-06-30 1998-02-04 日本プレシジョン・サーキッツ株式会社 Down flow type spin dryer
US5826129A (en) 1994-06-30 1998-10-20 Tokyo Electron Limited Substrate processing system
US6022414A (en) 1994-07-18 2000-02-08 Semiconductor Equipment Group, Llc Single body injector and method for delivering gases to a surface
US5838029A (en) 1994-08-22 1998-11-17 Rohm Co., Ltd. GaN-type light emitting device formed on a silicon substrate
US5730801A (en) 1994-08-23 1998-03-24 Applied Materials, Inc. Compartnetalized substrate processing chamber
JPH0878347A (en) 1994-09-06 1996-03-22 Komatsu Electron Metals Co Ltd Susceptor for epitaxial growth apparatus
US5669713A (en) 1994-09-27 1997-09-23 Rosemount Inc. Calibration of process control temperature transmitter
JPH0897167A (en) 1994-09-28 1996-04-12 Tokyo Electron Ltd Processing system and heat-treatment system
JP3632256B2 (en) 1994-09-30 2005-03-23 株式会社デンソー Manufacturing method of semiconductor device having silicon nitride film
US5514439A (en) 1994-10-14 1996-05-07 Sibley; Thomas Wafer support fixtures for rapid thermal processing
US5576629A (en) 1994-10-24 1996-11-19 Fourth State Technology, Inc. Plasma monitoring and control method and system
JP2845163B2 (en) 1994-10-27 1999-01-13 日本電気株式会社 Plasma processing method and apparatus
DE69511425T2 (en) 1994-11-08 2000-03-09 Vermeer Tech Inc PRODUCTION AID FOR ONLINE SERVICES WITH CHARGING CHARGES
US5562947A (en) 1994-11-09 1996-10-08 Sony Corporation Method and apparatus for isolating a susceptor heating element from a chemical vapor deposition environment
US6699530B2 (en) 1995-07-06 2004-03-02 Applied Materials, Inc. Method for constructing a film on a semiconductor wafer
US5811022A (en) 1994-11-15 1998-09-22 Mattson Technology, Inc. Inductive plasma reactor
US5583736A (en) 1994-11-17 1996-12-10 The United States Of America As Represented By The Department Of Energy Micromachined silicon electrostatic chuck
FI97730C (en) 1994-11-28 1997-02-10 Mikrokemia Oy Equipment for the production of thin films
FI100409B (en) 1994-11-28 1997-11-28 Asm Int Method and apparatus for making thin films
FI97731C (en) 1994-11-28 1997-02-10 Mikrokemia Oy Method and apparatus for making thin films
US5558717A (en) 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
JPH08181135A (en) 1994-12-22 1996-07-12 Sharp Corp Manufacture of semiconductor device
US5776254A (en) 1994-12-28 1998-07-07 Mitsubishi Denki Kabushiki Kaisha Apparatus for forming thin film by chemical vapor deposition
US5716133A (en) 1995-01-17 1998-02-10 Applied Komatsu Technology, Inc. Shielded heat sensor for measuring temperature
US5586585A (en) 1995-02-27 1996-12-24 Asyst Technologies, Inc. Direct loadlock interface
JP3151118B2 (en) 1995-03-01 2001-04-03 東京エレクトロン株式会社 Heat treatment equipment
AUPN164695A0 (en) 1995-03-10 1995-04-06 Luminis Pty Limited Improved induction nozzle and arrangement
US5662470A (en) 1995-03-31 1997-09-02 Asm International N.V. Vertical furnace
US5518549A (en) 1995-04-18 1996-05-21 Memc Electronic Materials, Inc. Susceptor and baffle therefor
JP3360098B2 (en) 1995-04-20 2002-12-24 東京エレクトロン株式会社 Shower head structure of processing equipment
US5852879A (en) 1995-04-26 1998-12-29 Schumaier; Daniel R. Moisture sensitive item drying appliance
SE506163C2 (en) 1995-04-27 1997-11-17 Ericsson Telefon Ab L M Device at a silicon substrate having a recess for receiving an element and method for making such a device
US6088216A (en) 1995-04-28 2000-07-11 International Business Machines Corporation Lead silicate based capacitor structures
US5661263A (en) 1995-05-10 1997-08-26 Phaeton, Llc Surface raceway and method
JP3028462B2 (en) 1995-05-12 2000-04-04 東京エレクトロン株式会社 Heat treatment equipment
US5985032A (en) 1995-05-17 1999-11-16 Matsushita Electric Industrial Co., Ltd. Semiconductor manufacturing apparatus
US5761328A (en) 1995-05-22 1998-06-02 Solberg Creations, Inc. Computer automated system and method for converting source-documents bearing alphanumeric text relating to survey measurements
US5540898A (en) 1995-05-26 1996-07-30 Vasogen Inc. Ozone generator with in-line ozone sensor
US5698036A (en) 1995-05-26 1997-12-16 Tokyo Electron Limited Plasma processing apparatus
US5708825A (en) 1995-05-26 1998-01-13 Iconovex Corporation Automatic summary page creation and hyperlink generation
US5663899A (en) 1995-06-05 1997-09-02 Advanced Micro Devices Redundant thermocouple
US5683517A (en) 1995-06-07 1997-11-04 Applied Materials, Inc. Plasma reactor with programmable reactant gas distribution
US6190634B1 (en) 1995-06-07 2001-02-20 President And Fellows Of Harvard College Carbide nanomaterials
US5982931A (en) 1995-06-07 1999-11-09 Ishimaru; Mikio Apparatus and method for the manipulation of image containing documents
JPH08335558A (en) 1995-06-08 1996-12-17 Nissin Electric Co Ltd Thin film vapor phase deposition apparatus
JP3380091B2 (en) 1995-06-09 2003-02-24 株式会社荏原製作所 Reactive gas injection head and thin film vapor phase growth apparatus
JP3700733B2 (en) 1995-06-12 2005-09-28 富士ゼロックス株式会社 Document management apparatus and document management method
US5685912A (en) 1995-06-20 1997-11-11 Sony Corporation Pressure control system for semiconductor manufacturing equipment
USD392855S (en) 1995-06-26 1998-03-31 Pillow Daryl R Floor protection template for use while spray-painting door frames
US20020114886A1 (en) 1995-07-06 2002-08-22 Applied Materials, Inc. Method of tisin deposition using a chemical vapor deposition process
TW294820B (en) 1995-07-10 1997-01-01 Watkins Johnson Co Gas distribution apparatus
TW283250B (en) 1995-07-10 1996-08-11 Watkins Johnson Co Plasma enhanced chemical processing reactor and method
US5670786A (en) 1995-07-18 1997-09-23 Uvp, Inc. Multiple wavelength light source
JPH0936198A (en) 1995-07-19 1997-02-07 Hitachi Ltd Vacuum processor and semiconductor production line using the processor
US6093252A (en) 1995-08-03 2000-07-25 Asm America, Inc. Process chamber with inner support
DE19528746C1 (en) 1995-08-04 1996-10-31 Siemens Ag Lateral silicon di:oxide spacer prodn. in semiconductor structure
NO953217L (en) 1995-08-16 1997-02-17 Aker Eng As Method and arrangement of pipe bundles
JPH0964149A (en) 1995-08-29 1997-03-07 Hitachi Electron Eng Co Ltd Semiconductor production device
US6113702A (en) 1995-09-01 2000-09-05 Asm America, Inc. Wafer support system
AU6962196A (en) 1995-09-01 1997-03-27 Advanced Semiconductor Materials America, Inc. Wafer support system
JP3504784B2 (en) 1995-09-07 2004-03-08 東京エレクトロン株式会社 Heat treatment method
TW371796B (en) 1995-09-08 1999-10-11 Semiconductor Energy Lab Co Ltd Method and apparatus for manufacturing a semiconductor device
US5791782A (en) 1995-09-21 1998-08-11 Fusion Systems Corporation Contact temperature probe with unrestrained orientation
JPH0989676A (en) 1995-09-21 1997-04-04 Casio Comput Co Ltd Electronic clinical thermometer
DE19535178C2 (en) 1995-09-22 2001-07-19 Jenoptik Jena Gmbh Device for locking and unlocking a door of a container
US5611448A (en) 1995-09-25 1997-03-18 United Microelectronics Corporation Wafer container
US5997588A (en) 1995-10-13 1999-12-07 Advanced Semiconductor Materials America, Inc. Semiconductor processing system with gas curtain
DE29517100U1 (en) 1995-10-17 1997-02-13 Zimmer, Johannes, Klagenfurt Flow dividing and reshaping bodies
TW356554B (en) 1995-10-23 1999-04-21 Watkins Johnson Co Gas injection system for semiconductor processing
US5801104A (en) 1995-10-24 1998-09-01 Micron Technology, Inc. Uniform dielectric film deposition on textured surfaces
US6299404B1 (en) 1995-10-27 2001-10-09 Brooks Automation Inc. Substrate transport apparatus with double substrate holders
KR100201386B1 (en) 1995-10-28 1999-06-15 구본준 Reaction gas injecting apparatus of chemical vapor deposition apparatus
IL115931A0 (en) 1995-11-09 1996-01-31 Oramir Semiconductor Ltd Laser stripping improvement by modified gas composition
JP3796782B2 (en) 1995-11-13 2006-07-12 アシスト シンコー株式会社 Mechanical interface device
US5736314A (en) 1995-11-16 1998-04-07 Microfab Technologies, Inc. Inline thermo-cycler
JPH09148322A (en) 1995-11-22 1997-06-06 Sharp Corp Method for forming silicon oxide film and plasma cvd film forming apparatus
US5796074A (en) 1995-11-28 1998-08-18 Applied Materials, Inc. Wafer heater assembly
US5768125A (en) 1995-12-08 1998-06-16 Asm International N.V. Apparatus for transferring a substantially circular article
US5584936A (en) 1995-12-14 1996-12-17 Cvd, Incorporated Susceptor for semiconductor wafer processing
JPH09172055A (en) 1995-12-19 1997-06-30 Fujitsu Ltd Electrostatic chuck and method for attracting wafer
US5954375A (en) 1995-12-21 1999-09-21 Edstrom Industries, Inc. Sanitary fitting having ferrule with grooved undercut
US5697706A (en) 1995-12-26 1997-12-16 Chrysler Corporation Multi-point temperature probe
KR100267418B1 (en) 1995-12-28 2000-10-16 엔도 마코토 Plasma treatment and plasma treating device
US5679215A (en) 1996-01-02 1997-10-21 Lam Research Corporation Method of in situ cleaning a vacuum plasma processing chamber
US5650351A (en) 1996-01-11 1997-07-22 Vanguard International Semiconductor Company Method to form a capacitor having multiple pillars for advanced DRAMS
JPH09205130A (en) 1996-01-17 1997-08-05 Applied Materials Inc Wafer supporting device
US6017818A (en) 1996-01-22 2000-01-25 Texas Instruments Incorporated Process for fabricating conformal Ti-Si-N and Ti-B-N based barrier films with low defect density
US5754390A (en) 1996-01-23 1998-05-19 Micron Technology, Inc. Integrated capacitor bottom electrode for use with conformal dielectric
US5632919A (en) 1996-01-25 1997-05-27 T.G.M., Inc. Temperature controlled insulation system
JPH09213772A (en) 1996-01-30 1997-08-15 Dainippon Screen Mfg Co Ltd Board holder
WO1997028669A1 (en) 1996-01-31 1997-08-07 Asm America, Inc. Model-based predictive control of thermal processing
US5554557A (en) 1996-02-02 1996-09-10 Vanguard International Semiconductor Corp. Method for fabricating a stacked capacitor with a self aligned node contact in a memory cell
US6054013A (en) 1996-02-02 2000-04-25 Applied Materials, Inc. Parallel plate electrode plasma reactor having an inductive antenna and adjustable radial distribution of plasma ion density
US5732957A (en) 1996-02-09 1998-03-31 Yu; Chung-Hsiung Roller skate with auxiliary roller for assisting turning and braking action thereof
JP3769802B2 (en) 1996-02-09 2006-04-26 株式会社日立製作所 Manufacturing method of semiconductor device
US6030902A (en) 1996-02-16 2000-02-29 Micron Technology Inc Apparatus and method for improving uniformity in batch processing of semiconductor wafers
SE9600705D0 (en) 1996-02-26 1996-02-26 Abb Research Ltd A susceptor for a device for epitaxially growing objects and such a device
US5837320A (en) 1996-02-27 1998-11-17 The University Of New Mexico Chemical vapor deposition of metal sulfide films from metal thiocarboxylate complexes with monodenate or multidentate ligands
US5656093A (en) 1996-03-08 1997-08-12 Applied Materials, Inc. Wafer spacing mask for a substrate support chuck and method of fabricating same
US5732744A (en) 1996-03-08 1998-03-31 Control Systems, Inc. Method and apparatus for aligning and supporting semiconductor process gas delivery and regulation components
DE19609678C2 (en) 1996-03-12 2003-04-17 Infineon Technologies Ag Memory cell arrangement with stripe-shaped, parallel trenches and vertical MOS transistors and method for their production
USD411516S (en) 1996-03-15 1999-06-29 Tokyo Electron Limited Gas diffusion plate for electrode of semiconductor wafer processing apparatus
USD380527S (en) 1996-03-19 1997-07-01 Cherle Velez Sink drain shield
US5732597A (en) 1996-03-19 1998-03-31 Hughes Electronics Pre-loaded self-aligning roller nut assembly for standard micrometer spindle and the like
US5653807A (en) 1996-03-28 1997-08-05 The United States Of America As Represented By The Secretary Of The Air Force Low temperature vapor phase epitaxial system for depositing thin layers of silicon-germanium alloy
US6106678A (en) 1996-03-29 2000-08-22 Lam Research Corporation Method of high density plasma CVD gap-filling
US5851293A (en) 1996-03-29 1998-12-22 Atmi Ecosys Corporation Flow-stabilized wet scrubber system for treatment of process gases from semiconductor manufacturing operations
US5667592A (en) 1996-04-16 1997-09-16 Gasonics International Process chamber sleeve with ring seals for isolating individual process modules in a common cluster
KR100212132B1 (en) 1996-04-24 1999-08-02 윤종용 Profile thermocouple of horizontal furnace
US5819434A (en) 1996-04-25 1998-10-13 Applied Materials, Inc. Etch enhancement using an improved gas distribution plate
US6440221B2 (en) 1996-05-13 2002-08-27 Applied Materials, Inc. Process chamber having improved temperature control
USD386076S (en) 1996-05-14 1997-11-11 Camco Manufacturing, Inc. Awning clamp
US5844683A (en) 1996-05-22 1998-12-01 Applied Materials, Inc. Position sensor system for substrate holders
US5920798A (en) 1996-05-28 1999-07-06 Matsushita Battery Industrial Co., Ltd. Method of preparing a semiconductor layer for an optical transforming device
US6001183A (en) 1996-06-10 1999-12-14 Emcore Corporation Wafer carriers for epitaxial growth processes
US6534133B1 (en) 1996-06-14 2003-03-18 Research Foundation Of State University Of New York Methodology for in-situ doping of aluminum coatings
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5779203A (en) 1996-06-28 1998-07-14 Edlinger; Erich Adjustable wafer cassette stand
US5801945A (en) 1996-06-28 1998-09-01 Lam Research Corporation Scheduling method for robotic manufacturing processes
US5950327A (en) 1996-07-08 1999-09-14 Speedfam-Ipec Corporation Methods and apparatus for cleaning and drying wafers
US6183565B1 (en) 1997-07-08 2001-02-06 Asm International N.V Method and apparatus for supporting a semiconductor wafer during processing
US5820366A (en) 1996-07-10 1998-10-13 Eaton Corporation Dual vertical thermal processing furnace
US5937142A (en) 1996-07-11 1999-08-10 Cvc Products, Inc. Multi-zone illuminator for rapid thermal processing
US5846332A (en) 1996-07-12 1998-12-08 Applied Materials, Inc. Thermally floating pedestal collar in a chemical vapor deposition chamber
EP0818671A3 (en) 1996-07-12 1998-07-08 Isuzu Ceramics Research Institute Co., Ltd. A ceramic sheath type thermocouple
US5837058A (en) 1996-07-12 1998-11-17 Applied Materials, Inc. High temperature susceptor
US5993916A (en) 1996-07-12 1999-11-30 Applied Materials, Inc. Method for substrate processing with improved throughput and yield
US5915562A (en) 1996-07-12 1999-06-29 Fluoroware, Inc. Transport module with latching door
US5700729A (en) 1996-07-15 1997-12-23 Taiwan Semiconductor Manufacturing Company, Ltd. Masked-gate MOS S/D implantation
US5827757A (en) 1996-07-16 1998-10-27 Direct Radiography Corp. Fabrication of large area x-ray image capturing element
EP0821395A3 (en) 1996-07-19 1998-03-25 Tokyo Electron Limited Plasma processing apparatus
JP3122617B2 (en) 1996-07-19 2001-01-09 東京エレクトロン株式会社 Plasma processing equipment
US5724748A (en) 1996-07-24 1998-03-10 Brooks; Ray G. Apparatus for packaging contaminant-sensitive articles and resulting package
US5781693A (en) 1996-07-24 1998-07-14 Applied Materials, Inc. Gas introduction showerhead for an RTP chamber with upper and lower transparent plates and gas flow therebetween
US5879128A (en) 1996-07-24 1999-03-09 Applied Materials, Inc. Lift pin and support pin apparatus for a processing chamber
US5987480A (en) 1996-07-25 1999-11-16 Donohue; Michael Method and system for delivering documents customized for a particular user over the internet using imbedded dynamic content
JPH1050635A (en) 1996-07-29 1998-02-20 Kokusai Electric Co Ltd Generation of metal thin film and cvd device
JPH1050800A (en) 1996-08-05 1998-02-20 Canon Sales Co Inc Processing system
US5891251A (en) 1996-08-07 1999-04-06 Macleish; Joseph H. CVD reactor having heated process chamber within isolation chamber
KR0183912B1 (en) 1996-08-08 1999-05-01 김광호 Pumping facility connected to the multi-reaction chamber and method thereof
US5928426A (en) 1996-08-08 1999-07-27 Novellus Systems, Inc. Method and apparatus for treating exhaust gases from CVD, PECVD or plasma etch reactors
US5916365A (en) 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
JP3122618B2 (en) 1996-08-23 2001-01-09 東京エレクトロン株式会社 Plasma processing equipment
TW344847B (en) 1996-08-29 1998-11-11 Tokyo Electron Co Ltd Substrate treatment system, substrate transfer system, and substrate transfer method
US5806980A (en) 1996-09-11 1998-09-15 Novellus Systems, Inc. Methods and apparatus for measuring temperatures at high potential
US5857777A (en) 1996-09-25 1999-01-12 Claud S. Gordon Company Smart temperature sensing device
US5880980A (en) 1996-09-30 1999-03-09 Rockwell International Corporation Distributed decimation sample rate conversion
US6048154A (en) 1996-10-02 2000-04-11 Applied Materials, Inc. High vacuum dual stage load lock and method for loading and unloading wafers using a high vacuum dual stage load lock
USD403949S (en) 1996-10-03 1999-01-12 Shinagawa Shoko Co., Ltd. Insulating bushing
KR19980026850A (en) 1996-10-11 1998-07-15 김광호 Rapid heat treatment equipment with the function of inspecting warpage of wafer
KR100492258B1 (en) 1996-10-11 2005-09-02 가부시키가이샤 에바라 세이사꾸쇼 Reaction gas ejection head
US6071572A (en) 1996-10-15 2000-06-06 Applied Materials, Inc. Forming tin thin films using remote activated specie generation
US5818716A (en) 1996-10-18 1998-10-06 Taiwan Semiconductor Manufacturing Company Ltd. Dynamic lot dispatching required turn rate factory control system and method of operation thereof
US5928389A (en) 1996-10-21 1999-07-27 Applied Materials, Inc. Method and apparatus for priority based scheduling of wafer processing within a multiple chamber semiconductor wafer processing tool
JP2983476B2 (en) 1996-10-30 1999-11-29 キヤノン販売株式会社 Film forming method and method for manufacturing semiconductor device
US6073973A (en) 1996-10-31 2000-06-13 Stanley Aviation Corporation Lightweight positive lock coupling
US6347636B1 (en) 1996-11-13 2002-02-19 Applied Materials, Inc. Methods and apparatus for gettering fluorine from chamber material surfaces
US6444037B1 (en) 1996-11-13 2002-09-03 Applied Materials, Inc. Chamber liner for high temperature processing chamber
US6152070A (en) 1996-11-18 2000-11-28 Applied Materials, Inc. Tandem process chamber
US5855681A (en) 1996-11-18 1999-01-05 Applied Materials, Inc. Ultra high throughput wafer vacuum processing system
US6126744A (en) 1996-11-18 2000-10-03 Asm America, Inc. Method and system for adjusting semiconductor processing equipment
DE19648744A1 (en) 1996-11-25 1998-05-28 Basf Ag Process for the preparation of a polymer dispersion by radical aqueous emulsion polymerization with a continuously produced aqueous monomer emulsion
JP3740587B2 (en) 1996-11-25 2006-02-01 山里産業株式会社 thermocouple
JPH10154712A (en) 1996-11-25 1998-06-09 Fujitsu Ltd Manufacturing method of semiconductor device
JP3901265B2 (en) 1996-11-26 2007-04-04 大陽日酸株式会社 Method and apparatus for transporting thin plate substrate
CN1186873A (en) 1996-11-26 1998-07-08 西门子公司 Distribution plate for reaction chamber with multiple gas inlets and separate mass flow control loops
US5836483A (en) 1997-02-05 1998-11-17 Aerotech Dental Systems, Inc. Self-regulating fluid dispensing cap with safety pressure relief valve for dental/medical unit fluid bottles
JPH1160735A (en) 1996-12-09 1999-03-05 Toshiba Corp Polysilane and formation of pattern
US5753835A (en) 1996-12-12 1998-05-19 Caterpillar Inc. Receptacle for holding a sensing device
US6367410B1 (en) 1996-12-16 2002-04-09 Applied Materials, Inc. Closed-loop dome thermal control apparatus for a semiconductor wafer processing system
US5953635A (en) 1996-12-19 1999-09-14 Intel Corporation Interlayer dielectric with a composite dielectric stack
US6066204A (en) 1997-01-08 2000-05-23 Bandwidth Semiconductor, Llc High pressure MOCVD reactor system
US6189482B1 (en) 1997-02-12 2001-02-20 Applied Materials, Inc. High temperature, high flow rate chemical vapor deposition apparatus and related methods
NL1005102C2 (en) 1997-01-27 1998-07-29 Advanced Semiconductor Mat Device for treating semiconductor wafers.
US5984391A (en) 1997-02-03 1999-11-16 Novellus Systems, Inc. Microfeature wafer handling apparatus and methods
JP3336897B2 (en) 1997-02-07 2002-10-21 三菱住友シリコン株式会社 Susceptor for vapor phase epitaxy
US5893741A (en) 1997-02-07 1999-04-13 National Science Council Method for simultaneously forming local interconnect with silicided elevated source/drain MOSFET's
US20020174106A1 (en) 1997-02-10 2002-11-21 Actioneer, Inc. Method and apparatus for receiving information in response to a request
US6035101A (en) 1997-02-12 2000-03-07 Applied Materials, Inc. High temperature multi-layered alloy heater assembly and related methods
JP3492135B2 (en) 1997-02-13 2004-02-03 三菱重工業株式会社 Heat flux meter
US6127249A (en) 1997-02-20 2000-10-03 Micron Technology, Inc. Metal silicidation methods and methods for using same
US6447937B1 (en) 1997-02-26 2002-09-10 Kyocera Corporation Ceramic materials resistant to halogen plasma and components using the same
US6461982B2 (en) 1997-02-27 2002-10-08 Micron Technology, Inc. Methods for forming a dielectric film
JPH10239165A (en) 1997-02-27 1998-09-11 Sony Corp Method and apparatus for measuring temperature of substrate, and heating method for substrate
NL1005410C2 (en) 1997-02-28 1998-08-31 Advanced Semiconductor Mat System for loading, handling and unloading substrates mounted on a support.
DE69816277T2 (en) 1997-02-28 2004-06-03 Extraction Systems, Inc., Franklin SYSTEM FOR THE DETECTION OF AMINES AND OTHER BASIC MOLECULAR SUB-CLEANING IN A GAS
US6096267A (en) 1997-02-28 2000-08-01 Extraction Systems, Inc. System for detecting base contaminants in air
US6174377B1 (en) 1997-03-03 2001-01-16 Genus, Inc. Processing chamber for atomic layer deposition processes
US5879459A (en) 1997-08-29 1999-03-09 Genus, Inc. Vertically-stacked process reactor and cluster tool system for atomic layer deposition
US5947718A (en) 1997-03-07 1999-09-07 Semitool, Inc. Semiconductor processing furnace
US6213708B1 (en) 1997-03-12 2001-04-10 Advanced Micro Devices, Inc. System for sorting multiple semiconductor wafers
NL1005541C2 (en) 1997-03-14 1998-09-18 Advanced Semiconductor Mat Method for cooling an oven as well as an oven provided with a cooling device.
JP3124506B2 (en) 1997-03-14 2001-01-15 白光株式会社 Heater / sensor complex
US6214122B1 (en) 1997-03-17 2001-04-10 Motorola, Inc. Rapid thermal processing susceptor
US5866795A (en) 1997-03-17 1999-02-02 Applied Materials, Inc. Liquid flow rate estimation and verification by direct liquid measurement
US6287988B1 (en) 1997-03-18 2001-09-11 Kabushiki Kaisha Toshiba Semiconductor device manufacturing method, semiconductor device manufacturing apparatus and semiconductor device
JPH10261620A (en) 1997-03-19 1998-09-29 Hitachi Ltd Surface treater
US6217662B1 (en) 1997-03-24 2001-04-17 Cree, Inc. Susceptor designs for silicon carbide thin films
NL1005625C2 (en) 1997-03-25 1998-10-01 Asm Int System for transferring wafers from cassettes to ovens and method.
US6387827B1 (en) 1997-03-28 2002-05-14 Imec (Vzw) Method for growing thin silicon oxides on a silicon substrate using chlorine precursors
US5872065A (en) 1997-04-02 1999-02-16 Applied Materials Inc. Method for depositing low K SI-O-F films using SIF4 /oxygen chemistry
US6891138B2 (en) 1997-04-04 2005-05-10 Robert C. Dalton Electromagnetic susceptors with coatings for artificial dielectric systems and devices
NL1005802C2 (en) 1997-04-11 1998-10-14 Asm Int Drain system for a reactor and process system provided with such a drain system.
US6090442A (en) 1997-04-14 2000-07-18 University Technology Corporation Method of growing films on substrates at room temperatures using catalyzed binary reaction sequence chemistry
US5865205A (en) 1997-04-17 1999-02-02 Applied Materials, Inc. Dynamic gas flow controller
JP3752578B2 (en) 1997-04-21 2006-03-08 株式会社フジキン Heating device for fluid controller
US6029602A (en) 1997-04-22 2000-02-29 Applied Materials, Inc. Apparatus and method for efficient and compact remote microwave plasma generation
US6026762A (en) 1997-04-23 2000-02-22 Applied Materials, Inc. Apparatus for improved remote microwave plasma source for use with substrate processing systems
JP3967424B2 (en) 1997-04-30 2007-08-29 東京エレクトロン株式会社 Vacuum processing apparatus and pressure adjustment method
US6190113B1 (en) 1997-04-30 2001-02-20 Applied Materials, Inc. Quartz pin lift for single wafer chemical vapor deposition/etch process chamber
NL1005963C2 (en) 1997-05-02 1998-11-09 Asm Int Vertical oven for treating semiconductor substrates.
US6053983A (en) 1997-05-08 2000-04-25 Tokyo Electron, Ltd. Wafer for carrying semiconductor wafers and method detecting wafers on carrier
US5904170A (en) 1997-05-14 1999-05-18 Applied Materials, Inc. Pressure flow and concentration control of oxygen/ozone gas mixtures
JP3230051B2 (en) 1997-05-16 2001-11-19 東京エレクトロン株式会社 Drying method and apparatus
US6390754B2 (en) 1997-05-21 2002-05-21 Tokyo Electron Limited Wafer processing apparatus, method of operating the same and wafer detecting system
JPH1144799A (en) 1997-05-27 1999-02-16 Ushio Inc Optical path split type ultraviolet irradiation device
US5937323A (en) 1997-06-03 1999-08-10 Applied Materials, Inc. Sequencing of the recipe steps for the optimal low-k HDP-CVD processing
US6201999B1 (en) 1997-06-09 2001-03-13 Applied Materials, Inc. Method and apparatus for automatically generating schedules for wafer processing within a multichamber semiconductor wafer processing tool
US6104401A (en) 1997-06-12 2000-08-15 Netscape Communications Corporation Link filters
EP0887632A1 (en) 1997-06-24 1998-12-30 Isuzu Ceramics Research Institute Co., Ltd. A ceramic thermocouple for measuring temperature of molten metal
US5968275A (en) 1997-06-25 1999-10-19 Lam Research Corporation Methods and apparatus for passivating a substrate in a plasma reactor
US5759281A (en) 1997-06-30 1998-06-02 Emcore Corporation CVD reactor for uniform heating with radiant heating filaments
JP3957818B2 (en) 1997-07-02 2007-08-15 富士通株式会社 Cartridge transfer robot for library equipment
NL1006461C2 (en) 1997-07-03 1999-01-05 Asm Int Storage assembly for wafers.
FI972874A0 (en) 1997-07-04 1997-07-04 Mikrokemia Oy Foerfarande och anordning Foer framstaellning av tunnfilmer
US6531193B2 (en) 1997-07-07 2003-03-11 The Penn State Research Foundation Low temperature, high quality silicon dioxide thin films deposited using tetramethylsilane (TMS) for stress control and coverage applications
US6576064B2 (en) 1997-07-10 2003-06-10 Sandia Corporation Support apparatus for semiconductor wafer processing
US6312525B1 (en) 1997-07-11 2001-11-06 Applied Materials, Inc. Modular architecture for semiconductor wafer fabrication equipment
US6024799A (en) 1997-07-11 2000-02-15 Applied Materials, Inc. Chemical vapor deposition manifold
US6083321A (en) 1997-07-11 2000-07-04 Applied Materials, Inc. Fluid delivery system and method
US5975492A (en) 1997-07-14 1999-11-02 Brenes; Arthur Bellows driver slot valve
JP3362113B2 (en) 1997-07-15 2003-01-07 日本碍子株式会社 Corrosion-resistant member, wafer mounting member, and method of manufacturing corrosion-resistant member
US6176929B1 (en) 1997-07-22 2001-01-23 Ebara Corporation Thin-film deposition apparatus
US6099596A (en) 1997-07-23 2000-08-08 Applied Materials, Inc. Wafer out-of-pocket detection tool
US6020243A (en) 1997-07-24 2000-02-01 Texas Instruments Incorporated Zirconium and/or hafnium silicon-oxynitride gate dielectric
US6287965B1 (en) 1997-07-28 2001-09-11 Samsung Electronics Co, Ltd. Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
KR100385946B1 (en) 1999-12-08 2003-06-02 삼성전자주식회사 Method for forming a metal layer by an atomic layer deposition and a semiconductor device with the metal layer as a barrier metal layer, an upper electrode, or a lower electrode of capacitor
US5827420A (en) 1997-07-29 1998-10-27 World Precision Instruments, Inc. Method and apparatus for the generation of nitric oxide
US6135460A (en) 1997-07-31 2000-10-24 Texas Instruments Incorporated Method of and apparatus for purifying reduced pressure process chambers
US5884640A (en) 1997-08-07 1999-03-23 Applied Materials, Inc. Method and apparatus for drying substrates
US6321680B2 (en) 1997-08-11 2001-11-27 Torrex Equipment Corporation Vertical plasma enhanced process apparatus and method
US20030049372A1 (en) 1997-08-11 2003-03-13 Cook Robert C. High rate deposition at low pressures in a small batch reactor
US7393561B2 (en) 1997-08-11 2008-07-01 Applied Materials, Inc. Method and apparatus for layer by layer deposition of thin films
JP3425592B2 (en) 1997-08-12 2003-07-14 東京エレクトロン株式会社 Processing equipment
JP3317209B2 (en) 1997-08-12 2002-08-26 東京エレクトロンエイ・ティー株式会社 Plasma processing apparatus and plasma processing method
US6121158A (en) 1997-08-13 2000-09-19 Sony Corporation Method for hardening a photoresist material formed on a substrate
US6530994B1 (en) 1997-08-15 2003-03-11 Micro C Technologies, Inc. Platform for supporting a semiconductor substrate and method of supporting a substrate during rapid high temperature processing
US6090212A (en) 1997-08-15 2000-07-18 Micro C Technologies, Inc. Substrate platform for a semiconductor substrate during rapid high temperature processing and method of supporting a substrate
USD404372S (en) 1997-08-20 1999-01-19 Tokyo Electron Limited Ring for use in a semiconductor wafer heat processing apparatus
US6242359B1 (en) 1997-08-20 2001-06-05 Air Liquide America Corporation Plasma cleaning and etching methods using non-global-warming compounds
USD404370S (en) 1997-08-20 1999-01-19 Tokyo Electron Limited Cap for use in a semiconductor wafer heat processing apparatus
KR100253664B1 (en) 1997-08-22 2000-04-15 이해광 Operating system of polyimide dryer
US6104011A (en) 1997-09-04 2000-08-15 Watlow Electric Manufacturing Company Sheathed thermocouple with internal coiled wires
AUPO904597A0 (en) 1997-09-08 1997-10-02 Canon Information Systems Research Australia Pty Ltd Method for non-linear document conversion and printing
US6027163A (en) 1997-09-10 2000-02-22 Graco Children's Products Inc. Juvenile carrier with moveable canopy
US6258170B1 (en) 1997-09-11 2001-07-10 Applied Materials, Inc. Vaporization and deposition apparatus
JP3581537B2 (en) 1997-09-24 2004-10-27 三菱重工業株式会社 High frequency heating coil installation gap holding device
US6348376B2 (en) 1997-09-29 2002-02-19 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact and capacitor of semiconductor device using the same
US6161500A (en) 1997-09-30 2000-12-19 Tokyo Electron Limited Apparatus and method for preventing the premature mixture of reactant gases in CVD and PECVD reactions
WO1999018496A1 (en) 1997-10-07 1999-04-15 Electronics Development Corporation Transducer assembly with smart connector
JPH11118615A (en) 1997-10-09 1999-04-30 Kakunenryo Cycle Kaihatsu Kiko Temperature sensor for object to be measured having stretchability
US6624064B1 (en) 1997-10-10 2003-09-23 Applied Materials, Inc. Chamber seasoning method to improve adhesion of F-containing dielectric film to metal for VLSI application
US5908672A (en) 1997-10-15 1999-06-01 Applied Materials, Inc. Method and apparatus for depositing a planarized passivation layer
US6325858B1 (en) 1997-11-03 2001-12-04 Asm America, Inc. Long life high temperature process chamber
KR100551980B1 (en) 1997-11-03 2006-02-20 에이에스엠 아메리카, 인코포레이티드 Method and Apparatus of Processing Wafers with Low Mass Support
JP2001522142A (en) 1997-11-03 2001-11-13 エーエスエム アメリカ インコーポレイテッド Improved low mass wafer support system
US6164894A (en) 1997-11-04 2000-12-26 Cheng; David Method and apparatus for integrated wafer handling and testing
JPH11140648A (en) 1997-11-07 1999-05-25 Tokyo Electron Ltd Process chamber device and treating device
US6136211A (en) 1997-11-12 2000-10-24 Applied Materials, Inc. Self-cleaning etch process
JP3050193B2 (en) 1997-11-12 2000-06-12 日本電気株式会社 Semiconductor device and manufacturing method thereof
GB9724168D0 (en) 1997-11-14 1998-01-14 Air Prod & Chem Gas control device and method of supplying gas
US6068441A (en) 1997-11-21 2000-05-30 Asm America, Inc. Substrate transfer system for semiconductor processing equipment
US6574644B2 (en) 1997-11-26 2003-06-03 Siemens Corporate Research, Inc Automatic capturing of hyperlink specifications for multimedia documents
EP2099061A3 (en) 1997-11-28 2013-06-12 Mattson Technology, Inc. Systems and methods for low contamination, high throughput handling of workpieces for vacuum processing
US6013920A (en) 1997-11-28 2000-01-11 Fortrend Engineering Coirporation Wafer-mapping load post interface having an effector position sensing device
US6079356A (en) 1997-12-02 2000-06-27 Applied Materials, Inc. Reactor optimized for chemical vapor deposition of titanium
US6432479B2 (en) 1997-12-02 2002-08-13 Applied Materials, Inc. Method for in-situ, post deposition surface passivation of a chemical vapor deposited film
US6106625A (en) 1997-12-02 2000-08-22 Applied Materials, Inc. Reactor useful for chemical vapor deposition of titanium nitride
KR100295043B1 (en) 1997-12-03 2001-10-19 윤종용 Method for forming a metal film of a semiconductor device using an insulating film having a low dielectric constant as an interdielectric layer
US6248168B1 (en) 1997-12-15 2001-06-19 Tokyo Electron Limited Spin coating apparatus including aging unit and solvent replacement unit
JPH11319545A (en) 1997-12-15 1999-11-24 Canon Inc Plasma treatment method and method treating substrate
JPH11183264A (en) 1997-12-16 1999-07-09 Tokyo Yogyo Co Ltd Temperature measuring instrument with thermocouple
JPH11183265A (en) 1997-12-16 1999-07-09 Tokyo Yogyo Co Ltd Temperature measuring instrument with thermocouple
JP3283459B2 (en) 1997-12-17 2002-05-20 日本エー・エス・エム株式会社 Substrate holding device for semiconductor processing
EP0926731A1 (en) 1997-12-18 1999-06-30 STMicroelectronics S.r.l. Process for the final passivation of intergrated circuits
US6093611A (en) 1997-12-19 2000-07-25 Advanced Micro Devices, Inc. Oxide liner for high reliability with reduced encroachment of the source/drain region
US5897379A (en) 1997-12-19 1999-04-27 Sharp Microelectronics Technology, Inc. Low temperature system and method for CVD copper removal
US6099649A (en) 1997-12-23 2000-08-08 Applied Materials, Inc. Chemical vapor deposition hot-trap for unreacted precursor conversion and effluent removal
KR100273261B1 (en) 1997-12-26 2000-12-15 김영환 Gas mixing apparatus of depositor
JPH11195688A (en) 1997-12-26 1999-07-21 Mc Electronics Kk Substrate treatment device
KR100249391B1 (en) 1997-12-30 2000-03-15 김영환 Heater block
EP0932194A1 (en) 1997-12-30 1999-07-28 International Business Machines Corporation Method and system for semiconductor wafer fabrication process real-time in-situ interactive supervision
USD409894S (en) 1997-12-30 1999-05-18 Mcclurg Ben B Sheet rock plug
KR100269328B1 (en) 1997-12-31 2000-10-16 윤종용 Method for forming conductive layer using atomic layer deposition process
JP3314151B2 (en) 1998-01-05 2002-08-12 株式会社日立国際電気 Plasma CVD apparatus and method for manufacturing semiconductor device
KR100275727B1 (en) 1998-01-06 2001-01-15 윤종용 Capacitor for semiconductor device & manufacturing method
EP1049641A4 (en) 1998-01-16 2004-10-13 Pri Automation Inc Semiconductor wafer cassette positioning and detection mechanism
JPH11274067A (en) 1998-01-21 1999-10-08 Mitsubishi Electric Corp Adjustment of stress for x-ray mask
US6091062A (en) 1998-01-27 2000-07-18 Kinetrix, Inc. Method and apparatus for temperature control of a semiconductor electrical-test contractor assembly
US6039809A (en) 1998-01-27 2000-03-21 Mitsubishi Materials Silicon Corporation Method and apparatus for feeding a gas for epitaxial growth
NL1008143C2 (en) 1998-01-27 1999-07-28 Asm Int Wafer handling system.
US6125789A (en) 1998-01-30 2000-10-03 Applied Materials, Inc. Increasing the sensitivity of an in-situ particle monitor
TWI237305B (en) 1998-02-04 2005-08-01 Nikon Corp Exposure apparatus and positioning apparatus of substrate receiving cassette
US7354873B2 (en) 1998-02-05 2008-04-08 Asm Japan K.K. Method for forming insulation film
US6383955B1 (en) 1998-02-05 2002-05-07 Asm Japan K.K. Silicone polymer insulation film on semiconductor substrate and method for forming the film
US7582575B2 (en) 1998-02-05 2009-09-01 Asm Japan K.K. Method for forming insulation film
TW437017B (en) 1998-02-05 2001-05-28 Asm Japan Kk Silicone polymer insulation film on semiconductor substrate and method for formation thereof
US6352049B1 (en) 1998-02-09 2002-03-05 Applied Materials, Inc. Plasma assisted processing chamber with separate control of species density
US6074514A (en) 1998-02-09 2000-06-13 Applied Materials, Inc. High selectivity etch using an external plasma discharge
US6635578B1 (en) 1998-02-09 2003-10-21 Applied Materials, Inc Method of operating a dual chamber reactor with neutral density decoupled from ion density
US6303523B2 (en) 1998-02-11 2001-10-16 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
US6413583B1 (en) 1998-02-11 2002-07-02 Applied Materials, Inc. Formation of a liquid-like silica layer by reaction of an organosilicon compound and a hydroxyl forming compound
US6050506A (en) 1998-02-13 2000-04-18 Applied Materials, Inc. Pattern of apertures in a showerhead for chemical vapor deposition
JPH11238688A (en) 1998-02-23 1999-08-31 Shin Etsu Handotai Co Ltd Manufacture of thin film
US6072163A (en) 1998-03-05 2000-06-06 Fsi International Inc. Combination bake/chill apparatus incorporating low thermal mass, thermally conductive bakeplate
US5897348A (en) 1998-03-13 1999-04-27 Texas Instruments - Acer Incorporated Low mask count self-aligned silicided CMOS transistors with a high electrostatic discharge resistance
US7181501B2 (en) 1998-03-19 2007-02-20 Isochron, Inc. Remote data acquisition, transmission and analysis system including handheld wireless equipment
WO1999049705A1 (en) 1998-03-20 1999-09-30 Tokyo Electron Limited Plasma processing apparatus
JP3656701B2 (en) 1998-03-23 2005-06-08 東京エレクトロン株式会社 Processing equipment
NL1008749C2 (en) 1998-03-30 1999-10-05 Asm Int Method of chemically treating a semiconductor substrate.
JP3554219B2 (en) 1998-03-31 2004-08-18 キヤノン株式会社 Exhaust device and exhaust method, deposited film forming device and deposited film forming method
JPH11287715A (en) 1998-04-02 1999-10-19 Canon Inc Thermocouple
SE9801190D0 (en) 1998-04-06 1998-04-06 Abb Research Ltd A method and a device for epitaxial growth of objects by Chemical Vapor Deposition
US6015465A (en) 1998-04-08 2000-01-18 Applied Materials, Inc. Temperature control system for semiconductor process chamber
US6296711B1 (en) 1998-04-14 2001-10-02 Cvd Systems, Inc. Film processing system
KR100265287B1 (en) 1998-04-21 2000-10-02 윤종용 Multi-chamber system for etching equipment for manufacturing semiconductor device
US6079927A (en) 1998-04-22 2000-06-27 Varian Semiconductor Equipment Associates, Inc. Automated wafer buffer for use with wafer processing equipment
KR100376984B1 (en) 1998-04-30 2003-07-16 주식회사 하이닉스반도체 Photoresist polymer and method for forming micropattern by using the same
KR100376983B1 (en) 1998-04-30 2003-08-02 주식회사 하이닉스반도체 Photoresist polymer and method for forming micropattern by using the same
US6126848A (en) 1998-05-06 2000-10-03 International Business Machines Corporation Indirect endpoint detection by chemical reaction and chemiluminescence
US6287435B1 (en) 1998-05-06 2001-09-11 Tokyo Electron Limited Method and apparatus for ionized physical vapor deposition
US6060721A (en) 1998-05-06 2000-05-09 Taiwan Semiconductor Manufacturing Co., Ltd Apparatus for detecting correct positioning of a wafer cassette
US20010016273A1 (en) 1998-05-08 2001-08-23 Krishnan Narasimhan Multilayer cvd coated article and process for producing same
JP2002515648A (en) 1998-05-11 2002-05-28 セミトゥール・インコーポレイテッド Heating reactor temperature control system
US6218288B1 (en) 1998-05-11 2001-04-17 Micron Technology, Inc. Multiple step methods for forming conformal layers
NL1009171C2 (en) 1998-05-14 1999-12-10 Asm Int Wafer rack equipped with a gas distribution device.
KR100309918B1 (en) 1998-05-16 2001-12-17 윤종용 Liquid crystal display having wide viewing angle and method for manufacturing the same
US6284050B1 (en) 1998-05-18 2001-09-04 Novellus Systems, Inc. UV exposure for improving properties and adhesion of dielectric polymer films formed by chemical vapor deposition
JP3208376B2 (en) 1998-05-20 2001-09-10 株式会社半導体プロセス研究所 Film forming method and semiconductor device manufacturing method
JPH11343571A (en) 1998-05-29 1999-12-14 Ngk Insulators Ltd Susceptor
KR20000000946A (en) 1998-06-05 2000-01-15 주재현 Vaporizer and chemical vapor deposition apparatus using the same
NL1009327C2 (en) 1998-06-05 1999-12-10 Asm Int Method and device for transferring wafers.
JPH11354637A (en) 1998-06-11 1999-12-24 Oki Electric Ind Co Ltd Connection structure for wiring and formation of connection part of the wiring
US20020009861A1 (en) 1998-06-12 2002-01-24 Pravin K. Narwankar Method and apparatus for the formation of dielectric layers
US6146463A (en) 1998-06-12 2000-11-14 Applied Materials, Inc. Apparatus and method for aligning a substrate on a support member
US6086677A (en) 1998-06-16 2000-07-11 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6302964B1 (en) 1998-06-16 2001-10-16 Applied Materials, Inc. One-piece dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6148761A (en) 1998-06-16 2000-11-21 Applied Materials, Inc. Dual channel gas distribution plate
JP2963443B1 (en) 1998-06-19 1999-10-18 キヤノン販売株式会社 Semiconductor device manufacturing equipment
KR20000002833A (en) 1998-06-23 2000-01-15 윤종용 Semiconductor wafer boat
USD412512S (en) 1998-06-24 1999-08-03 Marc H Boisvert Tool holding device
JP3333135B2 (en) 1998-06-25 2002-10-07 東京エレクトロン株式会社 Heat treatment apparatus and heat treatment method
US6015459A (en) 1998-06-26 2000-01-18 Extreme Devices, Inc. Method for doping semiconductor materials
JP3472482B2 (en) 1998-06-30 2003-12-02 富士通株式会社 Semiconductor device manufacturing method and manufacturing apparatus
US6232248B1 (en) 1998-07-03 2001-05-15 Tokyo Electron Limited Single-substrate-heat-processing method for performing reformation and crystallization
US6182603B1 (en) 1998-07-13 2001-02-06 Applied Komatsu Technology, Inc. Surface-treated shower head for use in a substrate processing chamber
US6335293B1 (en) 1998-07-13 2002-01-01 Mattson Technology, Inc. Systems and methods for two-sided etch of a semiconductor substrate
US6210485B1 (en) 1998-07-21 2001-04-03 Applied Materials, Inc. Chemical vapor deposition vaporizer
JP2000040728A (en) 1998-07-22 2000-02-08 Nippon Asm Kk Wafer carrying mechanism
JP4641569B2 (en) 1998-07-24 2011-03-02 日本碍子株式会社 Aluminum nitride sintered body, corrosion resistant member, metal burying and semiconductor holding device
US20010001384A1 (en) 1998-07-29 2001-05-24 Takeshi Arai Silicon epitaxial wafer and production method therefor
NL1009767C2 (en) 1998-07-29 2000-02-04 Asm Int Method and device for etching a substrate.
US6344232B1 (en) 1998-07-30 2002-02-05 The United States Of America As Represented By The Secretary Of The Air Force Computer controlled temperature and oxygen maintenance for fiber coating CVD
KR100297552B1 (en) 1998-08-03 2001-11-30 윤종용 Insulation Window of Etching Device for Semiconductor Device Manufacturing
KR100275738B1 (en) 1998-08-07 2000-12-15 윤종용 Method for producing thin film using atomatic layer deposition
USD412270S (en) 1998-08-10 1999-07-27 David Frank Fredrickson Article lifter
US6462310B1 (en) 1998-08-12 2002-10-08 Asml Us, Inc Hot wall rapid thermal processor
JP2000068355A (en) 1998-08-21 2000-03-03 Dainippon Screen Mfg Co Ltd Substrate processing equipment
US6596398B1 (en) 1998-08-21 2003-07-22 Atofina Chemicals, Inc. Solar control coated glass
US6133161A (en) 1998-08-27 2000-10-17 Micron Technology, Inc. Methods of forming a film on a substrate using complexes having tris(pyrazolyl) methanate ligands
US6569971B2 (en) 1998-08-27 2003-05-27 Hyundai Electronics Industries Co., Ltd. Polymers for photoresist and photoresist compositions using the same
US6427622B2 (en) 1998-08-28 2002-08-06 Mv Systems, Inc. Hot wire chemical vapor deposition method and apparatus using graphite hot rods
US6190732B1 (en) 1998-09-03 2001-02-20 Cvc Products, Inc. Method and system for dispensing process gas for fabricating a device on a substrate
JP3830670B2 (en) 1998-09-03 2006-10-04 三菱電機株式会社 Semiconductor manufacturing equipment
US6727190B2 (en) 1998-09-03 2004-04-27 Micron Technology, Inc. Method of forming fluorine doped boron-phosphorous silicate glass (F-BPSG) insulating materials
US6323081B1 (en) 1998-09-03 2001-11-27 Micron Technology, Inc. Diffusion barrier layers and methods of forming same
KR100566905B1 (en) 1998-09-11 2006-07-03 에이에스엠지니텍코리아 주식회사 Chemical Vapor Deposition Using Surface Catalyst_
US6344084B1 (en) 1998-09-11 2002-02-05 Japan Science And Technology Corporation Combinatorial molecular layer epitaxy device
US6284149B1 (en) 1998-09-18 2001-09-04 Applied Materials, Inc. High-density plasma etching of carbon-based low-k materials in a integrated circuit
US6187672B1 (en) 1998-09-22 2001-02-13 Conexant Systems, Inc. Interconnect with low dielectric constant insulators for semiconductor integrated circuit manufacturing
KR100646906B1 (en) 1998-09-22 2006-11-17 동경 엘렉트론 주식회사 Substrate processing apparatus and substrate processing method
US6800571B2 (en) 1998-09-29 2004-10-05 Applied Materials Inc. CVD plasma assisted low dielectric constant films
US6143082A (en) 1998-10-08 2000-11-07 Novellus Systems, Inc. Isolation of incompatible processes in a multi-station processing chamber
US6257758B1 (en) 1998-10-09 2001-07-10 Claud S. Gordon Company Surface temperature sensor
NL1010317C2 (en) 1998-10-14 2000-05-01 Asm Int Sorting / storage device for wafers and method for handling them.
USD451893S1 (en) 1998-10-15 2001-12-11 Meto International Gmbh Arrangement of aluminum foil coils forming an inductor of a resonant frequency identification element
US6462671B2 (en) 1998-10-20 2002-10-08 Brendyl Trent Bushner Remote securities based data reception and order system
US20030101938A1 (en) 1998-10-27 2003-06-05 Applied Materials, Inc. Apparatus for the deposition of high dielectric constant films
US6454860B2 (en) 1998-10-27 2002-09-24 Applied Materials, Inc. Deposition reactor having vaporizing, mixing and cleaning capabilities
US6063196A (en) 1998-10-30 2000-05-16 Applied Materials, Inc. Semiconductor processing chamber calibration tool
JP3234576B2 (en) 1998-10-30 2001-12-04 アプライド マテリアルズ インコーポレイテッド Wafer support device in semiconductor manufacturing equipment
KR100317238B1 (en) 1998-11-03 2002-02-19 윤종용 Spike Thermocouple Device for Temperature Detection of Furnace_
US6423613B1 (en) 1998-11-10 2002-07-23 Micron Technology, Inc. Low temperature silicon wafer bond process with bulk material bond strength
US6183564B1 (en) 1998-11-12 2001-02-06 Tokyo Electron Limited Buffer chamber for integrating physical and chemical vapor deposition chambers together in a processing system
US6214717B1 (en) 1998-11-16 2001-04-10 Taiwan Semiconductor Manufacturing Company Method for adding plasma treatment on bond pad to prevent bond pad staining problems
JP2000150617A (en) 1998-11-17 2000-05-30 Tokyo Electron Ltd Transporter
JP3664897B2 (en) 1998-11-18 2005-06-29 東京エレクトロン株式会社 Vertical heat treatment equipment
US6143079A (en) 1998-11-19 2000-11-07 Asm America, Inc. Compact process chamber for improved process uniformity
US6177688B1 (en) 1998-11-24 2001-01-23 North Carolina State University Pendeoepitaxial gallium nitride semiconductor layers on silcon carbide substrates
US6113703A (en) 1998-11-25 2000-09-05 Applied Materials, Inc. Method and apparatus for processing the upper and lower faces of a wafer
DE19956531A1 (en) 1998-11-27 2000-05-31 Hyundai Electronics Ind Crosslinker for a photoresist and a photoresist composition containing the same
US6383300B1 (en) 1998-11-27 2002-05-07 Tokyo Electron Ltd. Heat treatment apparatus and cleaning method of the same
RU2141647C1 (en) 1998-11-30 1999-11-20 Войналович Александр Владимирович Analyzed surface control method and scanning surface analyzer
US6283692B1 (en) 1998-12-01 2001-09-04 Applied Materials, Inc. Apparatus for storing and moving a cassette
JP2000174123A (en) 1998-12-09 2000-06-23 Nec Corp Semiconductor device and manufacture thereof
US6310328B1 (en) 1998-12-10 2001-10-30 Mattson Technologies, Inc. Rapid thermal processing chamber for processing multiple wafers
US6364954B2 (en) 1998-12-14 2002-04-02 Applied Materials, Inc. High temperature chemical vapor deposition chamber
US20010052556A1 (en) 1998-12-14 2001-12-20 Weichi Ting Injector
JP2000183346A (en) 1998-12-15 2000-06-30 Toshiba Corp Semiconductor device and manufacture thereof
US6255221B1 (en) 1998-12-17 2001-07-03 Lam Research Corporation Methods for running a high density plasma etcher to achieve reduced transistor device damage
JP3375294B2 (en) 1998-12-17 2003-02-10 東京エレクトロン株式会社 Processing apparatus, processing system, and method for supplying clean air in the apparatus
US6129954A (en) 1998-12-22 2000-10-10 General Electric Company Method for thermally spraying crack-free mullite coatings on ceramic-based substrates
US6607948B1 (en) 1998-12-24 2003-08-19 Kabushiki Kaisha Toshiba Method of manufacturing a substrate using an SiGe layer
US6496819B1 (en) 1998-12-28 2002-12-17 Oracle Corporation Rewriting a query in terms of a summary based on functional dependencies and join backs, and based on join derivability
KR100281094B1 (en) 1998-12-30 2001-02-01 서평원 Cell navigation method in mobile communication system
US6137240A (en) 1998-12-31 2000-10-24 Lumion Corporation Universal ballast control circuit
US6579805B1 (en) 1999-01-05 2003-06-17 Ronal Systems Corp. In situ chemical generator and method
JP3433392B2 (en) 1999-01-12 2003-08-04 セントラル硝子株式会社 Cleaning gas and cleaning method for vacuum processing apparatus
NL1011017C2 (en) 1999-01-13 2000-07-31 Asm Int Device for positioning a wafer.
KR100331544B1 (en) 1999-01-18 2002-04-06 윤종용 Method for introducing gases into a reactor chamber and a shower head used therein
JP3119641B2 (en) 1999-01-19 2000-12-25 九州日本電気株式会社 Vertical heat treatment equipment
US6490493B1 (en) 1999-01-21 2002-12-03 Rosemount Inc. Industrial process device management software
TW455912B (en) 1999-01-22 2001-09-21 Sony Corp Method and apparatus for film deposition
US7217325B2 (en) 1999-01-22 2007-05-15 Semitool, Inc. System for processing a workpiece
JP2987148B1 (en) 1999-01-26 1999-12-06 国際電気株式会社 Substrate processing equipment
JP3579278B2 (en) 1999-01-26 2004-10-20 東京エレクトロン株式会社 Vertical heat treatment device and sealing device
US6250747B1 (en) 1999-01-28 2001-06-26 Hewlett-Packard Company Print cartridge with improved back-pressure regulation
US6737716B1 (en) 1999-01-29 2004-05-18 Kabushiki Kaisha Toshiba Semiconductor device and method of manufacturing the same
US6044860A (en) 1999-02-01 2000-04-04 Spx Corporation Adjustable lockout device for knife gate valves
US6374831B1 (en) 1999-02-04 2002-04-23 Applied Materials, Inc. Accelerated plasma clean
US6281141B1 (en) 1999-02-08 2001-08-28 Steag Rtp Systems, Inc. Process for forming thin dielectric layers in semiconductor devices
WO2000047404A1 (en) 1999-02-12 2000-08-17 Gelest, Inc. Chemical vapor deposition of tungsten nitride
IT1308606B1 (en) 1999-02-12 2002-01-08 Lpe Spa DEVICE FOR HANDLING SUBSTRATES BY MEANS OF A SELF-LEVELING DEPRESSION SYSTEM IN INDUCTION EPISTAXIAL REACTORS WITH SUCCESSOR
US6190037B1 (en) 1999-02-19 2001-02-20 Applied Materials, Inc. Non-intrusive, on-the-fly (OTF) temperature measurement and monitoring system
JP2000249058A (en) 1999-02-26 2000-09-12 Ebara Corp Trap device
WO2000052223A1 (en) 1999-03-03 2000-09-08 Widia Gmbh Tool with a molybdenum sulfide containing coating and method for its production
US6540838B2 (en) 2000-11-29 2003-04-01 Genus, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6426125B1 (en) 1999-03-17 2002-07-30 General Electric Company Multilayer article and method of making by ARC plasma deposition
US6022802A (en) 1999-03-18 2000-02-08 Taiwan Semiconductor Manufacturing Company Low dielectric constant intermetal dielectric (IMD) by formation of air gap between metal lines
JP2000269163A (en) 1999-03-18 2000-09-29 Sony Corp Formation method for metal film and wiring
US6250250B1 (en) 1999-03-18 2001-06-26 Yuri Maishev Multiple-cell source of uniform plasma
JP4554824B2 (en) 1999-03-30 2010-09-29 東京エレクトロン株式会社 Plasma processing apparatus, maintenance method thereof and construction method thereof
US20020052119A1 (en) 1999-03-31 2002-05-02 Patrick A. Van Cleemput In-situ flowing bpsg gap fill process using hdp
JP3250154B2 (en) 1999-03-31 2002-01-28 株式会社スーパーシリコン研究所 Semiconductor wafer manufacturing equipment
JP3398936B2 (en) 1999-04-09 2003-04-21 日本エー・エス・エム株式会社 Semiconductor processing equipment
US6263830B1 (en) 1999-04-12 2001-07-24 Matrix Integrated Systems, Inc. Microwave choke for remote plasma generator
TW465017B (en) 1999-04-13 2001-11-21 Applied Materials Inc A corrosion-resistant protective coating for an apparatus and method for processing a substrate
US6264467B1 (en) 1999-04-14 2001-07-24 Applied Materials, Inc. Micro grooved support surface for reducing substrate wear and slip formation
US6326597B1 (en) 1999-04-15 2001-12-04 Applied Materials, Inc. Temperature control system for process chamber
KR100428521B1 (en) 1999-04-20 2004-04-29 도쿄 엘렉트론 가부시키가이샤 Method for single chamber processing of PECVD-Ti and CVD-TiN films in IC manufacturing
US6265311B1 (en) 1999-04-27 2001-07-24 Tokyo Electron Limited PECVD of TaN films from tantalum halide precursors
US6410433B1 (en) 1999-04-27 2002-06-25 Tokyo Electron Limited Thermal CVD of TaN films from tantalum halide precursors
JP3965258B2 (en) 1999-04-30 2007-08-29 日本碍子株式会社 Ceramic gas supply structure for semiconductor manufacturing equipment
US7588720B2 (en) 1999-04-30 2009-09-15 Tso3, Inc. Method and apparatus for ozone sterilization
KR100347379B1 (en) 1999-05-01 2002-08-07 주식회사 피케이엘 Atomic layer deposition apparatus for depositing multi substrate
JP3072989B1 (en) 1999-05-14 2000-08-07 日本エー・エス・エム株式会社 Film forming method in a film forming apparatus for forming a thin film on a semiconductor substrate
JP2000323487A (en) 1999-05-14 2000-11-24 Tokyo Electron Ltd Sheet-by-sheet type heat treatment device
JP4294791B2 (en) 1999-05-17 2009-07-15 アプライド マテリアルズ インコーポレイテッド Semiconductor manufacturing equipment
JP2000329447A (en) 1999-05-17 2000-11-30 Matsushita Refrig Co Ltd Refrigerator and defrosting heater
US6617553B2 (en) 1999-05-19 2003-09-09 Applied Materials, Inc. Multi-zone resistive heater
US6423949B1 (en) 1999-05-19 2002-07-23 Applied Materials, Inc. Multi-zone resistive heater
US6440261B1 (en) 1999-05-25 2002-08-27 Applied Materials, Inc. Dual buffer chamber cluster tool for semiconductor wafer processing
US6119710A (en) 1999-05-26 2000-09-19 Cyber Instrument Technologies Llc Method for wide range gas flow system with real time flow measurement and correction
US6461801B1 (en) 1999-05-27 2002-10-08 Matrix Integrated Systems, Inc. Rapid heating and cooling of workpiece chucks
KR100495551B1 (en) 1999-05-28 2005-06-16 동경 엘렉트론 주식회사 Ozone treatment device of semiconductor process system
US20020033183A1 (en) 1999-05-29 2002-03-21 Sheng Sun Method and apparatus for enhanced chamber cleaning
JP3668079B2 (en) 1999-05-31 2005-07-06 忠弘 大見 Plasma process equipment
US6200897B1 (en) 1999-06-06 2001-03-13 United Semiconductor Corp. Method for manufacturing even dielectric layer
JP3940546B2 (en) 1999-06-07 2007-07-04 株式会社東芝 Pattern forming method and pattern forming material
US6656281B1 (en) 1999-06-09 2003-12-02 Tokyo Electron Limited Substrate processing apparatus and substrate processing method
US6555183B2 (en) 1999-06-11 2003-04-29 Applied Materials, Inc. Plasma treatment of a titanium nitride film formed by chemical vapor deposition
US6548402B2 (en) 1999-06-11 2003-04-15 Applied Materials, Inc. Method of depositing a thick titanium nitride film
TW466576B (en) 1999-06-15 2001-12-01 Ebara Corp Substrate processing apparatus
US6281098B1 (en) 1999-06-15 2001-08-28 Midwest Research Institute Process for Polycrystalline film silicon growth
JP2001007102A (en) 1999-06-17 2001-01-12 Mitsubishi Electric Corp Semiconductor forming method and semiconductor manufacturing apparatus
JP2001004062A (en) 1999-06-17 2001-01-09 Benkan Corp Flow control valve
US6821571B2 (en) 1999-06-18 2004-11-23 Applied Materials Inc. Plasma treatment to enhance adhesion and to minimize oxidation of carbon-containing layers
US6528752B1 (en) 1999-06-18 2003-03-04 Tokyo Electron Limited Plasma processing apparatus and plasma processing method
JP4726369B2 (en) 1999-06-19 2011-07-20 エー・エス・エムジニテックコリア株式会社 Chemical vapor deposition reactor and thin film forming method using the same
US6812157B1 (en) 1999-06-24 2004-11-02 Prasad Narhar Gadgil Apparatus for atomic layer chemical vapor deposition
US6314974B1 (en) 1999-06-28 2001-11-13 Fairchild Semiconductor Corporation Potted transducer array with matching network in a multiple pass configuration
US6245192B1 (en) 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing
FR2795745B1 (en) 1999-06-30 2001-08-03 Saint Gobain Vitrage PROCESS FOR DEPOSITING A TUNGSTENE AND / OR MOLYBDENE LAYER ON A GLASS, CERAMIC OR VITROCERAMIC SUBSTRATE, AND SUBSTRATE THUS COATED
US6587108B1 (en) 1999-07-01 2003-07-01 Honeywell Inc. Multivariable process matrix display and methods regarding same
JP3252835B2 (en) 1999-07-02 2002-02-04 松下電器産業株式会社 Semiconductor device and manufacturing method thereof
US6151446A (en) 1999-07-06 2000-11-21 Applied Materials, Inc. Apparatus and method for thermally processing substrates including a processor using multiple detection signals
JP4288767B2 (en) 1999-07-07 2009-07-01 東京エレクトロン株式会社 Manufacturing method of semiconductor device
JP2001023955A (en) 1999-07-07 2001-01-26 Mitsubishi Electric Corp Plasma processing apparatus
US6214121B1 (en) 1999-07-07 2001-04-10 Applied Materials, Inc. Pedestal with a thermally controlled platen
US6240875B1 (en) 1999-07-07 2001-06-05 Asm International N.V. Vertical oven with a boat for the uniform treatment of wafers
US6238734B1 (en) 1999-07-08 2001-05-29 Air Products And Chemicals, Inc. Liquid precursor mixtures for deposition of multicomponent metal containing materials
JP2001023872A (en) 1999-07-09 2001-01-26 Hitachi Ltd Semiconductor substrate processing apparatus
US6375749B1 (en) 1999-07-14 2002-04-23 Seh America, Inc. Susceptorless semiconductor wafer support and reactor system for epitaxial layer growth
US6368988B1 (en) 1999-07-16 2002-04-09 Micron Technology, Inc. Combined gate cap or digit line and spacer deposition using HDP
US6297539B1 (en) 1999-07-19 2001-10-02 Sharp Laboratories Of America, Inc. Doped zirconia, or zirconia-like, dielectric film transistor structure and deposition method for same
KR100327346B1 (en) 1999-07-20 2002-03-06 윤종용 Plasma etching method using selective polymer deposition and method for forming contact hole using the plasma etching method
FI110311B (en) 1999-07-20 2002-12-31 Asm Microchemistry Oy Method and apparatus for eliminating substances from gases
US6239715B1 (en) 1999-07-21 2001-05-29 Karen L. Belton Beeper system
US7381944B2 (en) 2004-04-28 2008-06-03 Sionex Corporation Systems and methods for ion species analysis with enhanced condition control and data interpretation
JP3701148B2 (en) 1999-07-28 2005-09-28 株式会社日立製作所 Content distribution method
US6867859B1 (en) 1999-08-03 2005-03-15 Lightwind Corporation Inductively coupled plasma spectrometer for process diagnostics and control
KR100557594B1 (en) 1999-08-17 2006-03-10 주식회사 하이닉스반도체 Novel photoresist monomer having stability to post exposure delay, polymer thereof and photoresist composition containing it
ATE418158T1 (en) 1999-08-17 2009-01-15 Applied Materials Inc SURFACE TREATMENT OF CARBON-DOPED SIO2 FILM TO INCREASE STABILITY DURING O2 ASHING
EP1077274A1 (en) 1999-08-17 2001-02-21 Applied Materials, Inc. Lid cooling mechanism and method for optimized deposition of low-k dielectric using tri methylsilane-ozone based processes
US6602806B1 (en) 1999-08-17 2003-08-05 Applied Materials, Inc. Thermal CVD process for depositing a low dielectric constant carbon-doped silicon oxide film
EP1077479A1 (en) 1999-08-17 2001-02-21 Applied Materials, Inc. Post-deposition treatment to enchance properties of Si-O-C low K film
US6432206B1 (en) 1999-08-30 2002-08-13 Si Diamond Technology, Inc. Heating element for use in a hot filament chemical vapor deposition chamber
US6579833B1 (en) 1999-09-01 2003-06-17 The Board Of Trustees Of The University Of Illinois Process for converting a metal carbide to carbon by etching in halogens
US6645345B2 (en) 1999-09-02 2003-11-11 Micron Technology, Inc. Wafer planarization using a uniform layer of material and method and apparatus for forming uniform layer of material used in semiconductor processing
JP2001077088A (en) 1999-09-02 2001-03-23 Tokyo Electron Ltd Plasma processing device
WO2001018856A1 (en) 1999-09-03 2001-03-15 Mitsubishi Materials Silicon Corporation Wafer holder
US6238636B1 (en) 1999-09-03 2001-05-29 Air Liquide America Corporation Process and systems for purification of boron trichloride
US6511539B1 (en) 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
US7894474B1 (en) 1999-09-10 2011-02-22 Koninklijke Philips Electronics N.V. Remote control of an electronic device through downloading of a control interface of the electronic device in a mobile station
US6355153B1 (en) 1999-09-17 2002-03-12 Nutool, Inc. Chip interconnect and packaging deposition methods and structures
US6451157B1 (en) 1999-09-23 2002-09-17 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6420792B1 (en) 1999-09-24 2002-07-16 Texas Instruments Incorporated Semiconductor wafer edge marking
US6293700B1 (en) 1999-09-24 2001-09-25 Fluke Corporation Calibrated isothermal assembly for a thermocouple thermometer
US7066703B2 (en) 1999-09-29 2006-06-27 Tokyo Electron Limited Chuck transport method and system
US6740853B1 (en) 1999-09-29 2004-05-25 Tokyo Electron Limited Multi-zone resistance heater
US6333275B1 (en) 1999-10-01 2001-12-25 Novellus Systems, Inc. Etchant mixing system for edge bevel removal of copper from silicon wafers
US6296710B1 (en) 1999-10-06 2001-10-02 Advanced Micro Devices, Inc. Multi-port gas injector for a vertical furnace used in semiconductor processing
US7010580B1 (en) 1999-10-08 2006-03-07 Agile Software Corp. Method and apparatus for exchanging data in a platform independent manner
US6503758B1 (en) 1999-10-12 2003-01-07 President & Fellows Of Harvard College Systems and methods for measuring nitrate levels
MXPA02003794A (en) 1999-10-13 2002-12-13 Texaco Development Corp Sapphire reinforced thermocouple protection tube.
US6475276B1 (en) 1999-10-15 2002-11-05 Asm Microchemistry Oy Production of elemental thin films using a boron-containing reducing agent
US6500487B1 (en) 1999-10-18 2002-12-31 Advanced Technology Materials, Inc Abatement of effluent from chemical vapor deposition processes using ligand exchange resistant metal-organic precursor solutions
US6391385B1 (en) 1999-10-18 2002-05-21 Advanced Technology Materials, Inc. Method of abating of effluents from chemical vapor deposition processes using organometallic source reagents
US6203613B1 (en) 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
JP4387573B2 (en) 1999-10-26 2009-12-16 東京エレクトロン株式会社 Process exhaust gas monitoring apparatus and method, semiconductor manufacturing apparatus, and semiconductor manufacturing apparatus management system and method
US6287913B1 (en) 1999-10-26 2001-09-11 International Business Machines Corporation Double polysilicon process for providing single chip high performance logic and compact embedded memory structure
KR100340716B1 (en) 1999-10-29 2002-06-20 윤종용 method for forming silicion nitride
KR20010045418A (en) 1999-11-05 2001-06-05 박종섭 Novel photoresist monomer, polymer thereof and photoresist composition containing it
JP3551867B2 (en) 1999-11-09 2004-08-11 信越化学工業株式会社 Silicon focus ring and manufacturing method thereof
KR100547248B1 (en) 1999-11-12 2006-02-01 주식회사 하이닉스반도체 A method for forming gate dielectric layer using alumina in semiconductor device
US6320320B1 (en) 1999-11-15 2001-11-20 Lam Research Corporation Method and apparatus for producing uniform process rates
JP4209057B2 (en) 1999-12-01 2009-01-14 東京エレクトロン株式会社 Ceramic heater, substrate processing apparatus and substrate processing method using the same
US6582891B1 (en) 1999-12-02 2003-06-24 Axcelis Technologies, Inc. Process for reducing edge roughness in patterned photoresist
KR100369324B1 (en) 1999-12-02 2003-01-24 한국전자통신연구원 Method for fabricating planar type micro cavity
US6780704B1 (en) 1999-12-03 2004-08-24 Asm International Nv Conformal thin films over textured capacitor electrodes
FI118804B (en) 1999-12-03 2008-03-31 Asm Int Process for making oxide films
EP1107512A1 (en) 1999-12-03 2001-06-13 Sony International (Europe) GmbH Communication device and software for operating multimedia applications
KR20010062209A (en) 1999-12-10 2001-07-07 히가시 데쓰로 Processing apparatus with a chamber having therein a high-etching resistant sprayed film
US6589352B1 (en) 1999-12-10 2003-07-08 Applied Materials, Inc. Self aligning non contact shadow ring process kit
EP1245036B1 (en) 1999-12-13 2013-06-19 Semequip, Inc. Ion implantation ion source
JP3659101B2 (en) 1999-12-13 2005-06-15 富士ゼロックス株式会社 Nitride semiconductor device and manufacturing method thereof
US6452338B1 (en) 1999-12-13 2002-09-17 Semequip, Inc. Electron beam ion source with integral low-temperature vaporizer
US7838842B2 (en) 1999-12-13 2010-11-23 Semequip, Inc. Dual mode ion source for ion implantation
JP3925780B2 (en) 1999-12-15 2007-06-06 エー・エス・エムジニテックコリア株式会社 Method for forming copper wiring and thin film using catalyst and chemical vapor deposition
US6225745B1 (en) 1999-12-17 2001-05-01 Axcelis Technologies, Inc. Dual plasma source for plasma process chamber
JP3810604B2 (en) 1999-12-21 2006-08-16 Smc株式会社 Gate valve
JP2001176952A (en) 1999-12-21 2001-06-29 Toshiba Mach Co Ltd Positional shift detector for wafer
US6673198B1 (en) 1999-12-22 2004-01-06 Lam Research Corporation Semiconductor processing equipment having improved process drift control
US6503330B1 (en) 1999-12-22 2003-01-07 Genus, Inc. Apparatus and method to achieve continuous interface and ultrathin film during atomic layer deposition
JP3582437B2 (en) 1999-12-24 2004-10-27 株式会社村田製作所 Thin film manufacturing method and thin film manufacturing apparatus used therefor
JP4089113B2 (en) 1999-12-28 2008-05-28 株式会社Ihi Thin film production equipment
US7055094B2 (en) 1999-12-30 2006-05-30 Rutgers, The State University Of New Jersey Virtual tags and the process of virtual tagging utilizing user feedback in transformation rules
US6335049B1 (en) 2000-01-03 2002-01-01 Micron Technology, Inc. Chemical vapor deposition methods of forming a high K dielectric layer and methods of forming a capacitor
US6576062B2 (en) 2000-01-06 2003-06-10 Tokyo Electron Limited Film forming apparatus and film forming method
JP5165825B2 (en) 2000-01-10 2013-03-21 東京エレクトロン株式会社 Divided electrode assembly and plasma processing method.
KR100767762B1 (en) 2000-01-18 2007-10-17 에이에스엠 저펜 가부시기가이샤 A CVD semiconductor-processing device provided with a remote plasma source for self cleaning
US6541367B1 (en) 2000-01-18 2003-04-01 Applied Materials, Inc. Very low dielectric constant plasma-enhanced CVD films
TW473792B (en) 2000-01-20 2002-01-21 Ngk Insulators Ltd Electrostatic chuck
JP2001203211A (en) 2000-01-20 2001-07-27 Hitachi Kokusai Electric Inc Hydrogen annealing method and its device
JP3654142B2 (en) 2000-01-20 2005-06-02 住友電気工業株式会社 Gas shower for semiconductor manufacturing equipment
JP4384770B2 (en) 2000-01-27 2009-12-16 株式会社日立国際電気 Substrate processing equipment
JP2001207265A (en) 2000-01-27 2001-07-31 Kubota Corp Film deposition system
JP2001207268A (en) 2000-01-27 2001-07-31 Kubota Corp Film deposition system
US6475930B1 (en) 2000-01-31 2002-11-05 Motorola, Inc. UV cure process and tool for low k film formation
US6432255B1 (en) 2000-01-31 2002-08-13 Applied Materials, Inc. Method and apparatus for enhancing chamber cleaning
US6191399B1 (en) 2000-02-01 2001-02-20 Asm America, Inc. System of controlling the temperature of a processing chamber
US6436819B1 (en) 2000-02-01 2002-08-20 Applied Materials, Inc. Nitrogen treatment of a metal nitride/metal stack
JP4174941B2 (en) 2000-02-03 2008-11-05 株式会社デンソー Thin film manufacturing method and thin film manufacturing apparatus
US6521046B2 (en) 2000-02-04 2003-02-18 Kabushiki Kaisha Kobe Seiko Sho Chamber material made of Al alloy and heater block
ATE249532T1 (en) 2000-02-04 2003-09-15 Aixtron Ag DEVICE AND METHOD FOR DEPOSITING ONE OR MORE LAYERS ONTO A SUBSTRATE
US6372583B1 (en) 2000-02-09 2002-04-16 Intel Corporation Process for making semiconductor device with epitaxially grown source and drain
DE10005820C1 (en) 2000-02-10 2001-08-02 Schott Glas Gas supply device for precursors of low vapor pressure
US20020009119A1 (en) 2000-02-11 2002-01-24 Matthew William T. Environmental heat stress monitor
US6407435B1 (en) 2000-02-11 2002-06-18 Sharp Laboratories Of America, Inc. Multilayer dielectric stack and method
US6573030B1 (en) 2000-02-17 2003-06-03 Applied Materials, Inc. Method for depositing an amorphous carbon layer
KR100520188B1 (en) 2000-02-18 2005-10-10 주식회사 하이닉스반도체 Partially crosslinked polymer for bilayer photoresist
TW476996B (en) 2000-02-28 2002-02-21 Mitsubishi Material Silicon Semiconductor manufacturing method and semiconductor manufacturing apparatus
US6517634B2 (en) 2000-02-28 2003-02-11 Applied Materials, Inc. Chemical vapor deposition chamber lid assembly
US6846711B2 (en) 2000-03-02 2005-01-25 Tokyo Electron Limited Method of making a metal oxide capacitor, including a barrier film
US6644324B1 (en) 2000-03-06 2003-11-11 Cymer, Inc. Laser discharge chamber passivation by plasma
WO2001066832A2 (en) 2000-03-07 2001-09-13 Asm America, Inc. Graded thin films
US7419903B2 (en) 2000-03-07 2008-09-02 Asm International N.V. Thin films
JP4054159B2 (en) 2000-03-08 2008-02-27 東京エレクトロン株式会社 Substrate processing method and apparatus
AU4351601A (en) 2000-03-09 2001-09-17 Semix Inc Wafer processing apparatus and method
AU4733601A (en) 2000-03-10 2001-09-24 Cyrano Sciences Inc Control for an industrial process using one or more multidimensional variables
US6475902B1 (en) 2000-03-10 2002-11-05 Applied Materials, Inc. Chemical vapor deposition of niobium barriers for copper metallization
JP3438696B2 (en) 2000-03-13 2003-08-18 松下電器産業株式会社 Plasma processing method and apparatus
JP2001332609A (en) 2000-03-13 2001-11-30 Nikon Corp Apparatus for holding substrate and aligner
US6506009B1 (en) 2000-03-16 2003-01-14 Applied Materials, Inc. Apparatus for storing and moving a cassette
US6558755B2 (en) 2000-03-20 2003-05-06 Dow Corning Corporation Plasma curing process for porous silica thin film
US6759098B2 (en) 2000-03-20 2004-07-06 Axcelis Technologies, Inc. Plasma curing of MSQ-based porous low-k film materials
US6576300B1 (en) 2000-03-20 2003-06-10 Dow Corning Corporation High modulus, low dielectric constant coatings
US6913796B2 (en) 2000-03-20 2005-07-05 Axcelis Technologies, Inc. Plasma curing process for porous low-k materials
US6598559B1 (en) 2000-03-24 2003-07-29 Applied Materials, Inc. Temperature controlled chamber
AT412302B (en) 2000-03-28 2004-12-27 Hoerbiger Ventilwerke Gmbh AUTOMATIC VALVE
JP3676983B2 (en) 2000-03-29 2005-07-27 株式会社日立国際電気 Semiconductor manufacturing method, substrate processing method, and semiconductor manufacturing apparatus
AU2001247685A1 (en) 2000-03-30 2001-10-15 Tokyo Electron Limited Method of and apparatus for tunable gas injection in a plasma processing system
JP2001342570A (en) 2000-03-30 2001-12-14 Hitachi Kokusai Electric Inc Manufacturing method of semiconductor device and manufacturing apparatus of semiconductor
US6390905B1 (en) 2000-03-31 2002-05-21 Speedfam-Ipec Corporation Workpiece carrier with adjustable pressure zones and barriers
JP2001345263A (en) 2000-03-31 2001-12-14 Nikon Corp Aligner, exposure method, and device-manufacturing method
JP4281208B2 (en) 2000-04-04 2009-06-17 ソニー株式会社 Robot remote control system
KR100360252B1 (en) 2000-04-06 2002-11-13 엘지전자 주식회사 Air flow system of vacuum cleaner
JP2004507074A (en) 2000-04-06 2004-03-04 エーエスエム アメリカ インコーポレイテッド Barrier coating for glassy materials
US7011710B2 (en) 2000-04-10 2006-03-14 Applied Materials Inc. Concentration profile on demand gas delivery system (individual divert delivery system)
FI117979B (en) 2000-04-14 2007-05-15 Asm Int Process for making oxide thin films
FI117978B (en) 2000-04-14 2007-05-15 Asm Int Method and apparatus for constructing a thin film on a substrate
FI117980B (en) 2000-04-14 2007-05-15 Asm Int A method of constructing a thin film on a substrate
CN1331199C (en) 2000-04-17 2007-08-08 马特森技术公司 UV prtreatment process for ultra-thin oxynitride for formation of silicon nitridefilms
US6641350B2 (en) 2000-04-17 2003-11-04 Hitachi Kokusai Electric Inc. Dual loading port semiconductor processing equipment
TW503449B (en) 2000-04-18 2002-09-21 Ngk Insulators Ltd Halogen gas plasma-resistive members and method for producing the same, laminates, and corrosion-resistant members
US6984591B1 (en) 2000-04-20 2006-01-10 International Business Machines Corporation Precursor source mixtures
US6329297B1 (en) 2000-04-21 2001-12-11 Applied Materials, Inc. Dilute remote plasma clean
US6635117B1 (en) 2000-04-26 2003-10-21 Axcelis Technologies, Inc. Actively-cooled distribution plate for reducing reactive gas temperature in a plasma processing system
US6502530B1 (en) 2000-04-26 2003-01-07 Unaxis Balzers Aktiengesellschaft Design of gas injection for the electrode in a capacitively coupled RF plasma reactor
JP2001313329A (en) 2000-04-28 2001-11-09 Applied Materials Inc Wafer support device in semiconductor manufacturing apparatus
US6387207B1 (en) 2000-04-28 2002-05-14 Applied Materials, Inc. Integration of remote plasma generator with semiconductor processing chamber
US7141768B2 (en) 2000-04-28 2006-11-28 Nexicor, Llc Fastening device
US6952656B1 (en) 2000-04-28 2005-10-04 Applied Materials, Inc. Wafer fabrication data acquisition and management systems
KR100367662B1 (en) 2000-05-02 2003-01-10 주식회사 셈테크놀러지 Hyperthermal Neutral Particle Beam Source and Neutral Particle Beam Processing Apparatus Employing the Same
DE10021871A1 (en) 2000-05-05 2001-11-15 Infineon Technologies Ag Method for producing a barrier layer in an electronic component and method for producing an electronic component with a barrier layer
JP2001319921A (en) 2000-05-09 2001-11-16 Canon Inc Processing chamber
US6553932B2 (en) 2000-05-12 2003-04-29 Applied Materials, Inc. Reduction of plasma edge effect on plasma enhanced CVD processes
US20020195056A1 (en) 2000-05-12 2002-12-26 Gurtej Sandhu Versatile atomic layer deposition apparatus
US7494927B2 (en) 2000-05-15 2009-02-24 Asm International N.V. Method of growing electrical conductors
JP4422295B2 (en) 2000-05-17 2010-02-24 キヤノンアネルバ株式会社 CVD equipment
US20020078893A1 (en) 2000-05-18 2002-06-27 Applied Materials , Inc. Plasma enhanced chemical processing reactor and method
JP4449226B2 (en) 2000-05-22 2010-04-14 東京エレクトロン株式会社 Metal oxide film modification method, metal oxide film formation method, and heat treatment apparatus
US6387823B1 (en) 2000-05-23 2002-05-14 Advanced Micro Devices, Inc. Method and apparatus for controlling deposition process using residual gas analysis
US6559026B1 (en) 2000-05-25 2003-05-06 Applied Materials, Inc Trench fill with HDP-CVD process including coupled high power density plasma deposition
JP3448737B2 (en) 2000-05-25 2003-09-22 住友重機械工業株式会社 Wafer chuck cooling plate and wafer chuck
US6558517B2 (en) 2000-05-26 2003-05-06 Micron Technology, Inc. Physical vapor deposition methods
TW578214B (en) 2000-05-29 2004-03-01 Tokyo Electron Ltd Method of forming oxynitride film or the like and system for carrying out the same
US6645585B2 (en) 2000-05-30 2003-11-11 Kyocera Corporation Container for treating with corrosive-gas and plasma and method for manufacturing the same
EP1160838B1 (en) 2000-05-31 2007-12-05 Tokyo Electron Limited Heat treatment system and method
US6998097B1 (en) 2000-06-07 2006-02-14 Tegal Corporation High pressure chemical vapor trapping system
EP2293322A1 (en) 2000-06-08 2011-03-09 Genitech, Inc. Method for forming a metal nitride layer
USD455024S1 (en) 2000-06-09 2002-04-02 Levenger Company Portable writing surface
US6863019B2 (en) 2000-06-13 2005-03-08 Applied Materials, Inc. Semiconductor device fabrication chamber cleaning method and apparatus with recirculation of cleaning gas
KR100406173B1 (en) 2000-06-13 2003-11-19 주식회사 하이닉스반도체 Heater Block Having Catalyst Injection means
WO2001097260A2 (en) 2000-06-15 2001-12-20 Koninklijke Philips Electronics N.V. Holder for a substrate cassette and device provided with such a holder
US6461435B1 (en) 2000-06-22 2002-10-08 Applied Materials, Inc. Showerhead with reduced contact area
US6346419B1 (en) 2000-06-26 2002-02-12 The United States Of America As Represented By The Department Of Commerce Photolysis system for fast-response NO2 measurements and method therefor
US6620723B1 (en) 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
KR100351056B1 (en) 2000-06-27 2002-09-05 삼성전자 주식회사 Method of manufacturing semiconductor device including step of selectively forming metal oxide layer
JP4371543B2 (en) 2000-06-29 2009-11-25 日本電気株式会社 Remote plasma CVD apparatus and film forming method
KR100546138B1 (en) 2000-06-30 2006-01-24 주식회사 하이닉스반도체 Novel photoresist monomers, polymers thereof and photoresist compositions containing them
US6632322B1 (en) 2000-06-30 2003-10-14 Lam Research Corporation Switched uniformity control
KR100467366B1 (en) 2000-06-30 2005-01-24 주식회사 하이닉스반도체 A method for forming zirconium oxide film using atomic layer deposition
US6874480B1 (en) 2000-07-03 2005-04-05 Combustion Dynamics Corp. Flow meter
JP3497450B2 (en) 2000-07-06 2004-02-16 東京エレクトロン株式会社 Batch heat treatment apparatus and control method thereof
DE60131539T2 (en) 2000-07-06 2008-10-23 Brooks Automation, Inc., Chelmsford ARRANGEMENT FOR STORING AND UNLOADING OBJECTS
US6835278B2 (en) 2000-07-07 2004-12-28 Mattson Technology Inc. Systems and methods for remote plasma clean
JP3485896B2 (en) 2000-07-11 2004-01-13 東京エレクトロン株式会社 Plasma processing equipment
JP2002164342A (en) 2000-07-21 2002-06-07 Canon Sales Co Inc Semiconductor device and manufacturing method therefor
WO2002008487A1 (en) 2000-07-24 2002-01-31 The University Of Maryland, College Park Spatially programmable microelectronics process equipment using segmented gas injection showerhead with exhaust gas recirculation
JP4357715B2 (en) 2000-07-24 2009-11-04 東京エレクトロン株式会社 Temperature calibration method for heat treatment equipment
US6685991B2 (en) 2000-07-31 2004-02-03 Shin-Etsu Chemical Co., Ltd. Method for formation of thermal-spray coating layer of rare earth fluoride
FR2812568B1 (en) 2000-08-01 2003-08-08 Sidel Sa BARRIER COATING DEPOSITED BY PLASMA COMPRISING AN INTERFACE LAYER, METHOD FOR OBTAINING SUCH A COATING AND CONTAINER COATED WITH SUCH A COATING
US6450117B1 (en) 2000-08-07 2002-09-17 Applied Materials, Inc. Directing a flow of gas in a substrate processing chamber
US6712929B1 (en) 2000-08-08 2004-03-30 Lam Research Corporation Deformation reduction at the main chamber
US7223676B2 (en) 2002-06-05 2007-05-29 Applied Materials, Inc. Very low temperature CVD process with independently variable conformality, stress and composition of the CVD layer
US7166524B2 (en) 2000-08-11 2007-01-23 Applied Materials, Inc. Method for ion implanting insulator material to reduce dielectric constant
US7465478B2 (en) 2000-08-11 2008-12-16 Applied Materials, Inc. Plasma immersion ion implantation process
KR100373853B1 (en) 2000-08-11 2003-02-26 삼성전자주식회사 Selective epitaxial growth method in semiconductor device
US20020136214A1 (en) 2000-08-14 2002-09-26 Consumer Direct Link Pervasive computing network architecture
US6437290B1 (en) 2000-08-17 2002-08-20 Tokyo Electron Limited Heat treatment apparatus having a thin light-transmitting window
AU2001278749A1 (en) 2000-08-18 2002-03-04 Tokyo Electron Limited Low-dielectric silicon nitride film and method of forming the same, semiconductor device and fabrication process thereof
US6451692B1 (en) 2000-08-18 2002-09-17 Micron Technology, Inc. Preheating of chemical vapor deposition precursors
US6630053B2 (en) 2000-08-22 2003-10-07 Asm Japan K.K. Semiconductor processing module and apparatus
JP4150493B2 (en) 2000-08-22 2008-09-17 株式会社東芝 Temperature measuring method in pattern drawing apparatus
JP4365017B2 (en) 2000-08-23 2009-11-18 東京エレクトロン株式会社 Method for controlling temperature drop rate of heat treatment apparatus and heat treatment apparatus
US6566278B1 (en) 2000-08-24 2003-05-20 Applied Materials Inc. Method for densification of CVD carbon-doped silicon oxide films through UV irradiation
EP1251551A1 (en) 2000-08-30 2002-10-23 Ibiden Co., Ltd. Ceramic heater for semiconductor manufacturing and inspecting equipment
US6494998B1 (en) 2000-08-30 2002-12-17 Tokyo Electron Limited Process apparatus and method for improving plasma distribution and performance in an inductively coupled plasma using an internal inductive element
US6784108B1 (en) 2000-08-31 2004-08-31 Micron Technology, Inc. Gas pulsing for etch profile control
KR20020019414A (en) 2000-09-05 2002-03-12 엔도 마코토 Substrate processing apparatus and method for manufacturing a semiconductor device by using the substrate processing apparatus
JP4232330B2 (en) 2000-09-22 2009-03-04 東京エレクトロン株式会社 Excited gas forming apparatus, processing apparatus and processing method
USD449873S1 (en) 2000-09-22 2001-10-30 James Bronson Garbage disposal strainer and splash guard
US20030143846A1 (en) 2000-09-25 2003-07-31 Akira Sekiya Gas compositions for cleaning the interiors of reactors as well as for etching films of silicon- containing compounds
JP3929261B2 (en) 2000-09-25 2007-06-13 株式会社日立国際電気 Substrate processing apparatus and substrate processing method
US6494065B2 (en) 2000-09-26 2002-12-17 Babbitt Steam Specialty Company Valve lockout/tag out system
US6492625B1 (en) 2000-09-27 2002-12-10 Emcore Corporation Apparatus and method for controlling temperature uniformity of substrates
US6632068B2 (en) 2000-09-27 2003-10-14 Asm International N.V. Wafer handling system
JP5290488B2 (en) 2000-09-28 2013-09-18 プレジデント アンド フェロウズ オブ ハーバード カレッジ Vapor growth of oxides, silicates and phosphates
US6370796B1 (en) 2000-09-29 2002-04-16 Sony Corporation Heater block cooling system for wafer processing apparatus
AU146326S (en) 2000-09-29 2001-12-18 American Standard Int Inc Faucet
US6578893B2 (en) 2000-10-02 2003-06-17 Ajs Automation, Inc. Apparatus and methods for handling semiconductor wafers
KR100492906B1 (en) 2000-10-04 2005-06-02 주식회사 하이닉스반도체 Method of forming dielectric layer in semiconductor device
JP2002110570A (en) 2000-10-04 2002-04-12 Asm Japan Kk Gas line system for semiconductor manufacturing apparatus
US6745095B1 (en) 2000-10-04 2004-06-01 Applied Materials, Inc. Detection of process endpoint through monitoring fluctuation of output data
JP3572247B2 (en) 2000-10-06 2004-09-29 東芝セラミックス株式会社 Gas inlet pipe for semiconductor heat treatment furnace
US6660660B2 (en) 2000-10-10 2003-12-09 Asm International, Nv. Methods for making a dielectric stack in an integrated circuit
US7204887B2 (en) 2000-10-16 2007-04-17 Nippon Steel Corporation Wafer holding, wafer support member, wafer boat and heat treatment furnace
TW541425B (en) 2000-10-20 2003-07-11 Ebara Corp Frequency measuring device, polishing device using the same and eddy current sensor
TW548239B (en) 2000-10-23 2003-08-21 Asm Microchemistry Oy Process for producing aluminium oxide films at low temperatures
JP4156788B2 (en) 2000-10-23 2008-09-24 日本碍子株式会社 Susceptor for semiconductor manufacturing equipment
US6395650B1 (en) 2000-10-23 2002-05-28 International Business Machines Corporation Methods for forming metal oxide layers with enhanced purity
US6688784B1 (en) 2000-10-25 2004-02-10 Advanced Micro Devices, Inc. Parallel plate development with multiple holes in top plate for control of developer flow and pressure
US6824665B2 (en) 2000-10-25 2004-11-30 Shipley Company, L.L.C. Seed layer deposition
JP3408527B2 (en) 2000-10-26 2003-05-19 松下電器産業株式会社 Method for manufacturing semiconductor device
JP3910821B2 (en) 2000-10-26 2007-04-25 東京エレクトロン株式会社 Substrate processing equipment
US6445574B1 (en) 2000-10-30 2002-09-03 Motorola, Inc. Electronic device
US6498091B1 (en) 2000-11-01 2002-12-24 Applied Materials, Inc. Method of using a barrier sputter reactor to remove an underlying barrier layer
US7032614B2 (en) 2000-11-03 2006-04-25 Applied Materials, Inc. Facilities connection box for pre-facilitation of wafer fabrication equipment
US6649540B2 (en) 2000-11-09 2003-11-18 The Boc Group, Inc. Organosilane CVD precursors and their use for making organosilane polymer low-k dielectric film
JP4669605B2 (en) 2000-11-20 2011-04-13 東京エレクトロン株式会社 Cleaning method for semiconductor manufacturing equipment
JP2002158178A (en) 2000-11-21 2002-05-31 Hitachi Kokusai Electric Inc Substrate processing apparatus and method of manufacturing semiconductor device
US6689220B1 (en) 2000-11-22 2004-02-10 Simplus Systems Corporation Plasma enhanced pulsed layer deposition
US6613695B2 (en) 2000-11-24 2003-09-02 Asm America, Inc. Surface preparation prior to deposition
US20020064592A1 (en) 2000-11-29 2002-05-30 Madhav Datta Electroless method of seed layer depostion, repair, and fabrication of Cu interconnects
KR100688484B1 (en) 2000-11-30 2007-02-28 삼성전자주식회사 Apparatus of treating substrate using activated oxygen and method thereof
JP3610900B2 (en) 2000-11-30 2005-01-19 東京エレクトロン株式会社 Heat treatment equipment
US20020069222A1 (en) 2000-12-01 2002-06-06 Wiznet, Inc. System and method for placing active tags in HTML document
JP3939101B2 (en) 2000-12-04 2007-07-04 株式会社荏原製作所 Substrate transport method and substrate transport container
JP3650025B2 (en) 2000-12-04 2005-05-18 シャープ株式会社 Plasma process equipment
US6913152B2 (en) 2000-12-04 2005-07-05 Peter Zuk, Jr. Disposable vacuum filtration apparatus capable of detecting microorganisms and particulates in liquid samples
WO2002047142A1 (en) 2000-12-05 2002-06-13 Tokyo Electron Limited Method and apparatus for treating article to be treated
JP2002237375A (en) 2000-12-05 2002-08-23 Ibiden Co Ltd Ceramic plate for semiconductor manufacturing/testing device, and manufacturing method of the same
US20020197402A1 (en) 2000-12-06 2002-12-26 Chiang Tony P. System for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US7871676B2 (en) 2000-12-06 2011-01-18 Novellus Systems, Inc. System for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US20020104481A1 (en) 2000-12-06 2002-08-08 Chiang Tony P. System and method for modulated ion-induced atomic layer deposition (MII-ALD)
US6878402B2 (en) 2000-12-06 2005-04-12 Novellus Systems, Inc. Method and apparatus for improved temperature control in atomic layer deposition
US6428859B1 (en) 2000-12-06 2002-08-06 Angstron Systems, Inc. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6949450B2 (en) 2000-12-06 2005-09-27 Novellus Systems, Inc. Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber
KR100385947B1 (en) 2000-12-06 2003-06-02 삼성전자주식회사 Method of forming thin film by atomic layer deposition
US6930041B2 (en) 2000-12-07 2005-08-16 Micron Technology, Inc. Photo-assisted method for semiconductor fabrication
US6576564B2 (en) 2000-12-07 2003-06-10 Micron Technology, Inc. Photo-assisted remote plasma apparatus and method
US6413321B1 (en) 2000-12-07 2002-07-02 Applied Materials, Inc. Method and apparatus for reducing particle contamination on wafer backside during CVD process
TWI313059B (en) 2000-12-08 2009-08-01 Sony Corporatio
US7482283B2 (en) 2000-12-12 2009-01-27 Tokyo Electron Limited Thin film forming method and thin film forming device
US6692903B2 (en) 2000-12-13 2004-02-17 Applied Materials, Inc Substrate cleaning apparatus and method
US6814096B2 (en) 2000-12-15 2004-11-09 Nor-Cal Products, Inc. Pressure controller and method
US20020076507A1 (en) 2000-12-15 2002-06-20 Chiang Tony P. Process sequence for atomic layer deposition
US6800173B2 (en) 2000-12-15 2004-10-05 Novellus Systems, Inc. Variable gas conductance control for a process chamber
US6641673B2 (en) 2000-12-20 2003-11-04 General Electric Company Fluid injector for and method of prolonged delivery and distribution of reagents into plasma
US6544906B2 (en) 2000-12-21 2003-04-08 Texas Instruments Incorporated Annealing of high-k dielectric materials
US7015422B2 (en) 2000-12-21 2006-03-21 Mattson Technology, Inc. System and process for heating semiconductor wafers by optimizing absorption of electromagnetic energy
US6634882B2 (en) 2000-12-22 2003-10-21 Asm America, Inc. Susceptor pocket profile to improve process performance
US20020152244A1 (en) 2000-12-22 2002-10-17 International Business Machines Corporation Method and apparatus to dynamically create a customized user interface based on a document type definition
US20020151327A1 (en) 2000-12-22 2002-10-17 David Levitt Program selector and guide system and method
JP5068402B2 (en) 2000-12-28 2012-11-07 公益財団法人国際科学振興財団 Dielectric film and method for forming the same, semiconductor device, nonvolatile semiconductor memory device, and method for manufacturing semiconductor device
US6533910B2 (en) 2000-12-29 2003-03-18 Lam Research Corporation Carbonitride coated component of semiconductor processing equipment and method of manufacturing thereof
US6537429B2 (en) 2000-12-29 2003-03-25 Lam Research Corporation Diamond coatings on reactor wall and method of manufacturing thereof
US6398184B1 (en) 2000-12-29 2002-06-04 General Signal Corporation Lock device and lock method for knife gate valves
US7172497B2 (en) 2001-01-05 2007-02-06 Asm Nutool, Inc. Fabrication of semiconductor interconnect structures
US6572923B2 (en) 2001-01-12 2003-06-03 The Boc Group, Inc. Asymmetric organocyclosiloxanes and their use for making organosilicon polymer low-k dielectric film
JP4633269B2 (en) 2001-01-15 2011-02-16 株式会社日立国際電気 Substrate processing apparatus and semiconductor device manufacturing method
US6583048B2 (en) 2001-01-17 2003-06-24 Air Products And Chemicals, Inc. Organosilicon precursors for interlayer dielectric films with low dielectric constants
JP3625197B2 (en) 2001-01-18 2005-03-02 東京エレクトロン株式会社 Plasma apparatus and plasma generation method
US7087482B2 (en) 2001-01-19 2006-08-08 Samsung Electronics Co., Ltd. Method of forming material using atomic layer deposition and method of forming capacitor of semiconductor device using the same
CN100477113C (en) 2001-01-22 2009-04-08 东京毅力科创株式会社 Method for producing material of electronic device
JP4644943B2 (en) 2001-01-23 2011-03-09 東京エレクトロン株式会社 Processing equipment
JP4429300B2 (en) 2001-01-25 2010-03-10 東京エレクトロン株式会社 Manufacturing method of electronic device material
JP4334225B2 (en) 2001-01-25 2009-09-30 東京エレクトロン株式会社 Manufacturing method of electronic device material
US6660662B2 (en) 2001-01-26 2003-12-09 Applied Materials, Inc. Method of reducing plasma charge damage for plasma processes
KR20020064028A (en) 2001-01-31 2002-08-07 한빛 세마텍(주) Cleaning and surface treatment equipment by pulsed ultra-violet light radiation
US7371633B2 (en) 2001-02-02 2008-05-13 Samsung Electronics Co., Ltd. Dielectric layer for semiconductor device and method of manufacturing the same
WO2002063535A2 (en) 2001-02-07 2002-08-15 Exalt Solutions, Inc. Intelligent multimedia e-catalog
US6844273B2 (en) 2001-02-07 2005-01-18 Tokyo Electron Limited Precleaning method of precleaning a silicon nitride film forming system
JP3626933B2 (en) 2001-02-08 2005-03-09 東京エレクトロン株式会社 Manufacturing method of substrate mounting table
US6589868B2 (en) 2001-02-08 2003-07-08 Applied Materials, Inc. Si seasoning to reduce particles, extend clean frequency, block mobile ions and increase chamber throughput
JP2005033221A (en) 2001-02-08 2005-02-03 Tokyo Electron Ltd Substrate mounting stand and processor
EP1421607A2 (en) 2001-02-12 2004-05-26 ASM America, Inc. Improved process for deposition of semiconductor films
US20020108670A1 (en) 2001-02-12 2002-08-15 Baker John Eric High purity chemical container with external level sensor and removable dip tube
US7072061B2 (en) 2001-02-13 2006-07-04 Ariba, Inc. Method and system for extracting information from RFQ documents and compressing RFQ files into a common RFQ file type
US6613656B2 (en) 2001-02-13 2003-09-02 Micron Technology, Inc. Sequential pulse deposition
JP4133333B2 (en) 2001-02-15 2008-08-13 東京エレクトロン株式会社 Method of processing object and processing apparatus thereof
KR100410991B1 (en) 2001-02-22 2003-12-18 삼성전자주식회사 Loadport for semiconductor processing apparatus
US6632478B2 (en) 2001-02-22 2003-10-14 Applied Materials, Inc. Process for forming a low dielectric constant carbon-containing film
JP3494435B2 (en) 2001-02-27 2004-02-09 東京エレクトロン株式会社 Substrate processing equipment
TW544775B (en) 2001-02-28 2003-08-01 Japan Pionics Chemical vapor deposition apparatus and chemical vapor deposition method
US6852167B2 (en) 2001-03-01 2005-02-08 Micron Technology, Inc. Methods, systems, and apparatus for uniform chemical-vapor depositions
US6878206B2 (en) 2001-07-16 2005-04-12 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US20020123237A1 (en) 2001-03-05 2002-09-05 Tue Nguyen Plasma pulse semiconductor processing system and method
JP4487135B2 (en) 2001-03-05 2010-06-23 東京エレクトロン株式会社 Fluid control device
US7491634B2 (en) 2006-04-28 2009-02-17 Asm International N.V. Methods for forming roughened surfaces and applications thereof
US7563715B2 (en) 2005-12-05 2009-07-21 Asm International N.V. Method of producing thin films
US6939579B2 (en) 2001-03-07 2005-09-06 Asm International N.V. ALD reactor and method with controlled wall temperature
US6447651B1 (en) 2001-03-07 2002-09-10 Applied Materials, Inc. High-permeability magnetic shield for improved process uniformity in nonmagnetized plasma process chambers
US7111232B1 (en) 2001-03-07 2006-09-19 Thomas Layne Bascom Method and system for making document objects available to users of a network
US6855037B2 (en) 2001-03-12 2005-02-15 Asm-Nutool, Inc. Method of sealing wafer backside for full-face electrochemical plating
US6939206B2 (en) 2001-03-12 2005-09-06 Asm Nutool, Inc. Method and apparatus of sealing wafer backside for full-face electrochemical plating
US7186648B1 (en) 2001-03-13 2007-03-06 Novellus Systems, Inc. Barrier first method for single damascene trench applications
US20020129768A1 (en) 2001-03-15 2002-09-19 Carpenter Craig M. Chemical vapor deposition apparatuses and deposition methods
US7348042B2 (en) 2001-03-19 2008-03-25 Novellus Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
JP3912993B2 (en) 2001-03-26 2007-05-09 株式会社荏原製作所 Neutral particle beam processing equipment
JP4073174B2 (en) 2001-03-26 2008-04-09 株式会社荏原製作所 Neutral particle beam processing equipment
US6716571B2 (en) 2001-03-28 2004-04-06 Advanced Micro Devices, Inc. Selective photoresist hardening to facilitate lateral trimming
JP4727057B2 (en) 2001-03-28 2011-07-20 忠弘 大見 Plasma processing equipment
US6583572B2 (en) 2001-03-30 2003-06-24 Lam Research Corporation Inductive plasma processor including current sensor for plasma excitation coil
US6723654B2 (en) 2001-03-30 2004-04-20 Taiwan Semiconductor Manufacturing Co., Ltd Method and apparatus for in-situ descum/hot bake/dry etch photoresist/polyimide layer
TW540093B (en) 2001-04-05 2003-07-01 Angstron Systems Inc Atomic layer deposition system and method
US6902622B2 (en) 2001-04-12 2005-06-07 Mattson Technology, Inc. Systems and methods for epitaxially depositing films on a semiconductor substrate
US6448192B1 (en) 2001-04-16 2002-09-10 Motorola, Inc. Method for forming a high dielectric constant material
US6521295B1 (en) 2001-04-17 2003-02-18 Pilkington North America, Inc. Chemical vapor deposition of antimony-doped metal oxide and the coated article made thereby
JP2002317287A (en) 2001-04-18 2002-10-31 Permelec Electrode Ltd Electrolytic cell for preparation of hydrogen peroxide and method for producing hydrogen peroxide
US7125783B2 (en) 2001-04-18 2006-10-24 Integrated Device Technology, Inc. Dielectric anti-reflective coating surface treatment to prevent defect generation in associated wet clean
US6482331B2 (en) 2001-04-18 2002-11-19 Taiwan Semiconductor Manufacturing Co., Ltd. Method for preventing contamination in a plasma process chamber
TW538327B (en) 2001-04-24 2003-06-21 Unit Instr Inc System and method for a mass flow controller
US6753507B2 (en) 2001-04-27 2004-06-22 Kyocera Corporation Wafer heating apparatus
US20030019428A1 (en) 2001-04-28 2003-01-30 Applied Materials, Inc. Chemical vapor deposition chamber
US6847014B1 (en) 2001-04-30 2005-01-25 Lam Research Corporation Method and apparatus for controlling the spatial temperature distribution across the surface of a workpiece support
US6528430B2 (en) 2001-05-01 2003-03-04 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing Si2C16 and NH3
US6864041B2 (en) 2001-05-02 2005-03-08 International Business Machines Corporation Gate linewidth tailoring and critical dimension control for sub-100 nm devices using plasma etching
US6627268B1 (en) 2001-05-03 2003-09-30 Novellus Systems, Inc. Sequential ion, UV, and electron induced chemical vapor deposition
US6602800B2 (en) 2001-05-09 2003-08-05 Asm Japan K.K. Apparatus for forming thin film on semiconductor substrate by plasma reaction
KR20020086763A (en) 2001-05-10 2002-11-20 주식회사 엘지이아이 Thermocouple for continuous polymerizing system using plasma
US6596653B2 (en) 2001-05-11 2003-07-22 Applied Materials, Inc. Hydrogen assisted undoped silicon oxide deposition process for HDP-CVD
JP2003053688A (en) 2001-05-15 2003-02-26 Fanuc Robotics North America Inc Robot system having teach pendant
DE10156441A1 (en) 2001-05-18 2002-11-21 Mattson Thermal Products Gmbh Device to receive semiconductor wafers for thermal treatment comprises a support with recesses for holding the wafers
JP2002343790A (en) 2001-05-21 2002-11-29 Nec Corp Vapor-phase deposition method of metallic compound thin film and method for manufacturing semiconductor device
US7262125B2 (en) 2001-05-22 2007-08-28 Novellus Systems, Inc. Method of forming low-resistivity tungsten interconnects
US6528767B2 (en) 2001-05-22 2003-03-04 Applied Materials, Inc. Pre-heating and load lock pedestal material for high temperature CVD liquid crystal and flat panel display applications
US7037574B2 (en) 2001-05-23 2006-05-02 Veeco Instruments, Inc. Atomic layer deposition for fabricating thin films
US6810886B2 (en) 2001-05-24 2004-11-02 Applied Materials, Inc. Chamber cleaning via rapid thermal process during a cleaning period
US20020181612A1 (en) 2001-05-29 2002-12-05 Motorola, Inc. Monolithic, software-definable circuit including a power amplifier and method for use therewith
US7159597B2 (en) 2001-06-01 2007-01-09 Applied Materials, Inc. Multistep remote plasma clean process
US6758909B2 (en) 2001-06-05 2004-07-06 Honeywell International Inc. Gas port sealing for CVD/CVI furnace hearth plates
GB0113735D0 (en) 2001-06-05 2001-07-25 Holset Engineering Co Mixing fluid streams
JP3421329B2 (en) 2001-06-08 2003-06-30 東京エレクトロン株式会社 Cleaning method for thin film forming equipment
US6472266B1 (en) 2001-06-18 2002-10-29 Taiwan Semiconductor Manufacturing Company Method to reduce bit line capacitance in cub drams
US6955928B1 (en) 2001-06-18 2005-10-18 Advanced Micro Devices, Inc. Closed loop residual gas analyzer process control technique
US6391803B1 (en) 2001-06-20 2002-05-21 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane
DE10129630A1 (en) 2001-06-20 2003-01-02 Philips Corp Intellectual Pty Low pressure gas discharge lamp with fluorescent coating
US6709989B2 (en) 2001-06-21 2004-03-23 Motorola, Inc. Method for fabricating a semiconductor structure including a metal oxide interface with silicon
US6658933B2 (en) 2001-06-22 2003-12-09 Clesse Industries Fill-level indicator for a liquefied-petroleum-gas tank
US6514313B1 (en) 2001-06-22 2003-02-04 Aeronex, Inc. Gas purification system and method
US20030002562A1 (en) 2001-06-27 2003-01-02 Yerlikaya Y. Denis Temperature probe adapter
KR20030001939A (en) 2001-06-28 2003-01-08 동부전자 주식회사 Method And Apparatus For Manufacturing Barrier Layer Of Semiconductor Device
US6420279B1 (en) 2001-06-28 2002-07-16 Sharp Laboratories Of America, Inc. Methods of using atomic layer deposition to deposit a high dielectric constant material on a substrate
US20030003696A1 (en) 2001-06-29 2003-01-02 Avgerinos Gelatos Method and apparatus for tuning a plurality of processing chambers
JP3708031B2 (en) 2001-06-29 2005-10-19 株式会社日立製作所 Plasma processing apparatus and processing method
US20030000647A1 (en) 2001-06-29 2003-01-02 Applied Materials, Inc. Substrate processing chamber
TW539822B (en) 2001-07-03 2003-07-01 Asm Inc Source chemical container assembly
DE10133013C2 (en) 2001-07-06 2003-07-03 Karlsruhe Forschzent Closure for cavities or feedthroughs
US20030013314A1 (en) 2001-07-06 2003-01-16 Chentsau Ying Method of reducing particulates in a plasma etch chamber during a metal etch process
JP4133810B2 (en) 2001-07-10 2008-08-13 東京エレクトロン株式会社 Dry etching method
US6746308B1 (en) 2001-07-11 2004-06-08 Advanced Micro Devices, Inc. Dynamic lot allocation based upon wafer state characteristics, and system for accomplishing same
US6868856B2 (en) 2001-07-13 2005-03-22 Applied Materials, Inc. Enhanced remote plasma cleaning
US20030017266A1 (en) 2001-07-13 2003-01-23 Cem Basceri Chemical vapor deposition methods of forming barium strontium titanate comprising dielectric layers, including such layers having a varied concentration of barium and strontium within the layer
US6838122B2 (en) 2001-07-13 2005-01-04 Micron Technology, Inc. Chemical vapor deposition methods of forming barium strontium titanate comprising dielectric layers
KR100400044B1 (en) 2001-07-16 2003-09-29 삼성전자주식회사 Shower head of wafer treatment apparatus having gap controller
US20030017268A1 (en) 2001-07-18 2003-01-23 Applied Materials, Inc. .method of cvd titanium nitride film deposition for increased titanium nitride film uniformity
JP3926588B2 (en) 2001-07-19 2007-06-06 キヤノンマーケティングジャパン株式会社 Manufacturing method of semiconductor device
FR2827682B1 (en) 2001-07-20 2004-04-02 Gemplus Card Int PRESSURE REGULATION BY TRANSFER OF A CALIBRATED GAS VOLUME
US6712949B2 (en) 2001-07-22 2004-03-30 The Electrosynthesis Company, Inc. Electrochemical synthesis of hydrogen peroxide
US6677254B2 (en) 2001-07-23 2004-01-13 Applied Materials, Inc. Processes for making a barrier between a dielectric and a conductor and products produced therefrom
JP2003035574A (en) 2001-07-23 2003-02-07 Mitsubishi Heavy Ind Ltd Responsive sensor and application measurement system
US20080268635A1 (en) 2001-07-25 2008-10-30 Sang-Ho Yu Process for forming cobalt and cobalt silicide materials in copper contact applications
US6638839B2 (en) 2001-07-26 2003-10-28 The University Of Toledo Hot-filament chemical vapor deposition chamber and process with multiple gas inlets
US7085616B2 (en) 2001-07-27 2006-08-01 Applied Materials, Inc. Atomic layer deposition apparatus
US6435865B1 (en) 2001-07-30 2002-08-20 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus and method for positioning gas injectors in a vertical furnace
EP1460678A4 (en) 2001-07-31 2010-01-06 Air Liquide Method and apparatus for cleaning and method and apparatus for etching
JP4236882B2 (en) 2001-08-01 2009-03-11 東京エレクトロン株式会社 Gas processing apparatus and gas processing method
JP3958539B2 (en) 2001-08-02 2007-08-15 東京エレクトロン株式会社 Substrate processing apparatus and substrate processing method
US6896929B2 (en) 2001-08-03 2005-05-24 Applied Materials, Inc. Susceptor shaft vacuum pumping
JP4921652B2 (en) 2001-08-03 2012-04-25 エイエスエム インターナショナル エヌ.ヴェー. Method for depositing yttrium oxide and lanthanum oxide thin films
US6678583B2 (en) 2001-08-06 2004-01-13 Seminet, Inc. Robotic storage buffer system for substrate carrier pods
JP4666912B2 (en) 2001-08-06 2011-04-06 エー・エス・エムジニテックコリア株式会社 Plasma reinforced atomic layer deposition apparatus and thin film forming method using the same
JP2003060012A (en) 2001-08-08 2003-02-28 Asm Japan Kk Reaction chamber for semiconductor treatment
JP3775262B2 (en) 2001-08-09 2006-05-17 ヤマハ株式会社 Electronic musical instrument and electronic musical instrument system
US6734111B2 (en) 2001-08-09 2004-05-11 Comlase Ab Method to GaAs based lasers and a GaAs based laser
TW559905B (en) 2001-08-10 2003-11-01 Toshiba Corp Vertical chemical vapor deposition system cross-reference to related applications
US20030029563A1 (en) 2001-08-10 2003-02-13 Applied Materials, Inc. Corrosion resistant coating for semiconductor processing chamber
US6531412B2 (en) 2001-08-10 2003-03-11 International Business Machines Corporation Method for low temperature chemical vapor deposition of low-k films using selected cyclosiloxane and ozone gases for semiconductor applications
JP2003059999A (en) 2001-08-14 2003-02-28 Tokyo Electron Ltd Treating system
US6820570B2 (en) 2001-08-15 2004-11-23 Nobel Biocare Services Ag Atomic layer deposition reactor
US20030035002A1 (en) 2001-08-15 2003-02-20 Samsung Electronics Co., Ltd. Alternate interpretation of markup language documents
USD699816S1 (en) 2001-08-17 2014-02-18 Neoperl Gmbh Stream straightener for faucet
JP2003060076A (en) 2001-08-21 2003-02-28 Nec Corp Semiconductor device and manufacturing method therefor
KR100604751B1 (en) 2001-08-24 2006-07-26 주식회사 하이닉스반도체 Photoresist copolymer for preventing acid diffusion, and photoresist composition comprising the same
US20030037800A1 (en) 2001-08-27 2003-02-27 Applied Materials, Inc. Method for removing contamination particles from substrate processing chambers
KR20030018134A (en) 2001-08-27 2003-03-06 한국전자통신연구원 Method of forming an insulation layer of a semiconductor device for controlling the composition and the doping concentration
JP3886424B2 (en) 2001-08-28 2007-02-28 鹿児島日本電気株式会社 Substrate processing apparatus and method
JP2003077782A (en) 2001-08-31 2003-03-14 Toshiba Corp Manufacturing method for semiconductor device
JP3832293B2 (en) 2001-08-31 2006-10-11 株式会社ダイフク Load storage equipment
JP3832294B2 (en) 2001-08-31 2006-10-11 株式会社ダイフク Load storage equipment
JP2003077845A (en) 2001-09-05 2003-03-14 Hitachi Kokusai Electric Inc Manufacturing method of semiconductor device and substrate treatment apparatus
JP4460803B2 (en) 2001-09-05 2010-05-12 パナソニック株式会社 Substrate surface treatment method
JP2003158127A (en) 2001-09-07 2003-05-30 Arieesu Gijutsu Kenkyu Kk Method and device for forming film and semiconductor device
US6521547B1 (en) 2001-09-07 2003-02-18 United Microelectronics Corp. Method of repairing a low dielectric constant material layer
US6756318B2 (en) 2001-09-10 2004-06-29 Tegal Corporation Nanolayer thick film processing system and method
US9708707B2 (en) 2001-09-10 2017-07-18 Asm International N.V. Nanolayer deposition using bias power treatment
JP4094262B2 (en) 2001-09-13 2008-06-04 住友大阪セメント株式会社 Adsorption fixing device and manufacturing method thereof
US6756085B2 (en) 2001-09-14 2004-06-29 Axcelis Technologies, Inc. Ultraviolet curing processes for advanced low-k materials
AU2002333601A1 (en) 2001-09-14 2003-04-01 Asm America, Inc. Metal nitride deposition by ald using gettering reactant
US6541370B1 (en) 2001-09-17 2003-04-01 Taiwan Semiconductor Manufacturing Co., Ltd. Composite microelectronic dielectric layer with inhibited crack susceptibility
JP2003100717A (en) 2001-09-21 2003-04-04 Tokyo Electron Ltd Plasma treatment apparatus
US20030059535A1 (en) 2001-09-25 2003-03-27 Lee Luo Cycling deposition of low temperature films in a cold wall single wafer process chamber
US6607976B2 (en) 2001-09-25 2003-08-19 Applied Materials, Inc. Copper interconnect barrier layer structure and formation method
US7049226B2 (en) 2001-09-26 2006-05-23 Applied Materials, Inc. Integration of ALD tantalum nitride for copper metallization
US6782305B2 (en) 2001-10-01 2004-08-24 Massachusetts Institute Of Technology Method of geometric information sharing and parametric consistency maintenance in a collaborative design environment
US6960537B2 (en) 2001-10-02 2005-11-01 Asm America, Inc. Incorporation of nitrogen into high k dielectric film
US6720259B2 (en) 2001-10-02 2004-04-13 Genus, Inc. Passivation method for improved uniformity and repeatability for atomic layer deposition and chemical vapor deposition
KR100431658B1 (en) 2001-10-05 2004-05-17 삼성전자주식회사 Apparatus for heating a substrate and apparatus having the same
US6656282B2 (en) 2001-10-11 2003-12-02 Moohan Co., Ltd. Atomic layer deposition apparatus and process using remote plasma
US6461436B1 (en) 2001-10-15 2002-10-08 Micron Technology, Inc. Apparatus and process of improving atomic layer deposition chamber performance
US6936183B2 (en) 2001-10-17 2005-08-30 Applied Materials, Inc. Etch process for etching microstructures
JP2003133299A (en) 2001-10-24 2003-05-09 Oki Electric Ind Co Ltd Apparatus and method for manufacturing semiconductor
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US20080102203A1 (en) 2001-10-26 2008-05-01 Dien-Yeh Wu Vortex chamber lids for atomic layer deposition
WO2003035927A2 (en) 2001-10-26 2003-05-01 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US7780785B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US20080102208A1 (en) 2001-10-26 2008-05-01 Dien-Yeh Wu Vortex chamber lids for atomic layer deposition
JP2003133300A (en) 2001-10-26 2003-05-09 Tokyo Electron Ltd Apparatus and method for forming film
US7780789B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Vortex chamber lids for atomic layer deposition
US6902624B2 (en) 2001-10-29 2005-06-07 Genus, Inc. Massively parallel atomic layer deposition/chemical vapor deposition system
WO2003041140A1 (en) 2001-11-05 2003-05-15 Eugene Technology Co., Ltd. Apparatus of chemical vapor deposition
US20040253867A1 (en) 2001-11-05 2004-12-16 Shuzo Matsumoto Circuit part connector structure and gasket
KR100782529B1 (en) 2001-11-08 2007-12-06 에이에스엠지니텍코리아 주식회사 Apparatus for depositing
KR100481307B1 (en) 2001-11-08 2005-04-07 삼성전자주식회사 Cassette table for semiconductor fabrication apparatus
KR100760291B1 (en) 2001-11-08 2007-09-19 에이에스엠지니텍코리아 주식회사 Method for forming thin film
WO2003040150A1 (en) 2001-11-09 2003-05-15 Yun Chi Volatile noble metal organometallic complexes
US6975921B2 (en) 2001-11-09 2005-12-13 Asm International Nv Graphical representation of a wafer processing process
KR20030039247A (en) 2001-11-12 2003-05-17 주성엔지니어링(주) Susceptor
US20040010772A1 (en) 2001-11-13 2004-01-15 General Electric Company Interactive method and system for faciliting the development of computer software applications
AU2002343029A1 (en) 2001-11-16 2003-06-10 Trikon Holdings Limited Forming low k dielectric layers
JP2003153706A (en) 2001-11-20 2003-05-27 Toyobo Co Ltd Female material for hook-and-loop fastener and production method thereof
US6926774B2 (en) 2001-11-21 2005-08-09 Applied Materials, Inc. Piezoelectric vaporizer
KR100588774B1 (en) 2001-11-26 2006-06-14 주성엔지니어링(주) Wafer susceptor
USD461233S1 (en) 2001-11-29 2002-08-06 James Michael Whalen Marine deck drain strainer
EP1463121B1 (en) 2001-11-30 2011-04-20 Panasonic Corporation Semiconductor device and production method therefor
JP4116283B2 (en) 2001-11-30 2008-07-09 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード Hexakis (monohydrocarbylamino) disilane and process for producing the same
US7017514B1 (en) 2001-12-03 2006-03-28 Novellus Systems, Inc. Method and apparatus for plasma optimization in water processing
US6638879B2 (en) 2001-12-06 2003-10-28 Macronix International Co., Ltd. Method for forming nitride spacer by using atomic layer deposition
KR100641762B1 (en) 2001-12-07 2006-11-06 동경 엘렉트론 주식회사 Nitriding method for insulation film, semiconductor device and production method for semiconductor device, substrate treating device and substrate treating method
KR100446619B1 (en) 2001-12-14 2004-09-04 삼성전자주식회사 Inductively coupled plasma system
US6699784B2 (en) 2001-12-14 2004-03-02 Applied Materials Inc. Method for depositing a low k dielectric film (K>3.5) for hard mask application
SE0104252D0 (en) 2001-12-17 2001-12-17 Sintercast Ab New device
US20030111013A1 (en) 2001-12-19 2003-06-19 Oosterlaken Theodorus Gerardus Maria Method for the deposition of silicon germanium layers
US6841201B2 (en) 2001-12-21 2005-01-11 The Procter & Gamble Company Apparatus and method for treating a workpiece using plasma generated from microwave radiation
DE10163394A1 (en) 2001-12-21 2003-07-03 Aixtron Ag Method and device for depositing crystalline layers and on crystalline substrates
US20030116087A1 (en) 2001-12-21 2003-06-26 Nguyen Anh N. Chamber hardware design for titanium nitride atomic layer deposition
JP3891267B2 (en) 2001-12-25 2007-03-14 キヤノンアネルバ株式会社 Silicon oxide film manufacturing method
KR100442104B1 (en) 2001-12-27 2004-07-27 삼성전자주식회사 Method of fabricating semiconductor device having a capacitor
US20030124842A1 (en) 2001-12-27 2003-07-03 Applied Materials, Inc. Dual-gas delivery system for chemical vapor deposition processes
US20030124818A1 (en) 2001-12-28 2003-07-03 Applied Materials, Inc. Method and apparatus for forming silicon containing films
US6497734B1 (en) 2002-01-02 2002-12-24 Novellus Systems, Inc. Apparatus and method for enhanced degassing of semiconductor wafers for increased throughput
US6766260B2 (en) 2002-01-04 2004-07-20 Mks Instruments, Inc. Mass flow ratio system and method
US6942929B2 (en) 2002-01-08 2005-09-13 Nianci Han Process chamber having component with yttrium-aluminum coating
US7371467B2 (en) 2002-01-08 2008-05-13 Applied Materials, Inc. Process chamber component having electroplated yttrium containing coating
US6827815B2 (en) 2002-01-15 2004-12-07 Applied Materials, Inc. Showerhead assembly for a processing chamber
TWI262959B (en) 2002-01-15 2006-10-01 Tokyo Electron Ltd CVD method and apparatus for forming insulating film containing silicon
US6580050B1 (en) 2002-01-16 2003-06-17 Pace, Incorporated Soldering station with built-in self-calibration function
US7077913B2 (en) 2002-01-17 2006-07-18 Hitachi Kokusai Electric, Inc. Apparatus for fabricating a semiconductor device
JP4071968B2 (en) 2002-01-17 2008-04-02 東芝三菱電機産業システム株式会社 Gas supply system and gas supply method
JP4908738B2 (en) 2002-01-17 2012-04-04 サンデュー・テクノロジーズ・エルエルシー ALD method
US6760981B2 (en) 2002-01-18 2004-07-13 Speedline Technologies, Inc. Compact convection drying chamber for drying printed circuit boards and other electronic assemblies by enhanced evaporation
US6793733B2 (en) 2002-01-25 2004-09-21 Applied Materials Inc. Gas distribution showerhead
US6866746B2 (en) 2002-01-26 2005-03-15 Applied Materials, Inc. Clamshell and small volume chamber with fixed substrate support
US6998014B2 (en) 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US20030141820A1 (en) 2002-01-30 2003-07-31 Applied Materials, Inc. Method and apparatus for substrate processing
KR100450669B1 (en) 2002-01-30 2004-10-01 삼성전자주식회사 Ferroelectric memory device including oxygen penetration path and encapsulated barrier layer and fabrication method thereof
DE10203838B4 (en) 2002-01-31 2006-12-28 Infineon Technologies Ag Fluorine-containing photoresist with reaction anchors for a chemical amplification and improved copolymerization properties
US7115305B2 (en) 2002-02-01 2006-10-03 California Institute Of Technology Method of producing regular arrays of nano-scale objects using nano-structured block-copolymeric materials
KR100377095B1 (en) 2002-02-01 2003-03-20 Nexo Co Ltd Semiconductor fabrication apparatus using low energy plasma
US20080264443A1 (en) 2002-02-05 2008-10-30 Novellus Systems, Inc. Apparatus and methods for increasing the rate of solute concentration evolution in a supercritical process chamber
US6732006B2 (en) 2002-02-06 2004-05-04 Asm International Nv Method and system to process semiconductor wafers
US6899507B2 (en) 2002-02-08 2005-05-31 Asm Japan K.K. Semiconductor processing apparatus comprising chamber partitioned into reaction and transfer sections
US6777352B2 (en) 2002-02-11 2004-08-17 Applied Materials, Inc. Variable flow deposition apparatus and method in semiconductor substrate processing
US7479304B2 (en) 2002-02-14 2009-01-20 Applied Materials, Inc. Gas distribution plate fabricated from a solid yttrium oxide-comprising substrate
DE10207131B4 (en) 2002-02-20 2007-12-20 Infineon Technologies Ag Process for forming a hardmask in a layer on a flat disk
US6734090B2 (en) 2002-02-20 2004-05-11 International Business Machines Corporation Method of making an edge seal for a semiconductor device
JP2003243481A (en) 2002-02-21 2003-08-29 Asm Japan Kk Semiconductor manufacturing apparatus and maintenance method
US6787185B2 (en) 2002-02-25 2004-09-07 Micron Technology, Inc. Deposition methods for improved delivery of metastable species
NL1020054C2 (en) 2002-02-25 2003-09-05 Asm Int Device for treating wafers, provided with a measuring device box.
US6766545B2 (en) 2002-02-27 2004-07-27 B. Eugene Hodges Shower drain
US20030159653A1 (en) 2002-02-28 2003-08-28 Dando Ross S. Manifold assembly for feeding reactive precursors to substrate processing chambers
US20050063451A1 (en) 2002-02-28 2005-03-24 Shin-Etsu Handotai Co., Ltd Temperature measuring system, heating device using it and production method for semiconductor wafer, heat ray insulating translucent member, visible light reflection membner, exposure system-use reflection mirror and exposure system, and semiconductor device produced by using them and vetical heat treating device
TW200305228A (en) 2002-03-01 2003-10-16 Hitachi Int Electric Inc Heat treatment apparatus and a method for fabricating substrates
KR100449028B1 (en) 2002-03-05 2004-09-16 삼성전자주식회사 Method for forming thin film using ALD
KR100997699B1 (en) 2002-03-05 2010-12-02 가부시키가이샤 한도오따이 에네루기 켄큐쇼 Transistor
US6596973B1 (en) 2002-03-07 2003-07-22 Asm America, Inc. Pyrometer calibrated wafer temperature estimator
US20030168012A1 (en) 2002-03-07 2003-09-11 Hitoshi Tamura Plasma processing device and plasma processing method
EP1485513A2 (en) 2002-03-08 2004-12-15 Sundew Technologies, LLC Ald method and apparatus
US20030168174A1 (en) 2002-03-08 2003-09-11 Foree Michael Todd Gas cushion susceptor system
JP2003264186A (en) 2002-03-11 2003-09-19 Asm Japan Kk Cleaning method of treatment chamber in cvd device
US6753618B2 (en) 2002-03-11 2004-06-22 Micron Technology, Inc. MIM capacitor with metal nitride electrode materials and method of formation
US6835039B2 (en) 2002-03-15 2004-12-28 Asm International N.V. Method and apparatus for batch processing of wafers in a furnace
US6776849B2 (en) 2002-03-15 2004-08-17 Asm America, Inc. Wafer holder with peripheral lift ring
US6902395B2 (en) 2002-03-15 2005-06-07 Asm International, N.V. Multilevel pedestal for furnace
US6746240B2 (en) 2002-03-15 2004-06-08 Asm International N.V. Process tube support sleeve with circumferential channels
US6962644B2 (en) 2002-03-18 2005-11-08 Applied Materials, Inc. Tandem etch chamber plasma processing system
US20030173346A1 (en) 2002-03-18 2003-09-18 Renken Wayne Glenn System and method for heating and cooling wafer at accelerated rates
JP4157914B2 (en) 2002-03-20 2008-10-01 坂野 數仁 Temperature measuring apparatus and temperature measuring method
US6780787B2 (en) 2002-03-21 2004-08-24 Lam Research Corporation Low contamination components for semiconductor processing apparatus and methods for making components
US20030178145A1 (en) 2002-03-25 2003-09-25 Applied Materials, Inc. Closed hole edge lift pin and susceptor for wafer process chambers
JP4099092B2 (en) 2002-03-26 2008-06-11 東京エレクトロン株式会社 Substrate processing apparatus, substrate processing method, and high-speed rotary valve
US6825134B2 (en) 2002-03-26 2004-11-30 Applied Materials, Inc. Deposition of film layers by alternately pulsing a precursor and high frequency power in a continuous gas flow
US6800134B2 (en) 2002-03-26 2004-10-05 Micron Technology, Inc. Chemical vapor deposition methods and atomic layer deposition methods
JP4128383B2 (en) 2002-03-27 2008-07-30 東京エレクトロン株式会社 Processing apparatus and processing method
US6883733B1 (en) 2002-03-28 2005-04-26 Novellus Systems, Inc. Tapered post, showerhead design to improve mixing on dual plenum showerheads
AU2003228402A1 (en) 2002-03-28 2003-10-13 President And Fellows Of Harvard College Vapor deposition of silicon dioxide nanolaminates
DE10214066B4 (en) 2002-03-28 2007-02-01 Advanced Micro Devices, Inc., Sunnyvale Semiconductor device having a retrograde doping profile in a channel region and method of making the same
US6594550B1 (en) 2002-03-29 2003-07-15 Asm America, Inc. Method and system for using a buffer to track robotic movement
JP4001498B2 (en) 2002-03-29 2007-10-31 東京エレクトロン株式会社 Insulating film forming method and insulating film forming system
JP4106948B2 (en) 2002-03-29 2008-06-25 東京エレクトロン株式会社 Processed object jump detection device, process object jump detection method, plasma processing apparatus, and plasma processing method
US20030231698A1 (en) 2002-03-29 2003-12-18 Takatomo Yamaguchi Apparatus and method for fabricating a semiconductor device and a heat treatment apparatus
US6843858B2 (en) 2002-04-02 2005-01-18 Applied Materials, Inc. Method of cleaning a semiconductor processing chamber
KR100829327B1 (en) 2002-04-05 2008-05-13 가부시키가이샤 히다치 고쿠사이 덴키 Substrate processing apparatus and reaction tube
US20030188685A1 (en) 2002-04-08 2003-10-09 Applied Materials, Inc. Laser drilled surfaces for substrate processing chambers
US6875271B2 (en) 2002-04-09 2005-04-05 Applied Materials, Inc. Simultaneous cyclical deposition in different processing regions
KR20030081144A (en) 2002-04-11 2003-10-17 가부시키가이샤 히다치 고쿠사이 덴키 Vertical semiconductor manufacturing apparatus
JP4092937B2 (en) 2002-04-11 2008-05-28 松下電工株式会社 Plasma processing apparatus and plasma processing method
US7988833B2 (en) 2002-04-12 2011-08-02 Schneider Electric USA, Inc. System and method for detecting non-cathode arcing in a plasma generation apparatus
US6710312B2 (en) 2002-04-12 2004-03-23 B H Thermal Corporation Heating jacket assembly with field replaceable thermostat
US7279432B2 (en) 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
US8293001B2 (en) 2002-04-17 2012-10-23 Air Products And Chemicals, Inc. Porogens, porogenated precursors and methods for using the same to provide porous organosilica glass films with low dielectric constants
US6846515B2 (en) 2002-04-17 2005-01-25 Air Products And Chemicals, Inc. Methods for using porogens and/or porogenated precursors to provide porous organosilica glass films with low dielectric constants
US20040025787A1 (en) 2002-04-19 2004-02-12 Selbrede Steven C. System for depositing a film onto a substrate using a low pressure gas precursor
KR100439948B1 (en) 2002-04-19 2004-07-12 주식회사 아이피에스 Apparatus and method for depositing thin film on wafer using remote plasma
US6814813B2 (en) 2002-04-24 2004-11-09 Micron Technology, Inc. Chemical vapor deposition apparatus
US6825126B2 (en) 2002-04-25 2004-11-30 Hitachi Kokusai Electric Inc. Manufacturing method of semiconductor device and substrate processing apparatus
KR100472730B1 (en) 2002-04-26 2005-03-08 주식회사 하이닉스반도체 Method for fabricating metal electrode with Atomic Layer Deposition in semiconductor device
US7589029B2 (en) 2002-05-02 2009-09-15 Micron Technology, Inc. Atomic layer deposition and conversion
US7045430B2 (en) 2002-05-02 2006-05-16 Micron Technology Inc. Atomic layer-deposited LaAlO3 films for gate dielectrics
US7160577B2 (en) 2002-05-02 2007-01-09 Micron Technology, Inc. Methods for atomic-layer deposition of aluminum oxides in integrated circuits
US6684719B2 (en) 2002-05-03 2004-02-03 Caterpillar Inc Method and apparatus for mixing gases
US7086347B2 (en) 2002-05-06 2006-08-08 Lam Research Corporation Apparatus and methods for minimizing arcing in a plasma processing chamber
KR100437458B1 (en) 2002-05-07 2004-06-23 삼성전자주식회사 Phase change memory cells and methods of fabricating the same
US20030209326A1 (en) 2002-05-07 2003-11-13 Mattson Technology, Inc. Process and system for heating semiconductor substrates in a processing chamber containing a susceptor
JP2003324072A (en) 2002-05-07 2003-11-14 Nec Electronics Corp Semiconductor manufacturing equipment
JP4338355B2 (en) 2002-05-10 2009-10-07 東京エレクトロン株式会社 Plasma processing equipment
US7122844B2 (en) 2002-05-13 2006-10-17 Cree, Inc. Susceptor for MOCVD reactor
US20030213560A1 (en) 2002-05-16 2003-11-20 Yaxin Wang Tandem wafer processing system and process
US6682973B1 (en) 2002-05-16 2004-01-27 Advanced Micro Devices, Inc. Formation of well-controlled thin SiO, SiN, SiON layer for multilayer high-K dielectric applications
US6825051B2 (en) 2002-05-17 2004-11-30 Asm America, Inc. Plasma etch resistant coating and process
US7074298B2 (en) 2002-05-17 2006-07-11 Applied Materials High density plasma CVD chamber
KR100466818B1 (en) 2002-05-17 2005-01-24 주식회사 하이닉스반도체 Method of forming a insulating layer in a semiconductor device
US6797525B2 (en) 2002-05-22 2004-09-28 Agere Systems Inc. Fabrication process for a semiconductor device having a metal oxide dielectric material with a high dielectric constant, annealed with a buffered anneal process
US6902656B2 (en) 2002-05-24 2005-06-07 Dalsa Semiconductor Inc. Fabrication of microstructures with vacuum-sealed cavity
KR20030092305A (en) 2002-05-29 2003-12-06 삼성전자주식회사 A MEAN MEASURING ON A TEMPERATURE OF A CHAMBER's OUTER WALL IN A MACHINE DEPOSITING HTUSG
JP4311914B2 (en) 2002-06-05 2009-08-12 住友電気工業株式会社 Heater module for semiconductor manufacturing equipment
US7195693B2 (en) 2002-06-05 2007-03-27 Advanced Thermal Sciences Lateral temperature equalizing system for large area surfaces during processing
US20060014384A1 (en) 2002-06-05 2006-01-19 Jong-Cheol Lee Method of forming a layer and forming a capacitor of a semiconductor device having the same layer
US7135421B2 (en) 2002-06-05 2006-11-14 Micron Technology, Inc. Atomic layer-deposited hafnium aluminum oxide
JP4354908B2 (en) 2002-06-10 2009-10-28 東京エレクトロン株式会社 Processing equipment
US6849464B2 (en) 2002-06-10 2005-02-01 Micron Technology, Inc. Method of fabricating a multilayer dielectric tunnel barrier structure
JP2004014952A (en) 2002-06-10 2004-01-15 Tokyo Electron Ltd Processing system and processing method
US6858547B2 (en) 2002-06-14 2005-02-22 Applied Materials, Inc. System and method for forming a gate dielectric
US7067439B2 (en) 2002-06-14 2006-06-27 Applied Materials, Inc. ALD metal oxide deposition process using direct oxidation
US7601225B2 (en) 2002-06-17 2009-10-13 Asm International N.V. System for controlling the sublimation of reactants
AU2003241712A1 (en) 2002-06-18 2003-12-31 Tokuyama Corporation Reaction apparatus for producing silicon
JP2004022902A (en) 2002-06-18 2004-01-22 Fujitsu Ltd Method for manufacturing semiconductor device
KR100455297B1 (en) 2002-06-19 2004-11-06 삼성전자주식회사 Manufacturing method of inorganic nano tube
WO2004001804A2 (en) 2002-06-19 2003-12-31 Ziegler Byron J Device for generation of reactive ions
JP3670628B2 (en) 2002-06-20 2005-07-13 株式会社東芝 Film forming method, film forming apparatus, and semiconductor device manufacturing method
TWI278532B (en) 2002-06-23 2007-04-11 Asml Us Inc Method for energy-assisted atomic layer deposition and removal
US6552209B1 (en) 2002-06-24 2003-04-22 Air Products And Chemicals, Inc. Preparation of metal imino/amino complexes for metal oxide and metal nitride thin films
JP3999059B2 (en) 2002-06-26 2007-10-31 東京エレクトロン株式会社 Substrate processing system and substrate processing method
JP4278441B2 (en) 2002-06-28 2009-06-17 コバレントマテリアル株式会社 Semiconductor wafer processing components
US7255775B2 (en) 2002-06-28 2007-08-14 Toshiba Ceramics Co., Ltd. Semiconductor wafer treatment member
US6827789B2 (en) 2002-07-01 2004-12-07 Semigear, Inc. Isolation chamber arrangement for serial processing of semiconductor wafers for the electronic industry
US20040018750A1 (en) 2002-07-02 2004-01-29 Sophie Auguste J.L. Method for deposition of nitrogen doped silicon carbide films
US6869641B2 (en) 2002-07-03 2005-03-22 Unaxis Balzers Ltd. Method and apparatus for ALD on a rotary susceptor
US6821347B2 (en) 2002-07-08 2004-11-23 Micron Technology, Inc. Apparatus and method for depositing materials onto microelectronic workpieces
US7356762B2 (en) 2002-07-08 2008-04-08 Asm International Nv Method for the automatic generation of an interactive electronic equipment documentation package
KR100505668B1 (en) 2002-07-08 2005-08-03 삼성전자주식회사 Method for forming silicon dioxide layer by atomic layer deposition
US6838125B2 (en) 2002-07-10 2005-01-04 Applied Materials, Inc. Method of film deposition using activated precursor gases
US20050136657A1 (en) 2002-07-12 2005-06-23 Tokyo Electron Limited Film-formation method for semiconductor process
KR20050028015A (en) 2002-07-12 2005-03-21 프레지던트 앤드 펠로우즈 오브 하바드 칼리지 Vapor deposition of tungsten nitride
TWI277140B (en) 2002-07-12 2007-03-21 Asm Int Method and apparatus for the pulse-wise supply of a vaporized liquid reactant
US20070243317A1 (en) 2002-07-15 2007-10-18 Du Bois Dale R Thermal Processing System and Configurable Vertical Chamber
WO2004008493A2 (en) 2002-07-15 2004-01-22 Aviza Technology, Inc. Method and apparatus for supporting semiconductor wafers
US6976822B2 (en) 2002-07-16 2005-12-20 Semitool, Inc. End-effectors and transfer devices for handling microelectronic workpieces
US6955211B2 (en) 2002-07-17 2005-10-18 Applied Materials, Inc. Method and apparatus for gas temperature control in a semiconductor processing system
US7186385B2 (en) 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
US7357138B2 (en) 2002-07-18 2008-04-15 Air Products And Chemicals, Inc. Method for etching high dielectric constant materials and for cleaning deposition chambers for high dielectric constant materials
JP4186536B2 (en) 2002-07-18 2008-11-26 松下電器産業株式会社 Plasma processing equipment
JP5005170B2 (en) 2002-07-19 2012-08-22 エーエスエム アメリカ インコーポレイテッド Method for forming ultra-high quality silicon-containing compound layer
TWI294792B (en) 2002-07-19 2008-03-21 Mykrolis Corp Liquid flow controller and precision dispense apparatus and system
CN1643674A (en) 2002-07-19 2005-07-20 阿维扎技术公司 Low temperature dielectric deposition using aminosilane and ozone
JP4133062B2 (en) 2002-07-19 2008-08-13 大日本スクリーン製造株式会社 Heat treatment equipment
KR100447284B1 (en) 2002-07-19 2004-09-07 삼성전자주식회사 Method of cleaning chemical vapor deposition chamber
TW200427858A (en) 2002-07-19 2004-12-16 Asml Us Inc Atomic layer deposition of high k dielectric films
US6772072B2 (en) 2002-07-22 2004-08-03 Applied Materials, Inc. Method and apparatus for monitoring solid precursor delivery
US6921062B2 (en) 2002-07-23 2005-07-26 Advanced Technology Materials, Inc. Vaporizer delivery ampoule
US7223323B2 (en) 2002-07-24 2007-05-29 Applied Materials, Inc. Multi-chemistry plating system
US7018555B2 (en) 2002-07-26 2006-03-28 Dainippon Screen Mfg. Co., Ltd. Substrate treatment method and substrate treatment apparatus
KR100464855B1 (en) 2002-07-26 2005-01-06 삼성전자주식회사 method for forming a thin film, and method for forming a capacitor and a transistor of a semiconductor device using the same
JP3908112B2 (en) 2002-07-29 2007-04-25 Sumco Techxiv株式会社 Susceptor, epitaxial wafer manufacturing apparatus and epitaxial wafer manufacturing method
US6844119B2 (en) 2002-07-30 2005-01-18 Hoya Corporation Method for producing a halftone phase shift mask blank, a halftone phase shift mask blank and halftone phase shift mask
JP4585852B2 (en) 2002-07-30 2010-11-24 エーエスエム アメリカ インコーポレイテッド Substrate processing system, substrate processing method, and sublimation apparatus
DE10234694A1 (en) 2002-07-30 2004-02-12 Infineon Technologies Ag Oxidizing a layer comprises inserting the substrate carrying a layer stack into a heating unit, feeding an oxidation gas onto the substrate, heating to a process temperature, and regulating or controlling the temperature
JP3725100B2 (en) 2002-07-31 2005-12-07 アプライド マテリアルズ インコーポレイテッド Deposition method
US7504006B2 (en) 2002-08-01 2009-03-17 Applied Materials, Inc. Self-ionized and capacitively-coupled plasma for sputtering and resputtering
DE10235427A1 (en) 2002-08-02 2004-02-12 Eos Gmbh Electro Optical Systems Device for producing three-dimensional objects under the action of electromagnetic or particle radiation has a switching unit for switching the radiation between the construction regions so that each construction region is irradiated
US7153542B2 (en) 2002-08-06 2006-12-26 Tegal Corporation Assembly line processing method
US6818864B2 (en) 2002-08-09 2004-11-16 Asm America, Inc. LED heat lamp arrays for CVD heating
JP4034145B2 (en) 2002-08-09 2008-01-16 住友大阪セメント株式会社 Susceptor device
KR100480610B1 (en) 2002-08-09 2005-03-31 삼성전자주식회사 Forming method for fine patterns using silicon oxide layer
US6887521B2 (en) 2002-08-15 2005-05-03 Micron Technology, Inc. Gas delivery system for pulsed-type deposition processes used in the manufacturing of micro-devices
US7085623B2 (en) 2002-08-15 2006-08-01 Asm International Nv Method and system for using short ranged wireless enabled computers as a service tool
US6890596B2 (en) 2002-08-15 2005-05-10 Micron Technology, Inc. Deposition methods
US7192486B2 (en) 2002-08-15 2007-03-20 Applied Materials, Inc. Clog-resistant gas delivery system
TW200408015A (en) 2002-08-18 2004-05-16 Asml Us Inc Atomic layer deposition of high K metal silicates
TW200408323A (en) 2002-08-18 2004-05-16 Asml Us Inc Atomic layer deposition of high k metal oxides
US6649921B1 (en) 2002-08-19 2003-11-18 Fusion Uv Systems, Inc. Apparatus and method providing substantially two-dimensionally uniform irradiation
US6927140B2 (en) 2002-08-21 2005-08-09 Intel Corporation Method for fabricating a bipolar transistor base
US20040036129A1 (en) 2002-08-22 2004-02-26 Micron Technology, Inc. Atomic layer deposition of CMOS gates with variable work functions
US6884296B2 (en) 2002-08-23 2005-04-26 Micron Technology, Inc. Reactors having gas distributors and methods for depositing materials onto micro-device workpieces
US6967154B2 (en) 2002-08-26 2005-11-22 Micron Technology, Inc. Enhanced atomic layer deposition
US7041609B2 (en) 2002-08-28 2006-05-09 Micron Technology, Inc. Systems and methods for forming metal oxides using alcohols
US6794284B2 (en) 2002-08-28 2004-09-21 Micron Technology, Inc. Systems and methods for forming refractory metal nitride layers using disilazanes
US6902647B2 (en) 2002-08-29 2005-06-07 Asm International N.V. Method of processing substrates with integrated weighing steps
JP2004091848A (en) 2002-08-30 2004-03-25 Tokyo Electron Ltd Gaseous raw material supply system for thin film forming apparatus and thin film forming apparatus
US7256375B2 (en) 2002-08-30 2007-08-14 Asm International N.V. Susceptor plate for high temperature heat treatment
USD511280S1 (en) 2002-09-04 2005-11-08 Thermal Dynamics Corporation Plasma arc torch tip
JP2005538564A (en) 2002-09-10 2005-12-15 エフエスアイ インターナショナル インコーポレイテッド Heat treatment station with heating lid
US6936086B2 (en) 2002-09-11 2005-08-30 Planar Systems, Inc. High conductivity particle filter
US20040050325A1 (en) 2002-09-12 2004-03-18 Samoilov Arkadii V. Apparatus and method for delivering process gas to a substrate processing system
JP2004103990A (en) 2002-09-12 2004-04-02 Hitachi Kokusai Electric Inc Semiconductor manufacturing system and method for manufacturing semiconductor device
US7122415B2 (en) 2002-09-12 2006-10-17 Promos Technologies, Inc. Atomic layer deposition of interpoly oxides in a non-volatile memory device
US7011299B2 (en) 2002-09-16 2006-03-14 Matheson Tri-Gas, Inc. Liquid vapor delivery system and method of maintaining a constant level of fluid therein
KR100497748B1 (en) 2002-09-17 2005-06-29 주식회사 무한 ALD equament and ALD methode
US6905940B2 (en) 2002-09-19 2005-06-14 Applied Materials, Inc. Method using TEOS ramp-up during TEOS/ozone CVD for improved gap-fill
US7411352B2 (en) 2002-09-19 2008-08-12 Applied Process Technologies, Inc. Dual plasma beam sources and method
JP3594947B2 (en) 2002-09-19 2004-12-02 東京エレクトロン株式会社 Method for forming insulating film, method for manufacturing semiconductor device, substrate processing apparatus
US7252738B2 (en) 2002-09-20 2007-08-07 Lam Research Corporation Apparatus for reducing polymer deposition on a substrate and substrate support
US6767824B2 (en) 2002-09-23 2004-07-27 Padmapani C. Nallan Method of fabricating a gate structure of a field effect transistor using an alpha-carbon mask
JP3877157B2 (en) 2002-09-24 2007-02-07 東京エレクトロン株式会社 Substrate processing equipment
JP4231953B2 (en) 2002-09-24 2009-03-04 ペガサスネット株式会社 Ear hole type SAW thermometer and body temperature management system using the thermometer
JP3887291B2 (en) 2002-09-24 2007-02-28 東京エレクトロン株式会社 Substrate processing equipment
US6696367B1 (en) 2002-09-27 2004-02-24 Asm America, Inc. System for the improved handling of wafers within a process tool
JP2004128019A (en) 2002-09-30 2004-04-22 Applied Materials Inc Method and apparatus for plasma processing
JP2004127957A (en) 2002-09-30 2004-04-22 Fujitsu Ltd Process for fabricating semiconductor device, and semiconductor device
US20040065255A1 (en) 2002-10-02 2004-04-08 Applied Materials, Inc. Cyclical layer deposition system
US8187377B2 (en) 2002-10-04 2012-05-29 Silicon Genesis Corporation Non-contact etch annealing of strained layers
US20070051471A1 (en) 2002-10-04 2007-03-08 Applied Materials, Inc. Methods and apparatus for stripping
US7445690B2 (en) 2002-10-07 2008-11-04 Tokyo Electron Limited Plasma processing apparatus
US7749563B2 (en) 2002-10-07 2010-07-06 Applied Materials, Inc. Two-layer film for next generation damascene barrier application with good oxidation resistance
JP3671951B2 (en) 2002-10-08 2005-07-13 住友電気工業株式会社 Temperature measuring device and ceramic heater using the same
JP4093462B2 (en) 2002-10-09 2008-06-04 東京エレクトロン株式会社 Substrate processing method and substrate processing apparatus
JP2004134553A (en) 2002-10-10 2004-04-30 Sony Corp Process for forming resist pattern and process for fabricating semiconductor device
US6905737B2 (en) 2002-10-11 2005-06-14 Applied Materials, Inc. Method of delivering activated species for rapid cyclical deposition
EP1408140A1 (en) 2002-10-11 2004-04-14 STMicroelectronics S.r.l. A high-density plasma process for depositing a layer of Silicon Nitride
US7080545B2 (en) 2002-10-17 2006-07-25 Advanced Technology Materials, Inc. Apparatus and process for sensing fluoro species in semiconductor processing systems
US6818566B2 (en) 2002-10-18 2004-11-16 The Boc Group, Inc. Thermal activation of fluorine for use in a semiconductor chamber
KR100460841B1 (en) 2002-10-22 2004-12-09 한국전자통신연구원 Method for forming nitrogen containing oxide thin film by plasma enhanced atomic layer deposition
US7144806B1 (en) 2002-10-23 2006-12-05 Novellus Systems, Inc. ALD of tantalum using a hydride reducing agent
US6821909B2 (en) 2002-10-30 2004-11-23 Applied Materials, Inc. Post rinse to improve selective deposition of electroless cobalt on copper for ULSI application
JP2004153037A (en) 2002-10-31 2004-05-27 Renesas Technology Corp Method for manufacturing semiconductor device
US6982230B2 (en) 2002-11-08 2006-01-03 International Business Machines Corporation Deposition of hafnium oxide and/or zirconium oxide and fabrication of passivated electronic structures
JP4009523B2 (en) 2002-11-14 2007-11-14 岩谷産業株式会社 Ozone gas concentration measuring method and ozone gas concentration measuring device
EP1420080A3 (en) 2002-11-14 2005-11-09 Applied Materials, Inc. Apparatus and method for hybrid chemical deposition processes
US6676290B1 (en) 2002-11-15 2004-01-13 Hsueh-Yu Lu Electronic clinical thermometer
JP4502590B2 (en) 2002-11-15 2010-07-14 株式会社ルネサステクノロジ Semiconductor manufacturing equipment
CN102312214B (en) 2002-11-15 2013-10-23 哈佛学院院长等 Atomic layer deposition using metal amidinates
KR100520902B1 (en) 2002-11-20 2005-10-12 주식회사 아이피에스 Method for depositing thin film on wafer using Aluminum compound
JP3946130B2 (en) 2002-11-20 2007-07-18 東京エレクトロン株式会社 Plasma processing apparatus and plasma processing method
US7062161B2 (en) 2002-11-28 2006-06-13 Dainippon Screen Mfg. Co., Ltd. Photoirradiation thermal processing apparatus and thermal processing susceptor employed therefor
WO2004049408A1 (en) 2002-11-28 2004-06-10 Tokyo Electron Limited Wafer processing system, coating/developing apparatus, and wafer processing apparatus
KR100496265B1 (en) 2002-11-29 2005-06-17 한국전자통신연구원 Method of forming a thin film in a semiconductor device
KR100486690B1 (en) 2002-11-29 2005-05-03 삼성전자주식회사 Substrate processing apparatus and method for controlling contamination in substrate transfer module
TW200410337A (en) 2002-12-02 2004-06-16 Au Optronics Corp Dry cleaning method for plasma reaction chamber
US7122414B2 (en) 2002-12-03 2006-10-17 Asm International, Inc. Method to fabricate dual metal CMOS devices
US6858524B2 (en) 2002-12-03 2005-02-22 Asm International, Nv Method of depositing barrier layer for metal gates
US6895158B2 (en) 2002-12-09 2005-05-17 Eastman Kodak Company Waveguide and method of smoothing optical surfaces
US6720531B1 (en) 2002-12-11 2004-04-13 Asm America, Inc. Light scattering process chamber walls
USD496008S1 (en) 2002-12-12 2004-09-14 Tokyo Electron Limited Exhaust ring for manufacturing semiconductors
USD494552S1 (en) 2002-12-12 2004-08-17 Tokyo Electron Limited Exhaust ring for manufacturing semiconductors
US6929699B2 (en) 2002-12-13 2005-08-16 Texas Instruments Incorporated Gas injectors for a vertical furnace used in semiconductor processing
JP2004244298A (en) 2002-12-17 2004-09-02 Kobe Steel Ltd Substrate holder for vapor-phase diamond synthesis and method of vapor-phase diamond synthesis
US7296532B2 (en) 2002-12-18 2007-11-20 Taiwan Semiconductor Manufacturing Co., Ltd. Bypass gas feed system and method to improve reactant gas flow and film deposition
US7092287B2 (en) 2002-12-18 2006-08-15 Asm International N.V. Method of fabricating silicon nitride nanodots
DE10259945A1 (en) 2002-12-20 2004-07-01 Tews, Walter, Dipl.-Chem. Dr.rer.nat.habil. Phosphors with an extended fluorescence lifetime
AU2003301074A1 (en) 2002-12-20 2004-07-22 Brooks Automation, Inc. System and method for on-the-fly eccentricity recognition
CN2588350Y (en) 2002-12-26 2003-11-26 张连合 Electric thermo-couple
JP2004207564A (en) 2002-12-26 2004-07-22 Fujitsu Ltd Semiconductor device and manufacturing method therefor
US6855645B2 (en) 2002-12-30 2005-02-15 Novellus Systems, Inc. Silicon carbide having low dielectric constant
DE10261362B8 (en) 2002-12-30 2008-08-28 Osram Opto Semiconductors Gmbh Substrate holder
US6692249B1 (en) 2003-01-06 2004-02-17 Texas Instruments Incorporated Hot liner insertion/removal fixture
US7262133B2 (en) 2003-01-07 2007-08-28 Applied Materials, Inc. Enhancement of copper line reliability using thin ALD tan film to cap the copper line
US7270713B2 (en) 2003-01-07 2007-09-18 Applied Materials, Inc. Tunable gas distribution plate assembly
US6790788B2 (en) 2003-01-13 2004-09-14 Applied Materials Inc. Method of improving stability in low k barrier layers
USD486891S1 (en) 2003-01-21 2004-02-17 Richard W. Cronce, Jr. Vent pipe protective cover
USD497977S1 (en) 2003-01-22 2004-11-02 Tour & Andersson Ab Sealing ring membrane
US7122222B2 (en) 2003-01-23 2006-10-17 Air Products And Chemicals, Inc. Precursors for depositing silicon containing films and processes thereof
US20040144980A1 (en) 2003-01-27 2004-07-29 Ahn Kie Y. Atomic layer deposition of metal oxynitride layers as gate dielectrics and semiconductor device structures utilizing metal oxynitride layers
USD497536S1 (en) 2003-01-28 2004-10-26 Bridgestone Corporation Rubber vibration insulator
USD558021S1 (en) 2003-01-30 2007-12-25 Roger Lawrence Metal fabrication clamp
JP2004235516A (en) 2003-01-31 2004-08-19 Trecenti Technologies Inc Purging method in wafer housing jig, load port, and method for manufacturing semiconductor device
US20040152287A1 (en) 2003-01-31 2004-08-05 Sherrill Adrian B. Deposition of a silicon film
JP4472372B2 (en) 2003-02-03 2010-06-02 株式会社オクテック Plasma processing apparatus and electrode plate for plasma processing apparatus
US7713592B2 (en) 2003-02-04 2010-05-11 Tegal Corporation Nanolayer deposition process
JP2004241203A (en) 2003-02-04 2004-08-26 Hitachi High-Technologies Corp Treatment method of plasma treatment chamber wall
US7163721B2 (en) 2003-02-04 2007-01-16 Tegal Corporation Method to plasma deposit on organic polymer dielectric film
US7129165B2 (en) 2003-02-04 2006-10-31 Asm Nutool, Inc. Method and structure to improve reliability of copper interconnects
KR100505670B1 (en) 2003-02-05 2005-08-03 삼성전자주식회사 Apparatus for manufacturing semiconductor device having hot fluid supplier for removing byproducts
WO2004070816A1 (en) 2003-02-06 2004-08-19 Tokyo Electron Limited Plasma processing method, semiconductor substrate and plasma processing system
US6854580B2 (en) 2003-02-06 2005-02-15 Borgwarner, Inc. Torsional damper having variable bypass clutch with centrifugal release mechanism
US6876017B2 (en) 2003-02-08 2005-04-05 Intel Corporation Polymer sacrificial light absorbing structure and method
KR100505061B1 (en) 2003-02-12 2005-08-01 삼성전자주식회사 Substrate transfer module
JP4168775B2 (en) 2003-02-12 2008-10-22 株式会社デンソー Thin film manufacturing method
US7374696B2 (en) 2003-02-14 2008-05-20 Applied Materials, Inc. Method and apparatus for removing a halogen-containing residue
TWI338323B (en) 2003-02-17 2011-03-01 Nikon Corp Stage device, exposure device and manufacguring method of devices
JP4214795B2 (en) 2003-02-20 2009-01-28 東京エレクトロン株式会社 Deposition method
US20040163590A1 (en) 2003-02-24 2004-08-26 Applied Materials, Inc. In-situ health check of liquid injection vaporizer
US6917755B2 (en) 2003-02-27 2005-07-12 Applied Materials, Inc. Substrate support
US20040168627A1 (en) 2003-02-27 2004-09-02 Sharp Laboratories Of America, Inc. Atomic layer deposition of oxide film
US7091453B2 (en) 2003-02-27 2006-08-15 Dainippon Screen Mfg. Co., Ltd. Heat treatment apparatus by means of light irradiation
US6930059B2 (en) 2003-02-27 2005-08-16 Sharp Laboratories Of America, Inc. Method for depositing a nanolaminate film by atomic layer deposition
US7077911B2 (en) 2003-03-03 2006-07-18 Seiko Epson Corporation MOCVD apparatus and MOCVD method
US7192892B2 (en) 2003-03-04 2007-03-20 Micron Technology, Inc. Atomic layer deposited dielectric layers
US7098149B2 (en) 2003-03-04 2006-08-29 Air Products And Chemicals, Inc. Mechanical enhancement of dense and porous organosilicate materials by UV exposure
JP2004273766A (en) 2003-03-07 2004-09-30 Watanabe Shoko:Kk Vaporizing device and film forming device using it, and method for vaporising and film forming
US7238653B2 (en) 2003-03-10 2007-07-03 Hynix Semiconductor Inc. Cleaning solution for photoresist and method for forming pattern using the same
US6867086B1 (en) 2003-03-13 2005-03-15 Novellus Systems, Inc. Multi-step deposition and etch back gap fill process
JP4734231B2 (en) 2003-03-14 2011-07-27 アイクストロン・インコーポレーテッド Method and apparatus for improving cycle time of atomic layer deposition
US7140558B2 (en) 2003-03-24 2006-11-28 Irene Base, legal representative Mixing arrangement for atomizing nozzle in multi-phase flow
JP4369203B2 (en) 2003-03-24 2009-11-18 信越化学工業株式会社 Antireflection film material, substrate having antireflection film, and pattern forming method
JP2004288916A (en) 2003-03-24 2004-10-14 Renesas Technology Corp Cvd apparatus
JP2004294638A (en) 2003-03-26 2004-10-21 Tokyo Ohka Kogyo Co Ltd Negative resist material and method for forming resist pattern
JP4363401B2 (en) 2003-03-26 2009-11-11 信越半導体株式会社 Heat treatment wafer support and heat treatment apparatus
US7223014B2 (en) 2003-03-28 2007-05-29 Intempco Controls Ltd. Remotely programmable integrated sensor transmitter
US6972055B2 (en) 2003-03-28 2005-12-06 Finens Corporation Continuous flow deposition system
US7208389B1 (en) 2003-03-31 2007-04-24 Novellus Systems, Inc. Method of porogen removal from porous low-k films using UV radiation
US20040198069A1 (en) 2003-04-04 2004-10-07 Applied Materials, Inc. Method for hafnium nitride deposition
EP1612854A4 (en) 2003-04-07 2007-10-17 Tokyo Electron Ltd Loading table and heat treating apparatus having the loading table
SE525113C2 (en) 2003-04-08 2004-11-30 Tetra Laval Holdings & Finance Method and apparatus for continuous mixing of two streams
KR100500246B1 (en) 2003-04-09 2005-07-11 삼성전자주식회사 Gas supplying apparatus
US7037376B2 (en) 2003-04-11 2006-05-02 Applied Materials Inc. Backflush chamber clean
US6843830B2 (en) 2003-04-15 2005-01-18 Advanced Technology Materials, Inc. Abatement system targeting a by-pass effluent stream of a semiconductor process tool
US6942753B2 (en) 2003-04-16 2005-09-13 Applied Materials, Inc. Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition
KR100890493B1 (en) 2003-04-18 2009-03-26 가부시키가이샤 히다치 고쿠사이 덴키 Semiconductor producing device
US7077973B2 (en) 2003-04-18 2006-07-18 Applied Materials, Inc. Methods for substrate orientation
JP2004336019A (en) 2003-04-18 2004-11-25 Advanced Lcd Technologies Development Center Co Ltd Film forming method, forming method of semiconductor element, semiconductor element, forming method of indicating device, and indicating device
TW200506093A (en) 2003-04-21 2005-02-16 Aviza Tech Inc System and method for forming multi-component films
US7221553B2 (en) 2003-04-22 2007-05-22 Applied Materials, Inc. Substrate support having heat transfer system
US7183186B2 (en) 2003-04-22 2007-02-27 Micro Technology, Inc. Atomic layer deposited ZrTiO4 films
US6953608B2 (en) 2003-04-23 2005-10-11 Taiwan Semiconductor Manufacturing Co., Ltd. Solution for FSG induced metal corrosion & metal peeling defects with extra bias liner and smooth RF bias ramp up
US20040211357A1 (en) 2003-04-24 2004-10-28 Gadgil Pradad N. Method of manufacturing a gap-filled structure of a semiconductor device
US7335396B2 (en) 2003-04-24 2008-02-26 Micron Technology, Inc. Methods for controlling mass flow rates and pressures in passageways coupled to reaction chambers and systems for depositing material onto microfeature workpieces in reaction chambers
US20040261946A1 (en) 2003-04-24 2004-12-30 Tokyo Electron Limited Plasma processing apparatus, focus ring, and susceptor
US20040261712A1 (en) 2003-04-25 2004-12-30 Daisuke Hayashi Plasma processing apparatus
KR200319645Y1 (en) 2003-04-28 2003-07-12 이규옥 Wafer carrier locker device
US7115528B2 (en) 2003-04-29 2006-10-03 Micron Technology, Inc. Systems and method for forming silicon oxide layers
US7375035B2 (en) 2003-04-29 2008-05-20 Ronal Systems Corporation Host and ancillary tool interface methodology for distributed processing
US7601223B2 (en) 2003-04-29 2009-10-13 Asm International N.V. Showerhead assembly and ALD methods
US7033113B2 (en) 2003-05-01 2006-04-25 Shell Oil Company Mid-line connector and method for pipe-in-pipe electrical heating
JP2004335715A (en) 2003-05-07 2004-11-25 Toppoly Optoelectronics Corp Method for forming silicon oxide layer
US20090204403A1 (en) 2003-05-07 2009-08-13 Omega Engineering, Inc. Speech generating means for use with signal sensors
US6905944B2 (en) 2003-05-08 2005-06-14 International Business Machines Corporation Sacrificial collar method for improved deep trench processing
US6939817B2 (en) 2003-05-08 2005-09-06 Micron Technology, Inc. Removal of carbon from an insulative layer using ozone
US7265061B1 (en) 2003-05-09 2007-09-04 Novellus Systems, Inc. Method and apparatus for UV exposure of low dielectric constant materials for porogen removal and improved mechanical properties
WO2004102648A2 (en) 2003-05-09 2004-11-25 Asm America, Inc. Reactor surface passivation through chemical deactivation
JP3642572B2 (en) 2003-05-09 2005-04-27 東芝三菱電機産業システム株式会社 Ozone generator and ozone generation method
JP4152802B2 (en) 2003-05-09 2008-09-17 日本エー・エス・エム株式会社 Thin film forming equipment
TW200507141A (en) 2003-05-12 2005-02-16 Agere Systems Inc Method of mass flow control flow verification and calibration
US7846254B2 (en) 2003-05-16 2010-12-07 Applied Materials, Inc. Heat transfer assembly
US20050000428A1 (en) 2003-05-16 2005-01-06 Shero Eric J. Method and apparatus for vaporizing and delivering reactant
USD505590S1 (en) 2003-05-22 2005-05-31 Kraft Foods Holdings, Inc. Susceptor tray
JP4403824B2 (en) 2003-05-26 2010-01-27 東京エレクトロン株式会社 Method for forming silicon nitride film
JP5342110B2 (en) 2003-05-27 2013-11-13 アプライド マテリアルズ インコーポレイテッド Source canister containing precursor and method for filling features using the same
US7205240B2 (en) 2003-06-04 2007-04-17 Applied Materials, Inc. HDP-CVD multistep gapfill process
US7141500B2 (en) 2003-06-05 2006-11-28 American Air Liquide, Inc. Methods for forming aluminum containing films utilizing amino aluminum precursors
US8512798B2 (en) 2003-06-05 2013-08-20 Superpower, Inc. Plasma assisted metalorganic chemical vapor deposition (MOCVD) system
JP2005005406A (en) 2003-06-10 2005-01-06 Semiconductor Leading Edge Technologies Inc Method for manufacturing semiconductor device
US7598513B2 (en) 2003-06-13 2009-10-06 Arizona Board Of Regents, Acting For And On Behalf Of Arizona State University, A Corporate Body Organized Under Arizona Law SixSnyGe1-x-y and related alloy heterostructures based on Si, Ge and Sn
US7589003B2 (en) 2003-06-13 2009-09-15 Arizona Board Of Regents, Acting For And On Behalf Of Arizona State University, A Corporate Body Organized Under Arizona Law GeSn alloys and ordered phases with direct tunable bandgaps grown directly on silicon
WO2004114368A2 (en) 2003-06-13 2004-12-29 Arizona Board Of Regents, A Body Corporate Of The State Of Arizona Acting For And On Behalf Of Arizona State University METHOD FOR PREPARING GE1-x-ySnxEy (E=P, As, Sb) SEMICONDUCTORS AND RELATED Si-Ge-Sn-E AND Si-Ge-E ANALOGS
DE10326755A1 (en) 2003-06-13 2006-01-26 Patent-Treuhand-Gesellschaft für elektrische Glühlampen mbH Discharge lamp with dual band phosphor
JP4823690B2 (en) 2003-06-16 2011-11-24 東京エレクトロン株式会社 Film-forming method and semiconductor device manufacturing method
JP2007523994A (en) 2003-06-18 2007-08-23 アプライド マテリアルズ インコーポレイテッド Atomic layer deposition of barrier materials
US7192824B2 (en) 2003-06-24 2007-03-20 Micron Technology, Inc. Lanthanide oxide / hafnium oxide dielectric layers
US6955072B2 (en) 2003-06-25 2005-10-18 Mks Instruments, Inc. System and method for in-situ flow verification and calibration
KR20050001793A (en) 2003-06-26 2005-01-07 삼성전자주식회사 In-situ analysis method for atomic layer deposition process
US7021330B2 (en) 2003-06-26 2006-04-04 Planar Systems, Inc. Diaphragm valve with reliability enhancements for atomic layer deposition
DE10328660B3 (en) 2003-06-26 2004-12-02 Infineon Technologies Ag Determining temperature of semiconductor wafer at instant of contact with sensor, records varying sensor output over time, to deduce initial wafer temperature
ATE468421T1 (en) 2003-06-27 2010-06-15 Sundew Technologies Llc DEVICE AND METHOD FOR CONTROLLING THE VAPOR PRESSURE OF A CHEMICAL SOURCE
US9725805B2 (en) 2003-06-27 2017-08-08 Spts Technologies Limited Apparatus and method for controlled application of reactive vapors to produce thin films and coatings
US20100129548A1 (en) 2003-06-27 2010-05-27 Sundew Technologies, Llc Ald apparatus and method
US7833580B2 (en) 2003-07-04 2010-11-16 Samsung Electronics Co., Ltd. Method of forming a carbon nano-material layer using a cyclic deposition technique
WO2005007283A2 (en) 2003-07-08 2005-01-27 Sundew Technologies, Llc Apparatus and method for downstream pressure control and sub-atmospheric reactive gas abatement
US7547363B2 (en) 2003-07-08 2009-06-16 Tosoh Finechem Corporation Solid organometallic compound-filled container and filling method thereof
KR100512180B1 (en) 2003-07-10 2005-09-02 삼성전자주식회사 Magnetic tunnel junction in magnetic random access memory device and method for forming the same
US7055875B2 (en) 2003-07-11 2006-06-06 Asyst Technologies, Inc. Ultra low contact area end effector
KR100541050B1 (en) 2003-07-22 2006-01-11 삼성전자주식회사 Gas supply apparatus and semiconductor device manufacturing equipment using the same
US6909839B2 (en) 2003-07-23 2005-06-21 Advanced Technology Materials, Inc. Delivery systems for efficient vaporization of precursor source material
EP1647046A2 (en) 2003-07-23 2006-04-19 ASM America, Inc. DEPOSITION OF SiGE ON SILICON-ON-INSULATOR STRUCTURES AND BULK SUBSTRATES
JP4298421B2 (en) 2003-07-23 2009-07-22 エスペック株式会社 Thermal plate and test equipment
US20050019960A1 (en) 2003-07-25 2005-01-27 Moon-Sook Lee Method and apparatus for forming a ferroelectric layer
US7122481B2 (en) 2003-07-25 2006-10-17 Intel Corporation Sealing porous dielectrics with silane coupling reagents
EP1697727B1 (en) 2003-07-25 2007-10-03 Lightwind Corporation Method and apparatus for monitoring chemical processes
US7399388B2 (en) 2003-07-25 2008-07-15 Applied Materials, Inc. Sequential gas flow oxide deposition technique
KR100527672B1 (en) 2003-07-25 2005-11-28 삼성전자주식회사 Suscepter and apparatus for depositing included the same
US7361447B2 (en) 2003-07-30 2008-04-22 Hynix Semiconductor Inc. Photoresist polymer and photoresist composition containing the same
TWI310850B (en) 2003-08-01 2009-06-11 Foxsemicon Integrated Tech Inc Substrate supporting rod and substrate cassette using the same
US7202166B2 (en) 2003-08-04 2007-04-10 Asm America, Inc. Surface preparation prior to deposition on germanium
US7695692B2 (en) 2003-08-06 2010-04-13 Sanderson William D Apparatus and method for producing chlorine dioxide
EP1661161A2 (en) 2003-08-07 2006-05-31 Sundew Technologies, LLC Perimeter partition-valve with protected seals
KR100536604B1 (en) 2003-08-14 2005-12-14 삼성전자주식회사 Method of gap-fill using a high density plasma deposision
US20050037578A1 (en) 2003-08-14 2005-02-17 Wei Wen Chen [method for forming an oxide/ nitride/oxide stacked layer]
US6967305B2 (en) 2003-08-18 2005-11-22 Mks Instruments, Inc. Control of plasma transitions in sputter processing systems
JP2005072405A (en) 2003-08-27 2005-03-17 Sony Corp Forming method of thin film and manufacturing method of semiconductor device
US7422635B2 (en) 2003-08-28 2008-09-09 Micron Technology, Inc. Methods and apparatus for processing microfeature workpieces, e.g., for depositing materials on microfeature workpieces
JP3881973B2 (en) 2003-08-29 2007-02-14 三菱重工業株式会社 Method for forming silicon nitride film
US8152922B2 (en) 2003-08-29 2012-04-10 Asm America, Inc. Gas mixer and manifold assembly for ALD reactor
JP4235066B2 (en) 2003-09-03 2009-03-04 日本エー・エス・エム株式会社 Thin film formation method
US7179758B2 (en) 2003-09-03 2007-02-20 International Business Machines Corporation Recovery of hydrophobicity of low-k and ultra low-k organosilicate films used as inter metal dielectrics
EP1667217A1 (en) 2003-09-03 2006-06-07 Tokyo Electron Limited Gas treatment device and heat readiting method
JP4563729B2 (en) 2003-09-04 2010-10-13 東京エレクトロン株式会社 Plasma processing equipment
US7235482B2 (en) 2003-09-08 2007-06-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing a contact interconnection layer containing a metal and nitrogen by atomic layer deposition for deep sub-micron semiconductor technology
US7335277B2 (en) 2003-09-08 2008-02-26 Hitachi High-Technologies Corporation Vacuum processing apparatus
KR100551138B1 (en) 2003-09-09 2006-02-10 어댑티브프라즈마테크놀로지 주식회사 Adaptively plasma source for generating uniform plasma
US6921711B2 (en) 2003-09-09 2005-07-26 International Business Machines Corporation Method for forming metal replacement gate of high performance
US7414281B1 (en) 2003-09-09 2008-08-19 Spansion Llc Flash memory with high-K dielectric material between substrate and gate
US7132201B2 (en) 2003-09-12 2006-11-07 Micron Technology, Inc. Transparent amorphous carbon structure in semiconductor devices
US7056806B2 (en) 2003-09-17 2006-06-06 Micron Technology, Inc. Microfeature workpiece processing apparatus and methods for controlling deposition of materials on microfeature workpieces
WO2005028973A2 (en) 2003-09-17 2005-03-31 Sionex Corporation Solid-state flow generator and related systems, applications, and methods
US6911399B2 (en) 2003-09-19 2005-06-28 Applied Materials, Inc. Method of controlling critical dimension microloading of photoresist trimming process by selective sidewall polymer deposition
KR100943588B1 (en) 2003-09-19 2010-02-23 가부시키가이샤 히다치 고쿠사이 덴키 Process for producing semiconductor device and substrate treating apparatus
JP4356410B2 (en) 2003-09-22 2009-11-04 株式会社日立製作所 Chemical substance detection apparatus and chemical substance detection method
US20050098107A1 (en) 2003-09-24 2005-05-12 Du Bois Dale R. Thermal processing system with cross-flow liner
US20070137794A1 (en) 2003-09-24 2007-06-21 Aviza Technology, Inc. Thermal processing system with across-flow liner
US20050121145A1 (en) 2003-09-25 2005-06-09 Du Bois Dale R. Thermal processing system with cross flow injection system with rotatable injectors
JP4524554B2 (en) 2003-09-25 2010-08-18 信越化学工業株式会社 Method for producing γ, δ-unsaturated carboxylic acid and silyl ester thereof, organosilicon compound having carboxyl group and method for producing the same
US7156380B2 (en) 2003-09-29 2007-01-02 Asm International, N.V. Safe liquid source containers
US6825106B1 (en) 2003-09-30 2004-11-30 Sharp Laboratories Of America, Inc. Method of depositing a conductive niobium monoxide film for MOSFET gates
US20050069651A1 (en) 2003-09-30 2005-03-31 Tokyo Electron Limited Plasma processing system
US6875677B1 (en) 2003-09-30 2005-04-05 Sharp Laboratories Of America, Inc. Method to control the interfacial layer for deposition of high dielectric constant films
US7205247B2 (en) 2003-09-30 2007-04-17 Aviza Technology, Inc. Atomic layer deposition of hafnium-based high-k dielectric
US6982046B2 (en) 2003-10-01 2006-01-03 General Electric Company Light sources with nanometer-sized VUV radiation-absorbing phosphors
US7052757B2 (en) 2003-10-03 2006-05-30 Hewlett-Packard Development Company, L.P. Capping layer for enhanced performance media
US7408225B2 (en) 2003-10-09 2008-08-05 Asm Japan K.K. Apparatus and method for forming thin film using upstream and downstream exhaust mechanisms
US8501594B2 (en) 2003-10-10 2013-08-06 Applied Materials, Inc. Methods for forming silicon germanium layers
JP4274017B2 (en) 2003-10-15 2009-06-03 株式会社島津製作所 Deposition equipment
US7647886B2 (en) 2003-10-15 2010-01-19 Micron Technology, Inc. Systems for depositing material onto workpieces in reaction chambers and methods for removing byproducts from reaction chambers
US6974781B2 (en) 2003-10-20 2005-12-13 Asm International N.V. Reactor precoating for reduced stress and uniform CVD
JP2005123532A (en) 2003-10-20 2005-05-12 Tokyo Electron Ltd Deposition system and deposition method
US7094613B2 (en) 2003-10-21 2006-08-22 Applied Materials, Inc. Method for controlling accuracy and repeatability of an etch process
KR100587669B1 (en) 2003-10-29 2006-06-08 삼성전자주식회사 Method for forming resistor for use in semiconductor device
US20050092439A1 (en) 2003-10-29 2005-05-05 Keeton Tony J. Low/high temperature substrate holder to reduce edge rolloff and backside damage
US7108753B2 (en) 2003-10-29 2006-09-19 Asm America, Inc. Staggered ribs on process chamber to reduce thermal effects
JP2007511902A (en) 2003-10-29 2007-05-10 エーエスエム アメリカ インコーポレイテッド Reactor for thin film growth
US20050095859A1 (en) 2003-11-03 2005-05-05 Applied Materials, Inc. Precursor delivery system with rate control
US20050101843A1 (en) 2003-11-06 2005-05-12 Welch Allyn, Inc. Wireless disposable physiological sensor
US7329947B2 (en) 2003-11-07 2008-02-12 Sumitomo Mitsubishi Silicon Corporation Heat treatment jig for semiconductor substrate
US8313277B2 (en) 2003-11-10 2012-11-20 Brooks Automation, Inc. Semiconductor manufacturing process modules
US7071118B2 (en) 2003-11-12 2006-07-04 Veeco Instruments, Inc. Method and apparatus for fabricating a conformal thin film on a substrate
US20050153571A1 (en) 2003-11-17 2005-07-14 Yoshihide Senzaki Nitridation of high-k dielectric films
TW200527513A (en) 2003-11-20 2005-08-16 Hitachi Int Electric Inc Method for manufacturing semiconductor device and substrate processing apparatus
KR100550641B1 (en) 2003-11-22 2006-02-09 주식회사 하이닉스반도체 Dielectric layer alloyed hafnium oxide and aluminium oxide and method for fabricating the same
US7055263B2 (en) 2003-11-25 2006-06-06 Air Products And Chemicals, Inc. Method for cleaning deposition chambers for high dielectric constant materials
KR20050053417A (en) 2003-12-02 2005-06-08 한국전자통신연구원 Radical assisted oxidation apparatus
KR20050054122A (en) 2003-12-04 2005-06-10 성명모 Method of fabricating thin film using uv-enhanced atomic layer deposition
JP4725085B2 (en) 2003-12-04 2011-07-13 株式会社豊田中央研究所 Amorphous carbon, amorphous carbon coating member and amorphous carbon film forming method
US20050120805A1 (en) 2003-12-04 2005-06-09 John Lane Method and apparatus for substrate temperature control
US7143897B1 (en) 2003-12-09 2006-12-05 H20 International, Inc. Water filter
US7431966B2 (en) 2003-12-09 2008-10-07 Micron Technology, Inc. Atomic layer deposition method of depositing an oxide on a substrate
JP2005172489A (en) 2003-12-09 2005-06-30 Tokyo Yogyo Co Ltd Temperature measuring probe for molten metal
KR100519798B1 (en) 2003-12-11 2005-10-10 삼성전자주식회사 method of forming a thin film having enhanced productavity
US7301623B1 (en) 2003-12-16 2007-11-27 Nanometrics Incorporated Transferring, buffering and measuring a substrate in a metrology system
US7220497B2 (en) 2003-12-18 2007-05-22 Lam Research Corporation Yttria-coated ceramic components of semiconductor material processing apparatuses and methods of manufacturing the components
US7569193B2 (en) 2003-12-19 2009-08-04 Applied Materials, Inc. Apparatus and method for controlled combustion of gaseous pollutants
US20050133166A1 (en) 2003-12-19 2005-06-23 Applied Materials, Inc. Tuned potential pedestal for mask etch processing apparatus
KR20060123381A (en) 2003-12-22 2006-12-01 쎄코 툴스 에이비 Carrier body and method for coating cutting tools
US7662689B2 (en) 2003-12-23 2010-02-16 Intel Corporation Strained transistor integration for CMOS
US7645341B2 (en) 2003-12-23 2010-01-12 Lam Research Corporation Showerhead electrode assembly for plasma processing apparatuses
US20050148162A1 (en) 2004-01-02 2005-07-07 Huajie Chen Method of preventing surface roughening during hydrogen pre-bake of SiGe substrates using chlorine containing gases
KR100620673B1 (en) 2004-01-05 2006-09-13 주식회사 하이닉스반도체 Cleaning Solution for Photoresist and Method for Pattern Formation Using the Same
KR100593960B1 (en) 2004-01-09 2006-06-30 병호 최 Atomic Thin Layer Deposition Apparatus and Method
US7892357B2 (en) 2004-01-12 2011-02-22 Axcelis Technologies, Inc. Gas distribution plate assembly for plasma reactors
JP4583764B2 (en) 2004-01-14 2010-11-17 ルネサスエレクトロニクス株式会社 Semiconductor device and manufacturing method thereof
KR100549273B1 (en) 2004-01-15 2006-02-03 주식회사 테라세미콘 Wafer-Holder for Semiconductor Manufacturing Process
JP4513329B2 (en) 2004-01-16 2010-07-28 東京エレクトロン株式会社 Processing equipment
USD535673S1 (en) 2004-01-16 2007-01-23 Thermal Dynamics Corporation Gas distributor for a plasma arc torch
US7071051B1 (en) 2004-01-20 2006-07-04 Advanced Micro Devices, Inc. Method for forming a thin, high quality buffer layer in a field effect transistor and related structure
US7128570B2 (en) 2004-01-21 2006-10-31 Asm International N.V. Method and apparatus for purging seals in a thermal reactor
US7005227B2 (en) 2004-01-21 2006-02-28 Intel Corporation One component EUV photoresist
JPWO2005071723A1 (en) 2004-01-21 2007-09-06 株式会社日立国際電気 Semiconductor device manufacturing method and substrate processing apparatus
US7354847B2 (en) 2004-01-26 2008-04-08 Taiwan Semiconductor Manufacturing Company Method of trimming technology
US20050164469A1 (en) 2004-01-28 2005-07-28 Infineon Technologies North America Corp. Method for N+ doping of amorphous silicon and polysilicon electrodes in deep trenches
JP4722501B2 (en) 2004-01-29 2011-07-13 三星電子株式会社 Multilayer dielectric structure for semiconductor device, semiconductor, and manufacturing method thereof
WO2005074450A2 (en) 2004-01-30 2005-08-18 Tokyo Electron Limited Substrate holder having a fluid gap and method of fabricating the substrate holder
US7163393B2 (en) 2004-02-02 2007-01-16 Sumitomo Mitsubishi Silicon Corporation Heat treatment jig for semiconductor silicon substrate
DE102004005385A1 (en) 2004-02-03 2005-10-20 Infineon Technologies Ag Use of dissolved hafnium alkoxides or zirconium alkoxides as precursors for hafnium oxide and hafnium oxynitride layers or zirconium oxide and zirconium oxynitride layers
EP1719167B1 (en) 2004-02-13 2011-10-26 ASM America, Inc. Substrate support system for reduced autodoping and backside deposition
US20050229849A1 (en) 2004-02-13 2005-10-20 Applied Materials, Inc. High productivity plasma processing chamber
JP4364667B2 (en) 2004-02-13 2009-11-18 東京エレクトロン株式会社 Thermal spray member, electrode, and plasma processing apparatus
TWI263709B (en) 2004-02-17 2006-10-11 Ind Tech Res Inst Structure of strain relaxed thin Si/Ge epitaxial layer and fabricating method thereof
US20050181535A1 (en) 2004-02-17 2005-08-18 Yun Sun J. Method of fabricating passivation layer for organic devices
US20050178333A1 (en) 2004-02-18 2005-08-18 Asm Japan K.K. System and method of CVD chamber cleaning
US7088003B2 (en) 2004-02-19 2006-08-08 International Business Machines Corporation Structures and methods for integration of ultralow-k dielectrics with improved reliability
US20050187647A1 (en) 2004-02-19 2005-08-25 Kuo-Hua Wang Intelligent full automation controlled flow for a semiconductor furnace tool
JP4698251B2 (en) 2004-02-24 2011-06-08 アプライド マテリアルズ インコーポレイテッド Movable or flexible shower head mounting
US20100297391A1 (en) 2004-02-25 2010-11-25 General Nanotechnoloy Llc Diamond capsules and methods of manufacture
KR100817644B1 (en) 2004-02-27 2008-03-27 가부시키가이샤 히다치 고쿠사이 덴키 Substrate processing device
USD525127S1 (en) 2004-03-01 2006-07-18 Kraft Foods Holdings, Inc. Susceptor ring
US20060062910A1 (en) 2004-03-01 2006-03-23 Meiere Scott H Low zirconium, hafnium-containing compositions, processes for the preparation thereof and methods of use thereof
US20050214458A1 (en) 2004-03-01 2005-09-29 Meiere Scott H Low zirconium hafnium halide compositions
WO2005086331A2 (en) 2004-03-02 2005-09-15 Rosemount, Inc. Process device with improved power generation
US20050233477A1 (en) 2004-03-05 2005-10-20 Tokyo Electron Limited Substrate processing apparatus, substrate processing method, and program for implementing the method
WO2005087974A2 (en) 2004-03-05 2005-09-22 Applied Materials, Inc. Cvd processes for the deposition of amorphous carbon films
US7098150B2 (en) 2004-03-05 2006-08-29 Air Liquide America L.P. Method for novel deposition of high-k MSiON dielectric films
CN100373545C (en) 2004-03-05 2008-03-05 东京毅力科创株式会社 Base plate processing device,base plate processing method and progarm
ATE448498T1 (en) 2004-03-08 2009-11-15 Adc Telecommunications Inc FIBER FIBER CONNECTION
JP4246654B2 (en) 2004-03-08 2009-04-02 株式会社日立ハイテクノロジーズ Vacuum processing equipment
US7072743B2 (en) 2004-03-09 2006-07-04 Mks Instruments, Inc. Semiconductor manufacturing gas flow divider system and method
US7079740B2 (en) 2004-03-12 2006-07-18 Applied Materials, Inc. Use of amorphous carbon film as a hardmask in the fabrication of optical waveguides
KR100538096B1 (en) 2004-03-16 2005-12-21 삼성전자주식회사 Method for forming a capacitor using atomic layer deposition method
US7053010B2 (en) 2004-03-22 2006-05-30 Micron Technology, Inc. Methods of depositing silicon dioxide comprising layers in the fabrication of integrated circuitry, methods of forming trench isolation, and methods of forming arrays of memory cells
US7582555B1 (en) 2005-12-29 2009-09-01 Novellus Systems, Inc. CVD flowable gap fill
US7074690B1 (en) 2004-03-25 2006-07-11 Novellus Systems, Inc. Selective gap-fill process
US7524735B1 (en) 2004-03-25 2009-04-28 Novellus Systems, Inc Flowable film dielectric gap fill process
US20050214457A1 (en) 2004-03-29 2005-09-29 Applied Materials, Inc. Deposition of low dielectric constant films by N2O addition
WO2005098922A1 (en) 2004-03-31 2005-10-20 Hitachi Kokusai Electric Inc. Semiconductor device manufacturing method
US20050221021A1 (en) 2004-03-31 2005-10-06 Tokyo Electron Limited Method and system for performing atomic layer deposition
US20050221618A1 (en) 2004-03-31 2005-10-06 Amrhein Frederick J System for controlling a plenum output flow geometry
CN1292092C (en) 2004-04-01 2006-12-27 南昌大学 Bilayer inlet gas spray nozzle in use for metal-organic chemical vapor deposition device
US7585371B2 (en) 2004-04-08 2009-09-08 Micron Technology, Inc. Substrate susceptors for receiving semiconductor substrates to be deposited upon
US20050227502A1 (en) 2004-04-12 2005-10-13 Applied Materials, Inc. Method for forming an ultra low dielectric film by forming an organosilicon matrix and large porogens as a template for increased porosity
US7273526B2 (en) 2004-04-15 2007-09-25 Asm Japan K.K. Thin-film deposition apparatus
US20060019502A1 (en) 2004-07-23 2006-01-26 Park Beom S Method of controlling the film properties of a CVD-deposited silicon nitride film
US8083853B2 (en) 2004-05-12 2011-12-27 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
US7785672B2 (en) 2004-04-20 2010-08-31 Applied Materials, Inc. Method of controlling the film properties of PECVD-deposited thin films
US7018941B2 (en) 2004-04-21 2006-03-28 Applied Materials, Inc. Post treatment of low k dielectric films
WO2005104204A1 (en) 2004-04-21 2005-11-03 Hitachi Kokusai Electric Inc. Heat treating device
USD553104S1 (en) 2004-04-21 2007-10-16 Tokyo Electron Limited Absorption board for an electric chuck used in semiconductor manufacture
US20050238807A1 (en) 2004-04-27 2005-10-27 Applied Materials, Inc. Refurbishment of a coated chamber component
US8882909B2 (en) 2004-04-30 2014-11-11 Dichroic Cell S.R.L. Method for producing virtual Ge substrates for III/V-integration on Si(001)
US20070066038A1 (en) 2004-04-30 2007-03-22 Lam Research Corporation Fast gas switching plasma processing apparatus
US7712434B2 (en) 2004-04-30 2010-05-11 Lam Research Corporation Apparatus including showerhead electrode and heater for plasma processing
US7708859B2 (en) 2004-04-30 2010-05-04 Lam Research Corporation Gas distribution system having fast gas switching capabilities
US6982208B2 (en) 2004-05-03 2006-01-03 Taiwan Semiconductor Manufacturing Co., Ltd. Method for producing high throughput strained-Si channel MOSFETS
US7049247B2 (en) 2004-05-03 2006-05-23 International Business Machines Corporation Method for fabricating an ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device and electronic device made
JP2005322668A (en) 2004-05-06 2005-11-17 Renesas Technology Corp Film deposition equipment and film deposition method
US7109114B2 (en) 2004-05-07 2006-09-19 Applied Materials, Inc. HDP-CVD seasoning process for high power HDP-CVD gapfil to improve particle performance
US7202148B2 (en) 2004-05-10 2007-04-10 Taiwan Semiconductor Manufacturing Company Method utilizing compensation features in semiconductor processing
US20050252447A1 (en) 2004-05-11 2005-11-17 Applied Materials, Inc. Gas blocker plate for improved deposition
WO2005109486A1 (en) 2004-05-12 2005-11-17 Viatron Technologies Inc. System for heat treatment of semiconductor device
US8074599B2 (en) 2004-05-12 2011-12-13 Applied Materials, Inc. Plasma uniformity control by gas diffuser curvature
US8328939B2 (en) 2004-05-12 2012-12-11 Applied Materials, Inc. Diffuser plate with slit valve compensation
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US7748138B2 (en) 2004-05-13 2010-07-06 Tokyo Electron Limited Particle removal method for a substrate transfer mechanism and apparatus
EP1744795A1 (en) 2004-05-14 2007-01-24 Becton, Dickinson and Company Articles having bioactive surfaces and solvent-free methods of preparation thereof
KR100469132B1 (en) 2004-05-18 2005-01-29 주식회사 아이피에스 Cyclically pulsed two level plasma atomic layer deposition apparatus and method thereof
WO2005111266A1 (en) 2004-05-18 2005-11-24 Sumco Corporation Susceptor for vapor deposition apparatus
US20060019033A1 (en) 2004-05-21 2006-01-26 Applied Materials, Inc. Plasma treatment of hafnium-containing materials
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
JP2005340251A (en) 2004-05-24 2005-12-08 Shin Etsu Chem Co Ltd Plasma processing apparatus and shower plate therefor
US7271093B2 (en) 2004-05-24 2007-09-18 Asm Japan K.K. Low-carbon-doped silicon oxide film and damascene structure using same
US7396746B2 (en) 2004-05-24 2008-07-08 Varian Semiconductor Equipment Associates, Inc. Methods for stable and repeatable ion implantation
US7622005B2 (en) 2004-05-26 2009-11-24 Applied Materials, Inc. Uniformity control for low flow process and chamber to chamber matching
US20050266173A1 (en) 2004-05-26 2005-12-01 Tokyo Electron Limited Method and apparatus of distributed plasma processing system for conformal ion stimulated nanoscale deposition process
US7229502B2 (en) 2004-05-27 2007-06-12 Macronix International Co., Ltd. Method of forming a silicon nitride layer
JP3972126B2 (en) 2004-05-28 2007-09-05 独立行政法人産業技術総合研究所 Ultraviolet generation source, ultraviolet irradiation processing apparatus and semiconductor manufacturing apparatus
US7580388B2 (en) 2004-06-01 2009-08-25 Lg Electronics Inc. Method and apparatus for providing enhanced messages on common control channel in wireless communication system
US7699932B2 (en) 2004-06-02 2010-04-20 Micron Technology, Inc. Reactors, systems and methods for depositing thin films onto microfeature workpieces
JP4503356B2 (en) 2004-06-02 2010-07-14 東京エレクトロン株式会社 Substrate processing method and semiconductor device manufacturing method
US7651583B2 (en) 2004-06-04 2010-01-26 Tokyo Electron Limited Processing system and method for treating a substrate
US7037794B2 (en) 2004-06-09 2006-05-02 International Business Machines Corporation Raised STI process for multiple gate ox and sidewall protection on strained Si/SGOI structure with elevated source/drain
KR100589062B1 (en) 2004-06-10 2006-06-12 삼성전자주식회사 Method of forming a thin film using an atomic layer deposition process and method of forming a capacitor of a semiconductor device using the same
US7396743B2 (en) 2004-06-10 2008-07-08 Singh Kaushal K Low temperature epitaxial growth of silicon-containing films using UV radiation
DE602005017272D1 (en) 2004-06-10 2009-12-03 Humanscale Corp MECHANISM FOR THE POSITION ADJUSTMENT OF A DEVICE APPLIED
US7132360B2 (en) 2004-06-10 2006-11-07 Freescale Semiconductor, Inc. Method for treating a semiconductor surface to form a metal-containing layer
JP4565897B2 (en) 2004-06-14 2010-10-20 株式会社Adeka Thin film forming raw material and thin film manufacturing method
GB0413554D0 (en) 2004-06-17 2004-07-21 Point 35 Microstructures Ltd Improved method and apparartus for the etching of microstructures
US7446335B2 (en) 2004-06-18 2008-11-04 Regents Of The University Of Minnesota Process and apparatus for forming nanoparticles using radiofrequency plasmas
US7399570B2 (en) 2004-06-18 2008-07-15 Hynix Semiconductor Inc. Water-soluble negative photoresist polymer and composition containing the same
JP4534619B2 (en) 2004-06-21 2010-09-01 株式会社Sumco Heat treatment jig for semiconductor silicon substrate
TWI447802B (en) 2004-06-21 2014-08-01 Tokyo Electron Ltd A plasma processing apparatus, a plasma processing method, and a computer-readable recording medium
US7951262B2 (en) 2004-06-21 2011-05-31 Tokyo Electron Limited Plasma processing apparatus and method
KR20050121426A (en) 2004-06-22 2005-12-27 삼성에스디아이 주식회사 Method for preparing catalyst for manufacturing carbon nano tubes
US20050282350A1 (en) 2004-06-22 2005-12-22 You-Hua Chou Atomic layer deposition for filling a gap between devices
US20050284573A1 (en) 2004-06-24 2005-12-29 Egley Fred D Bare aluminum baffles for resist stripping chambers
US7244958B2 (en) 2004-06-24 2007-07-17 International Business Machines Corporation Integration of strained Ge into advanced CMOS technology
US20050285208A1 (en) 2004-06-25 2005-12-29 Chi Ren Metal gate electrode for semiconductor devices
US7073834B2 (en) 2004-06-25 2006-07-11 Applied Materials, Inc. Multiple section end effector assembly
EP1771598B1 (en) 2004-06-28 2009-09-30 Cambridge Nanotech Inc. Atomic layer deposition (ald) system and method
US20060006538A1 (en) 2004-07-02 2006-01-12 Lsi Logic Corporation Extreme low-K interconnect structure and method
KR100614801B1 (en) 2004-07-05 2006-08-22 삼성전자주식회사 Method for forming a layer in a semiconductor device
US7363195B2 (en) 2004-07-07 2008-04-22 Sensarray Corporation Methods of configuring a sensor network
WO2006006129A2 (en) 2004-07-09 2006-01-19 Philips Intellectual Property & Standards Gmbh Uvc/vuv dielectric barrier discharge lamp with reflector
JP4559427B2 (en) 2004-07-13 2010-10-06 株式会社日立国際電気 Substrate processing apparatus and semiconductor device manufacturing method
US7422653B2 (en) 2004-07-13 2008-09-09 Applied Materials, Inc. Single-sided inflatable vertical slit valve
US7094442B2 (en) 2004-07-13 2006-08-22 Applied Materials, Inc. Methods for the reduction and elimination of particulate contamination with CVD of amorphous carbon
JP4674061B2 (en) 2004-07-14 2011-04-20 株式会社アルバック Thin film formation method
US7409263B2 (en) 2004-07-14 2008-08-05 Applied Materials, Inc. Methods and apparatus for repositioning support for a substrate carrier
KR100578819B1 (en) 2004-07-15 2006-05-11 삼성전자주식회사 method of manufacturing a thin layer using atomic layer deposition, and method of manufacturing a gate structure and a capacitor using the same
US7241686B2 (en) 2004-07-20 2007-07-10 Applied Materials, Inc. Atomic layer deposition of tantalum-containing materials using the tantalum precursor TAIMATA
US20060016783A1 (en) 2004-07-22 2006-01-26 Dingjun Wu Process for titanium nitride removal
JP4179311B2 (en) 2004-07-28 2008-11-12 東京エレクトロン株式会社 Film forming method, film forming apparatus, and storage medium
US20060021703A1 (en) 2004-07-29 2006-02-02 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
WO2006011169A1 (en) 2004-07-30 2006-02-02 Lpe Spa Epitaxial reactor with susceptor controlled positioning
JP4417197B2 (en) 2004-07-30 2010-02-17 住友大阪セメント株式会社 Susceptor device
US7689687B2 (en) 2004-07-30 2010-03-30 Fisher-Rosemount Systems, Inc. Communication controller with automatic time stamping
KR100689401B1 (en) 2004-07-30 2007-03-08 주식회사 하이닉스반도체 Photoresist Polymer and Photoresist Composition Containing It
US20060021572A1 (en) 2004-07-30 2006-02-02 Colorado School Of Mines High Vacuum Plasma-Assisted Chemical Vapor Deposition System
US7601649B2 (en) 2004-08-02 2009-10-13 Micron Technology, Inc. Zirconium-doped tantalum oxide films
US20090011150A1 (en) 2004-08-04 2009-01-08 Hyeong-Tag Jeon Remote Plasma Atomic Layer Deposition Apparatus and Method Using Dc Bias
JP4718141B2 (en) 2004-08-06 2011-07-06 東京エレクトロン株式会社 Thin film forming method and thin film forming apparatus
KR101114219B1 (en) 2004-08-09 2012-03-05 주성엔지니어링(주) Apparatus for atomic layer deposition comprising light source, and the method of deposition using the same
US7504344B2 (en) 2004-08-09 2009-03-17 Asm Japan K.K. Method of forming a carbon polymer film using plasma CVD
US7955646B2 (en) 2004-08-09 2011-06-07 Applied Materials, Inc. Elimination of flow and pressure gradients in low utilization processes
US7470633B2 (en) 2004-08-09 2008-12-30 Asm Japan K.K. Method of forming a carbon polymer film using plasma CVD
TW200625431A (en) 2004-08-16 2006-07-16 Aviza Tech Inc Direct liquid injection system and method for forming multi-component dielectric films
US20060040054A1 (en) 2004-08-18 2006-02-23 Pearlstein Ronald M Passivating ALD reactor chamber internal surfaces to prevent residue buildup
JP2006059931A (en) 2004-08-18 2006-03-02 Canon Anelva Corp Rapid thermal process device
US7119032B2 (en) 2004-08-23 2006-10-10 Air Products And Chemicals, Inc. Method to protect internal components of semiconductor processing equipment using layered superlattice materials
JP4348542B2 (en) 2004-08-24 2009-10-21 信越半導体株式会社 Quartz jig and semiconductor manufacturing equipment
USD524600S1 (en) 2004-08-26 2006-07-11 Maytag Corporation Convection cover for cooking appliance
KR101071136B1 (en) 2004-08-27 2011-10-10 엘지디스플레이 주식회사 apparatus for processing a thin film on substrate for flat panel display device
ITMI20041677A1 (en) 2004-08-30 2004-11-30 E T C Epitaxial Technology Ct CLEANING PROCESS AND OPERATIONAL PROCESS FOR A CVD REACTOR.
DE102004042431B4 (en) 2004-08-31 2008-07-03 Schott Ag Method and device for plasma coating of workpieces with spectral evaluation of the process parameters and use of the device
US8158488B2 (en) 2004-08-31 2012-04-17 Micron Technology, Inc. Method of increasing deposition rate of silicon dioxide on a catalyst
US7910288B2 (en) 2004-09-01 2011-03-22 Micron Technology, Inc. Mask material conversion
US7253084B2 (en) 2004-09-03 2007-08-07 Asm America, Inc. Deposition from liquid sources
JP2006108629A (en) 2004-09-10 2006-04-20 Toshiba Corp Method for manufacturing semiconductor device
US20060137609A1 (en) 2004-09-13 2006-06-29 Puchacz Jerzy P Multi-single wafer processing apparatus
US7582891B2 (en) 2004-09-16 2009-09-01 Arizona Board Of Regents, A Corporate Body Organized Under Arizona Law, Acting On Behalf Of Arizona State University Materials and optical devices based on group IV quantum wells grown on Si-Ge-Sn buffered silicon
US8882914B2 (en) 2004-09-17 2014-11-11 Intermolecular, Inc. Processing substrates using site-isolated processing
US20060060930A1 (en) 2004-09-17 2006-03-23 Metz Matthew V Atomic layer deposition of high dielectric constant gate dielectrics
US8084400B2 (en) 2005-10-11 2011-12-27 Intermolecular, Inc. Methods for discretized processing and process sequence integration of regions of a substrate
JP4698190B2 (en) 2004-09-22 2011-06-08 川惣電機工業株式会社 Temperature measuring device
JP4572100B2 (en) 2004-09-28 2010-10-27 日本エー・エス・エム株式会社 Plasma processing equipment
JP2006097044A (en) 2004-09-28 2006-04-13 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude Precursor for film deposition, method for depositing ruthenium-containing film, method for depositing ruthenium film, method for depositing ruthenium oxide film and method for depositing ruthenate film
US7806587B2 (en) 2004-09-29 2010-10-05 Citizen Holdings Co., Ltd. Electronic clinical thermometer and method of producing the same
DE102005045081B4 (en) 2004-09-29 2011-07-07 Covalent Materials Corp. susceptor
US7361958B2 (en) 2004-09-30 2008-04-22 Intel Corporation Nonplanar transistors with metal gate electrodes
US7241475B2 (en) 2004-09-30 2007-07-10 The Aerospace Corporation Method for producing carbon surface films by plasma exposure of a carbide compound
JP2006124832A (en) 2004-09-30 2006-05-18 Nichias Corp Vapor phase growth system and vapor phase growth method
JP2006124831A (en) 2004-09-30 2006-05-18 Nichias Corp Reaction vessel for vapor phase growth, and vapor phase growth method
US7189431B2 (en) 2004-09-30 2007-03-13 Tokyo Electron Limited Method for forming a passivated metal layer
US6874247B1 (en) 2004-10-12 2005-04-05 Tsang-Hung Hsu Toothbrush dryer
US20060257563A1 (en) 2004-10-13 2006-11-16 Seok-Joo Doh Method of fabricating silicon-doped metal oxide layer using atomic layer deposition technique
US20060099782A1 (en) 2004-10-15 2006-05-11 Massachusetts Institute Of Technology Method for forming an interface between germanium and other materials
US7427571B2 (en) 2004-10-15 2008-09-23 Asm International, N.V. Reactor design for reduced particulate generation
US7674726B2 (en) 2004-10-15 2010-03-09 Asm International N.V. Parts for deposition reactors
CN101645394A (en) 2004-10-19 2010-02-10 佳能安内华股份有限公司 Substrate supporting/transferring tray
US7790633B1 (en) 2004-10-26 2010-09-07 Novellus Systems, Inc. Sequential deposition/anneal film densification method
JP2006128188A (en) 2004-10-26 2006-05-18 Nikon Corp Substrate carrying apparatus, substrate carrying method and exposure apparatus
KR100754386B1 (en) 2004-10-28 2007-08-31 삼성전자주식회사 Duplex chemical vapor deposition system and method of pulsed processing using the same
US7163900B2 (en) 2004-11-01 2007-01-16 Infineon Technologies Ag Using polydentate ligands for sealing pores in low-k dielectrics
US20060093756A1 (en) 2004-11-03 2006-05-04 Nagarajan Rajagopalan High-power dielectric seasoning for stable wafer-to-wafer thickness uniformity of dielectric CVD films
US7727880B1 (en) 2004-11-03 2010-06-01 Novellus Systems, Inc. Protective self-aligned buffer layers for damascene interconnects
US7189626B2 (en) 2004-11-03 2007-03-13 Micron Technology, Inc. Electroless plating of metal caps for chalcogenide-based memory devices
KR100728962B1 (en) 2004-11-08 2007-06-15 주식회사 하이닉스반도체 Capacitor of semiconductor device with zrconium oxide and method of manufacturing the same
JP2006135161A (en) 2004-11-08 2006-05-25 Canon Inc Method and apparatus for forming insulating film
JP4435666B2 (en) 2004-11-09 2010-03-24 東京エレクトロン株式会社 Plasma processing method, film forming method
KR100742276B1 (en) 2004-11-10 2007-07-24 삼성전자주식회사 Etching solution for removing a low-k dielectric layer and etching method for the low-k dielectric layer using the etching solution
KR100782369B1 (en) 2004-11-11 2007-12-07 삼성전자주식회사 Device for making semiconductor
US7678682B2 (en) 2004-11-12 2010-03-16 Axcelis Technologies, Inc. Ultraviolet assisted pore sealing of porous low k dielectric films
US7428958B2 (en) 2004-11-15 2008-09-30 Nikon Corporation Substrate conveyor apparatus, substrate conveyance method and exposure apparatus
US7242055B2 (en) 2004-11-15 2007-07-10 International Business Machines Corporation Nitrogen-containing field effect transistor gate stack containing a threshold voltage control layer formed via deposition of a metal oxide
TWI588872B (en) 2004-11-18 2017-06-21 尼康股份有限公司 Position measurement method, position control method, measurement method, loading method, exposure method and exposure apparatus, and device manufacturing method
KR100773755B1 (en) 2004-11-18 2007-11-09 주식회사 아이피에스 A method for depositing thin film using ALD
US20060107898A1 (en) 2004-11-19 2006-05-25 Blomberg Tom E Method and apparatus for measuring consumption of reactants
US20070134821A1 (en) 2004-11-22 2007-06-14 Randhir Thakur Cluster tool for advanced front-end processing
US20060156979A1 (en) 2004-11-22 2006-07-20 Applied Materials, Inc. Substrate processing apparatus using a batch processing chamber
US20060108221A1 (en) 2004-11-24 2006-05-25 William Goodwin Method and apparatus for improving measuring accuracy in gas monitoring systems
ATE391339T1 (en) 2004-11-24 2008-04-15 Oc Oerlikon Balzers Ag VACUUM TREATMENT CHAMBER FOR VERY LARGE SUBSTRATES
US7722737B2 (en) 2004-11-29 2010-05-25 Applied Materials, Inc. Gas distribution system for improved transient phase deposition
JP4512098B2 (en) 2004-11-29 2010-07-28 株式会社日立国際電気 Semiconductor device manufacturing method and substrate processing apparatus
US20060113806A1 (en) 2004-11-29 2006-06-01 Asm Japan K.K. Wafer transfer mechanism
US8435351B2 (en) 2004-11-29 2013-05-07 Tokyo Electron Limited Method and system for measuring a flow rate in a solid precursor delivery system
JP4646752B2 (en) 2004-11-29 2011-03-09 株式会社神戸製鋼所 Highly oriented diamond film, manufacturing method thereof, and electronic device provided with highly oriented diamond film
JP4830290B2 (en) 2004-11-30 2011-12-07 信越半導体株式会社 Manufacturing method of directly bonded wafer
JP2006153706A (en) 2004-11-30 2006-06-15 Taiyo Nippon Sanso Corp Temperature sensing element and vapor phase deposition device
US20060113675A1 (en) 2004-12-01 2006-06-01 Chung-Liang Chang Barrier material and process for Cu interconnect
US20060118240A1 (en) 2004-12-03 2006-06-08 Applied Science And Technology, Inc. Methods and apparatus for downstream dissociation of gases
US7368377B2 (en) 2004-12-09 2008-05-06 Interuniversitair Microelektronica Centrum (Imec) Vzw Method for selective deposition of a thin self-assembled monolayer
US7271463B2 (en) 2004-12-10 2007-09-18 Micron Technology, Inc. Trench insulation structures including an oxide liner that is thinner along the walls of the trench than along the base
US7235501B2 (en) 2004-12-13 2007-06-26 Micron Technology, Inc. Lanthanum hafnium oxide dielectrics
US20060127067A1 (en) 2004-12-13 2006-06-15 General Electric Company Fast heating and cooling wafer handling assembly and method of manufacturing thereof
KR100558922B1 (en) 2004-12-16 2006-03-10 (주)퓨전에이드 Apparatus and method for thin film deposition
US7290813B2 (en) 2004-12-16 2007-11-06 Asyst Technologies, Inc. Active edge grip rest pad
US7396732B2 (en) 2004-12-17 2008-07-08 Interuniversitair Microelektronica Centrum Vzw (Imec) Formation of deep trench airgaps and related applications
US20060133955A1 (en) 2004-12-17 2006-06-22 Peters David W Apparatus and method for delivering vapor phase reagent to a deposition chamber
US20060130767A1 (en) 2004-12-22 2006-06-22 Applied Materials, Inc. Purged vacuum chuck with proximity pins
US7699021B2 (en) 2004-12-22 2010-04-20 Sokudo Co., Ltd. Cluster tool substrate throughput optimization
JP4560681B2 (en) 2004-12-24 2010-10-13 ミネベア株式会社 Multi-lamp type discharge lamp lighting device
DE102004063036A1 (en) 2004-12-28 2006-07-06 Advanced Micro Devices, Inc., Sunnyvale Method for forming contact spots
JP2006186271A (en) 2004-12-28 2006-07-13 Sharp Corp Vapor phase deposition device and manufacturing method of film-formed substrate
US7809315B2 (en) 2004-12-28 2010-10-05 Bridgestone Corporation Transfer/transport conductive endless belt for a tandem system, method for producing same, and image forming apparatus employing same
KR20060076714A (en) 2004-12-28 2006-07-04 에이에스엠지니텍코리아 주식회사 Atomic layer deposition apparatus
CN101443444A (en) 2004-12-29 2009-05-27 比奥根艾迪克Ma公司 Bioreactor process control system and method
US20060144820A1 (en) 2004-12-30 2006-07-06 Sawin Herbert H Remote chamber methods for removing surface deposits
US7482247B1 (en) 2004-12-30 2009-01-27 Novellus Systems, Inc. Conformal nanolaminate dielectric deposition and etch bag gap fill process
KR20070107017A (en) 2004-12-30 2007-11-06 어플라이드 머티어리얼스, 인코포레이티드 Line edge roughness reduction compatible with trimming
US7846499B2 (en) 2004-12-30 2010-12-07 Asm International N.V. Method of pulsing vapor precursors in an ALD reactor
US7195985B2 (en) 2005-01-04 2007-03-27 Intel Corporation CMOS transistor junction regions formed by a CVD etching and deposition sequence
JP2006188729A (en) 2005-01-05 2006-07-20 Hitachi Kokusai Electric Inc Substrate treatment apparatus
US7560395B2 (en) 2005-01-05 2009-07-14 Micron Technology, Inc. Atomic layer deposited hafnium tantalum oxide dielectrics
US7598516B2 (en) 2005-01-07 2009-10-06 International Business Machines Corporation Self-aligned process for nanotube/nanowire FETs
US7169668B2 (en) 2005-01-09 2007-01-30 United Microelectronics Corp. Method of manufacturing a split-gate flash memory device
KR101463581B1 (en) 2005-01-18 2014-11-20 에이에스엠 아메리카, 인코포레이티드 Reaction system for growing a thin film
US20060156981A1 (en) 2005-01-18 2006-07-20 Kyle Fondurulia Wafer support pin assembly
US20060156980A1 (en) 2005-01-19 2006-07-20 Samsung Electronics Co., Ltd. Apparatus including 4-way valve for fabricating semiconductor device, method of controlling valve, and method of fabricating semiconductor device using the apparatus
KR100725037B1 (en) 2005-01-21 2007-06-07 세메스 주식회사 Apparatus and method for treating semiconductor device with plasma
US7964380B2 (en) 2005-01-21 2011-06-21 Argylia Technologies Nanoparticles for manipulation of biopolymers and methods of thereof
US20060162661A1 (en) 2005-01-22 2006-07-27 Applied Materials, Inc. Mixing energized and non-energized gases for silicon nitride deposition
JP2006203120A (en) 2005-01-24 2006-08-03 Toshiba Corp Method for manufacturing semiconductor apparatus
KR100640550B1 (en) 2005-01-26 2006-10-31 주식회사 아이피에스 a method for depositing thin film using ALD
US7438949B2 (en) 2005-01-27 2008-10-21 Applied Materials, Inc. Ruthenium containing layer deposition method
US20060162658A1 (en) 2005-01-27 2006-07-27 Applied Materials, Inc. Ruthenium layer deposition apparatus and method
US20060240187A1 (en) 2005-01-27 2006-10-26 Applied Materials, Inc. Deposition of an intermediate catalytic layer on a barrier layer for copper metallization
US7235492B2 (en) 2005-01-31 2007-06-26 Applied Materials, Inc. Low temperature etchant for treatment of silicon-containing surfaces
JP5045432B2 (en) 2005-01-31 2012-10-10 宇部興産株式会社 Method for producing red phosphor and red phosphor
US7298009B2 (en) 2005-02-01 2007-11-20 Infineon Technologies Ag Semiconductor method and device with mixed orientation substrate
US7135402B2 (en) 2005-02-01 2006-11-14 Taiwan Semiconductor Manufacturing Company, Ltd. Sealing pores of low-k dielectrics using CxHy
US7438760B2 (en) 2005-02-04 2008-10-21 Asm America, Inc. Methods of making substitutionally carbon-doped crystalline Si-containing materials by chemical vapor deposition
KR100585178B1 (en) 2005-02-05 2006-05-30 삼성전자주식회사 Semiconductor device comprising finfet having metal gate electrode and fabricating method thereof
US20060176928A1 (en) 2005-02-08 2006-08-10 Tokyo Electron Limited Substrate processing apparatus, control method adopted in substrate processing apparatus and program
US20060182885A1 (en) 2005-02-14 2006-08-17 Xinjian Lei Preparation of metal silicon nitride films via cyclic deposition
US20070292974A1 (en) 2005-02-17 2007-12-20 Hitachi Kokusai Electric Inc Substrate Processing Method and Substrate Processing Apparatus
KR100841866B1 (en) 2005-02-17 2008-06-27 가부시키가이샤 히다치 고쿠사이 덴키 Production method for semiconductor device and substrate processing device
JP2008532271A (en) 2005-02-22 2008-08-14 エーエスエム アメリカ インコーポレイテッド Surface plasma pretreatment for atomic layer deposition
US20060185589A1 (en) 2005-02-23 2006-08-24 Raanan Zehavi Silicon gas injector and method of making
US7410340B2 (en) 2005-02-24 2008-08-12 Asyst Technologies, Inc. Direct tool loading
KR100667598B1 (en) 2005-02-25 2007-01-12 주식회사 아이피에스 Apparatus for semiconductor process
JP4764028B2 (en) 2005-02-28 2011-08-31 株式会社日立ハイテクノロジーズ Plasma processing method
KR100854995B1 (en) 2005-03-02 2008-08-28 삼성전자주식회사 High density plasma chemical vapor deposition apparatus
US8211235B2 (en) 2005-03-04 2012-07-03 Picosun Oy Apparatuses and methods for deposition of material on surfaces
US7629267B2 (en) 2005-03-07 2009-12-08 Asm International N.V. High stress nitride film and method for formation thereof
US6972478B1 (en) 2005-03-07 2005-12-06 Advanced Micro Devices, Inc. Integrated circuit and method for its manufacture
JP4258518B2 (en) 2005-03-09 2009-04-30 東京エレクトロン株式会社 Film forming method, film forming apparatus, and storage medium
JP4214124B2 (en) 2005-03-14 2009-01-28 株式会社バイオエコーネット Ear thermometer
US7666773B2 (en) 2005-03-15 2010-02-23 Asm International N.V. Selective deposition of noble metal thin films
US7608549B2 (en) 2005-03-15 2009-10-27 Asm America, Inc. Method of forming non-conformal layers
US7211525B1 (en) 2005-03-16 2007-05-01 Novellus Systems, Inc. Hydrogen treatment enhanced gap fill
US7376520B2 (en) 2005-03-16 2008-05-20 Lam Research Corporation System and method for gas flow verification
JP2006261434A (en) 2005-03-17 2006-09-28 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude Method for forming silicon oxide film
US8974868B2 (en) 2005-03-21 2015-03-10 Tokyo Electron Limited Post deposition plasma cleaning system and method
US8486845B2 (en) 2005-03-21 2013-07-16 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US20060211259A1 (en) 2005-03-21 2006-09-21 Maes Jan W Silicon oxide cap over high dielectric constant films
US7314835B2 (en) 2005-03-21 2008-01-01 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
KR100669828B1 (en) 2005-03-22 2007-01-16 성균관대학교산학협력단 Neutral beam deposition apparatus and Atomic layer deposition method using the same
KR100655431B1 (en) 2005-03-23 2006-12-11 삼성전자주식회사 Wafer carrier for lessoning contact area with wafers and wafer cleaning method using the same
US7422636B2 (en) 2005-03-25 2008-09-09 Tokyo Electron Limited Plasma enhanced atomic layer deposition system having reduced contamination
JP2006278058A (en) 2005-03-28 2006-10-12 Matsushita Electric Works Ltd Plasma processing device
US20060213437A1 (en) 2005-03-28 2006-09-28 Tokyo Electron Limited Plasma enhanced atomic layer deposition system
US20060226117A1 (en) 2005-03-29 2006-10-12 Bertram Ronald T Phase change based heating element system and method
US7687409B2 (en) 2005-03-29 2010-03-30 Micron Technology, Inc. Atomic layer deposited titanium silicon oxide films
US7282415B2 (en) 2005-03-29 2007-10-16 Freescale Semiconductor, Inc. Method for making a semiconductor device with strain enhancement
JP4906714B2 (en) 2005-03-29 2012-03-28 株式会社日立国際電気 Substrate processing apparatus, centralized management apparatus, display method and adjustment method for substrate processing apparatus
USD559994S1 (en) 2005-03-30 2008-01-15 Tokyo Electron Limited Cover ring
USD559993S1 (en) 2005-03-30 2008-01-15 Tokyo Electron Limited Cover ring
US20060228898A1 (en) 2005-03-30 2006-10-12 Cory Wajda Method and system for forming a high-k dielectric layer
US7993489B2 (en) 2005-03-31 2011-08-09 Tokyo Electron Limited Capacitive coupling plasma processing apparatus and method for using the same
US8298336B2 (en) 2005-04-01 2012-10-30 Lam Research Corporation High strip rate downstream chamber
US7479198B2 (en) 2005-04-07 2009-01-20 Timothy D'Annunzio Methods for forming nanofiber adhesive structures
KR20080003387A (en) 2005-04-07 2008-01-07 에비자 테크놀로지, 인크. Multilayer, multicomponent high-k films and methods for depositing the same
KR100640640B1 (en) 2005-04-19 2006-10-31 삼성전자주식회사 Method of forming fine pattern of semiconductor device using fine pitch hardmask
WO2006112532A1 (en) 2005-04-19 2006-10-26 Ebara Corporation Substrate processing apparatus
JP4694878B2 (en) 2005-04-20 2011-06-08 Okiセミコンダクタ株式会社 Semiconductor manufacturing apparatus and semiconductor device manufacturing method
WO2006115476A2 (en) 2005-04-21 2006-11-02 Honeywell International Inc. Ruthenium-based materials and ruthenium alloys
US7160819B2 (en) 2005-04-25 2007-01-09 Sharp Laboratories Of America, Inc. Method to perform selective atomic layer deposition of zinc oxide
US7544398B1 (en) 2005-04-26 2009-06-09 The Regents Of The Univesity Of California Controlled nano-doping of ultra thin films
US8137465B1 (en) 2005-04-26 2012-03-20 Novellus Systems, Inc. Single-chamber sequential curing of semiconductor wafers
WO2006114781A2 (en) 2005-04-26 2006-11-02 University College Cork - National University Of Ireland, Cork Deposition of materials
US7351057B2 (en) 2005-04-27 2008-04-01 Asm International N.V. Door plate for furnace
US7425350B2 (en) 2005-04-29 2008-09-16 Asm Japan K.K. Apparatus, precursors and deposition methods for silicon-containing materials
US7169018B2 (en) 2005-05-04 2007-01-30 Micrel, Incorporated Wafer carrier checker and method of using same
US7084060B1 (en) 2005-05-04 2006-08-01 International Business Machines Corporation Forming capping layer over metal wire structure using selective atomic layer deposition
US7915173B2 (en) 2005-05-05 2011-03-29 Macronix International Co., Ltd. Shallow trench isolation structure having reduced dislocation density
US7214630B1 (en) 2005-05-06 2007-05-08 Novellus Systems, Inc. PMOS transistor with compressive dielectric capping layer
US20060249175A1 (en) 2005-05-09 2006-11-09 Applied Materials, Inc. High efficiency UV curing system
US20060251827A1 (en) 2005-05-09 2006-11-09 Applied Materials, Inc. Tandem uv chamber for curing dielectric materials
KR100688836B1 (en) 2005-05-11 2007-03-02 삼성에스디아이 주식회사 Catalyst ehhanced chemical vapor depostion apparatus
JP4666473B2 (en) 2005-05-12 2011-04-06 大日本スクリーン製造株式会社 Substrate heat treatment equipment
US7875556B2 (en) 2005-05-16 2011-01-25 Air Products And Chemicals, Inc. Precursors for CVD silicon carbo-nitride and silicon nitride films
JP2006319261A (en) 2005-05-16 2006-11-24 Dainippon Screen Mfg Co Ltd Substrate processing apparatus
US7109098B1 (en) 2005-05-17 2006-09-19 Applied Materials, Inc. Semiconductor junction formation process including low temperature plasma deposition of an optical absorption layer and high speed optical annealing
US7422775B2 (en) 2005-05-17 2008-09-09 Applied Materials, Inc. Process for low temperature plasma deposition of an optical absorption layer and high speed optical annealing
US7101763B1 (en) 2005-05-17 2006-09-05 International Business Machines Corporation Low capacitance junction-isolation for bulk FinFET technology
US7312162B2 (en) 2005-05-17 2007-12-25 Applied Materials, Inc. Low temperature plasma deposition process for carbon layer deposition
US20060260545A1 (en) 2005-05-17 2006-11-23 Kartik Ramaswamy Low temperature absorption layer deposition and high speed optical annealing system
KR100731164B1 (en) 2005-05-19 2007-06-20 주식회사 피에조닉스 Apparatus of chemical vapor deposition with a shower head and method therof
JP2006324551A (en) 2005-05-20 2006-11-30 Shibaura Mechatronics Corp Plasma generator and plasma processing apparatus
US20070155138A1 (en) 2005-05-24 2007-07-05 Pierre Tomasini Apparatus and method for depositing silicon germanium films
US8129290B2 (en) 2005-05-26 2012-03-06 Applied Materials, Inc. Method to increase tensile stress of silicon nitride films using a post PECVD deposition UV cure
US7732342B2 (en) 2005-05-26 2010-06-08 Applied Materials, Inc. Method to increase the compressive stress of PECVD silicon nitride films
US8138104B2 (en) 2005-05-26 2012-03-20 Applied Materials, Inc. Method to increase silicon nitride tensile stress using nitrogen plasma in-situ treatment and ex-situ UV cure
US20060269690A1 (en) 2005-05-27 2006-11-30 Asm Japan K.K. Formation technology for nanoparticle films having low dielectric constant
CN101189708A (en) 2005-05-31 2008-05-28 东京毅力科创株式会社 Plasma treatment apparatus and plasma treatment method
US20060275933A1 (en) 2005-06-02 2006-12-07 Applied Materials, Inc. Thermally conductive ceramic tipped contact thermocouple
US7608490B2 (en) 2005-06-02 2009-10-27 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method thereof
KR100750968B1 (en) 2005-06-07 2007-08-22 주식회사 알지비하이텍 Structure of susceptor inside plasma enhanced chemical vapor deposition device
US20060281310A1 (en) 2005-06-08 2006-12-14 Applied Materials, Inc. Rotating substrate support and methods of use
WO2007027165A1 (en) 2005-06-09 2007-03-08 Axcelis Technologies, Inc. Ultraviolet curing process for spin-on dielectric materials used in pre-metal and/or shallow trench isolation applications
US8435905B2 (en) 2005-06-13 2013-05-07 Hitachi Kokusai Electric Inc. Manufacturing method of semiconductor device, and substrate processing apparatus
US20060278524A1 (en) 2005-06-14 2006-12-14 Stowell Michael W System and method for modulating power signals to control sputtering
JP4853857B2 (en) 2005-06-15 2012-01-11 東京エレクトロン株式会社 Substrate processing method, computer-readable recording medium, and substrate processing apparatus
US7473655B2 (en) 2005-06-17 2009-01-06 Applied Materials, Inc. Method for silicon based dielectric chemical vapor deposition
JP4753173B2 (en) 2005-06-17 2011-08-24 株式会社フジキン Fluid control device
JP4728708B2 (en) 2005-06-17 2011-07-20 日本電気株式会社 Wiring board and manufacturing method thereof
US7651955B2 (en) 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7648927B2 (en) 2005-06-21 2010-01-19 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US20060286819A1 (en) 2005-06-21 2006-12-21 Applied Materials, Inc. Method for silicon based dielectric deposition and clean with photoexcitation
US7601652B2 (en) 2005-06-21 2009-10-13 Applied Materials, Inc. Method for treating substrates and films with photoexcitation
US20060286774A1 (en) 2005-06-21 2006-12-21 Applied Materials. Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US20090194233A1 (en) 2005-06-23 2009-08-06 Tokyo Electron Limited Component for semicondutor processing apparatus and manufacturing method thereof
JP2007005582A (en) 2005-06-24 2007-01-11 Asm Japan Kk Substrate transfer apparatus and semiconductor substrate manufacturing apparatus mounted with the same
US20060292310A1 (en) 2005-06-27 2006-12-28 Applied Materials, Inc. Process kit design to reduce particle generation
US7575990B2 (en) 2005-07-01 2009-08-18 Macronix International Co., Ltd. Method of forming self-aligned contacts and local interconnects
KR20130007667A (en) 2005-07-07 2013-01-18 엠케이에스 인스트루먼츠, 인코포레이티드 Ozone system for multi-chamber tools
US20070031598A1 (en) 2005-07-08 2007-02-08 Yoshikazu Okuyama Method for depositing silicon-containing films
US20070010072A1 (en) 2005-07-09 2007-01-11 Aviza Technology, Inc. Uniform batch film deposition process and films so produced
US8771806B2 (en) 2005-07-09 2014-07-08 Bang-Kwon Kang Surface coating method for hydrophobic and superhydrophobic treatment in atmospheric pressure plasma
US7762755B2 (en) 2005-07-11 2010-07-27 Brooks Automation, Inc. Equipment storage for substrate processing apparatus
US7925378B2 (en) 2005-07-11 2011-04-12 Brooks Automation, Inc. Process apparatus with on-the-fly workpiece centering
US7579285B2 (en) 2005-07-11 2009-08-25 Imec Atomic layer deposition method for depositing a layer
TW200702647A (en) 2005-07-13 2007-01-16 Actherm Inc Heat conductive structure of electronic clinical thermometer and clinical thermometer with the same
US20070014919A1 (en) 2005-07-15 2007-01-18 Jani Hamalainen Atomic layer deposition of noble metal oxides
US7314838B2 (en) 2005-07-21 2008-01-01 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming a high density dielectric film by chemical vapor deposition
US7271044B2 (en) 2005-07-21 2007-09-18 International Business Machines Corporation CMOS (complementary metal oxide semiconductor) technology
JP2007035747A (en) 2005-07-25 2007-02-08 Sumitomo Electric Ind Ltd Wafer holder, and wafer prober equipped with the same
CN101142012B (en) 2005-07-26 2011-08-24 川崎重工业株式会社 Mixed fluid uniformization device and mixed fluid feeding apparatus
JP2007035899A (en) 2005-07-27 2007-02-08 Sumitomo Electric Ind Ltd Wafer holding body for wafer prober, and wafer prober mounting the same
TWI313486B (en) 2005-07-28 2009-08-11 Nuflare Technology Inc Position measurement apparatus and method and writing apparatus and method
TWI327339B (en) 2005-07-29 2010-07-11 Nuflare Technology Inc Vapor phase growing apparatus and vapor phase growing method
TWI261313B (en) 2005-07-29 2006-09-01 Ind Tech Res Inst A method for a large dimension plasma enhanced atomic layer deposition cavity and an apparatus thereof
USD593585S1 (en) 2005-07-29 2009-06-02 Tokyo Electron Limited Top panel for microwave introduction window of a plasma processing apparatus
USD571383S1 (en) 2005-07-29 2008-06-17 Tokyo Electron Limited Top panel for microwave introduction window of a plasma processing apparatus
USD571831S1 (en) 2005-07-29 2008-06-24 Tokyo Electron Limited Top panel for microwave introduction window of a plasma processing apparatus
US20070028842A1 (en) 2005-08-02 2007-02-08 Makoto Inagawa Vacuum chamber bottom
US20090047447A1 (en) 2005-08-02 2009-02-19 Sawin Herbert H Method for removing surface deposits and passivating interior surfaces of the interior of a chemical vapor deposition reactor
US20090045829A1 (en) 2005-08-04 2009-02-19 Sumitomo Electric Industries, Ltd. Wafer holder for wafer prober and wafer prober equipped with same
WO2007015436A1 (en) 2005-08-04 2007-02-08 Tosoh Corporation Metal-containing compound, process for producing the same, metal-containing thin film, and method of forming the same
US20070037412A1 (en) 2005-08-05 2007-02-15 Tokyo Electron Limited In-situ atomic layer deposition
WO2007018157A1 (en) 2005-08-05 2007-02-15 Tokyo Electron Limited Substrate processing apparatus and substrate stage used therein
JP5117856B2 (en) 2005-08-05 2013-01-16 株式会社日立国際電気 Substrate processing apparatus, cooling gas supply nozzle, and semiconductor device manufacturing method
US7429532B2 (en) 2005-08-08 2008-09-30 Applied Materials, Inc. Semiconductor substrate process using an optically writable carbon-containing mask
US7335611B2 (en) 2005-08-08 2008-02-26 Applied Materials, Inc. Copper conductor annealing process employing high speed optical annealing with a low temperature-deposited optical absorber layer
US7323401B2 (en) 2005-08-08 2008-01-29 Applied Materials, Inc. Semiconductor substrate process using a low temperature deposited carbon-containing hard mask
US7312148B2 (en) 2005-08-08 2007-12-25 Applied Materials, Inc. Copper barrier reflow process employing high speed optical annealing
US7229873B2 (en) 2005-08-10 2007-06-12 Texas Instruments Incorporated Process for manufacturing dual work function metal gates in a microelectronics device
JP4666215B2 (en) 2005-08-10 2011-04-06 株式会社ダイフク Article conveying device
WO2007020874A1 (en) 2005-08-16 2007-02-22 Hitachi Kokusai Electric Inc. Thin film forming method and semiconductor device manufacturing method
US8709162B2 (en) 2005-08-16 2014-04-29 Applied Materials, Inc. Active cooling substrate support
US7718225B2 (en) 2005-08-17 2010-05-18 Applied Materials, Inc. Method to control semiconductor film deposition characteristics
JP4628900B2 (en) 2005-08-24 2011-02-09 株式会社日立ハイテクノロジーズ Plasma processing equipment
KR100689037B1 (en) 2005-08-24 2007-03-08 삼성전자주식회사 micrewave resonance plasma generating apparatus and plasma processing system having the same
JP5184357B2 (en) 2005-08-24 2013-04-17 エレクトロニクス アンド テレコミュニケーションズ リサーチ インスチチュート Method for producing vanadium oxide thin film
US8123968B2 (en) 2005-08-25 2012-02-28 Round Rock Research, Llc Multiple deposition for integration of spacers in pitch multiplication process
USD556704S1 (en) 2005-08-25 2007-12-04 Hitachi High-Technologies Corporation Grounded electrode for a plasma processing apparatus
USD557226S1 (en) 2005-08-25 2007-12-11 Hitachi High-Technologies Corporation Electrode cover for a plasma processing apparatus
US7402534B2 (en) 2005-08-26 2008-07-22 Applied Materials, Inc. Pretreatment processes within a batch ALD reactor
US7393736B2 (en) 2005-08-29 2008-07-01 Micron Technology, Inc. Atomic layer deposition of Zrx Hfy Sn1-x-y O2 films as high k gate dielectrics
US8110469B2 (en) 2005-08-30 2012-02-07 Micron Technology, Inc. Graded dielectric layers
US20070047384A1 (en) 2005-09-01 2007-03-01 Mclaughlin Jon K Control system for and method of combining materials
JP4815600B2 (en) 2005-09-06 2011-11-16 株式会社テラセミコン Polycrystalline silicon thin film manufacturing method and manufacturing apparatus thereof
US20070190362A1 (en) 2005-09-08 2007-08-16 Weidman Timothy W Patterned electroless metallization processes for large area electronics
US8052794B2 (en) 2005-09-12 2011-11-08 The United States Of America As Represented By The Secretary Of The Navy Directed reagents to improve material uniformity
US20070056843A1 (en) 2005-09-13 2007-03-15 Applied Materials, Inc. Method of processing a substrate using a large-area magnetron sputtering chamber with individually controlled sputtering zones
US20070056850A1 (en) 2005-09-13 2007-03-15 Applied Materials, Inc. Large-area magnetron sputtering chamber with individually controlled sputtering zones
US20070065597A1 (en) 2005-09-15 2007-03-22 Asm Japan K.K. Plasma CVD film formation apparatus provided with mask
JP2007088113A (en) 2005-09-21 2007-04-05 Sony Corp Manufacturing method of semiconductor device
JP5017950B2 (en) 2005-09-21 2012-09-05 株式会社Sumco Temperature control method for epitaxial growth equipment
US20070066084A1 (en) 2005-09-21 2007-03-22 Cory Wajda Method and system for forming a layer with controllable spstial variation
US20070065578A1 (en) 2005-09-21 2007-03-22 Applied Materials, Inc. Treatment processes for a batch ALD reactor
US7578616B2 (en) 2005-09-22 2009-08-25 Lam Research Corporation Apparatus for determining a temperature of a substrate and methods therefor
WO2007041164A2 (en) 2005-09-30 2007-04-12 Bognar John A Measuring nitrogen oxides and other gases by ozone formation
US7976641B1 (en) 2005-09-30 2011-07-12 Lam Research Corporation Extending storage time of removed plasma chamber components prior to cleaning thereof
US7691204B2 (en) 2005-09-30 2010-04-06 Applied Materials, Inc. Film formation apparatus and methods including temperature and emissivity/pattern compensation
US8372203B2 (en) 2005-09-30 2013-02-12 Applied Materials, Inc. Apparatus temperature control and pattern compensation
USD541125S1 (en) 2005-10-05 2007-04-24 Powers Products Iii, Llc Fastener slide
US7785658B2 (en) 2005-10-07 2010-08-31 Asm Japan K.K. Method for forming metal wiring structure
US7754906B2 (en) 2005-10-07 2010-07-13 Air Products And Chemicals, Inc. Ti, Ta, Hf, Zr and related metal silicon amides for ALD/CVD of metal-silicon nitrides, oxides or oxynitrides
US7955436B2 (en) 2006-02-24 2011-06-07 Intermolecular, Inc. Systems and methods for sealing in site-isolated reactors
KR101153118B1 (en) 2005-10-12 2012-06-07 파나소닉 주식회사 Plasma processing apparatus and plasma processing method
US8149346B2 (en) 2005-10-14 2012-04-03 Semiconductor Energy Laboratory Co., Ltd. Display device and manufacturing method thereof
US20090039475A1 (en) 2005-10-14 2009-02-12 Yoshimi Shioya Apparatus and Method for Manufacturing Semiconductor
US7244658B2 (en) 2005-10-17 2007-07-17 Applied Materials, Inc. Low stress STI films and methods
US7294581B2 (en) 2005-10-17 2007-11-13 Applied Materials, Inc. Method for fabricating silicon nitride spacer structures
US7691205B2 (en) 2005-10-18 2010-04-06 Asm Japan K.K. Substrate-supporting device
KR100725108B1 (en) 2005-10-18 2007-06-04 삼성전자주식회사 Apparatus for supplying gas and apparatus for manufacturing a substrate having the same
US7727828B2 (en) 2005-10-20 2010-06-01 Applied Materials, Inc. Method for fabricating a gate dielectric of a field effect transistor
WO2007045515A1 (en) 2005-10-20 2007-04-26 Agfa Graphics Nv Negative working, heat-sensitive lithographic printing plate precursor
JP2007115973A (en) 2005-10-21 2007-05-10 Shin Etsu Chem Co Ltd Corrosion resistant member
US7968205B2 (en) 2005-10-21 2011-06-28 Shin-Etsu Chemical Co., Ltd. Corrosion resistant multilayer member
US8993055B2 (en) 2005-10-27 2015-03-31 Asm International N.V. Enhanced thin film deposition
US7638951B2 (en) 2005-10-27 2009-12-29 Luxim Corporation Plasma lamp with stable feedback amplification and method therefor
US7906910B2 (en) 2005-10-27 2011-03-15 Luxim Corporation Plasma lamp with conductive material positioned relative to RF feed
US7994721B2 (en) 2005-10-27 2011-08-09 Luxim Corporation Plasma lamp and methods using a waveguide body and protruding bulb
US20070095283A1 (en) 2005-10-31 2007-05-03 Galewski Carl J Pumping System for Atomic Layer Deposition
WO2007053626A2 (en) 2005-10-31 2007-05-10 Applied Materials, Inc. Process abatement reactor
JP5044931B2 (en) 2005-10-31 2012-10-10 東京エレクトロン株式会社 Gas supply apparatus and substrate processing apparatus
US9127362B2 (en) 2005-10-31 2015-09-08 Applied Materials, Inc. Process kit and target for substrate processing chamber
DE102005051994B4 (en) 2005-10-31 2011-12-01 Globalfoundries Inc. Deformation technique in silicon-based transistors using embedded semiconductor layers with atoms of large covalent radius
US7399712B1 (en) 2005-10-31 2008-07-15 Novellus Systems, Inc. Method for etching organic hardmasks
TWI331770B (en) 2005-11-04 2010-10-11 Applied Materials Inc Apparatus for plasma-enhanced atomic layer deposition
US7695808B2 (en) 2005-11-07 2010-04-13 3M Innovative Properties Company Thermal transfer coating
US7622378B2 (en) 2005-11-09 2009-11-24 Tokyo Electron Limited Multi-step system and method for curing a dielectric film
US7561982B2 (en) 2005-11-10 2009-07-14 Shake Awake Products, LLC Physical attribute recording method and system
JP4940635B2 (en) 2005-11-14 2012-05-30 東京エレクトロン株式会社 Heating device, heat treatment device and storage medium
US20090087967A1 (en) 2005-11-14 2009-04-02 Todd Michael A Precursors and processes for low temperature selective epitaxial growth
US7589028B1 (en) 2005-11-15 2009-09-15 Novellus Systems, Inc. Hydroxyl bond removal and film densification method for oxide films using microwave post treatment
GB2432363B (en) 2005-11-16 2010-06-23 Epichem Ltd Hafnocene and zirconocene precursors, and use thereof in atomic layer deposition
JP4975414B2 (en) 2005-11-16 2012-07-11 エーエスエム インターナショナル エヌ.ヴェー. Method for film deposition by CVD or ALD
KR100660890B1 (en) 2005-11-16 2006-12-26 삼성전자주식회사 Method for forming silicon dioxide film using atomic layer deposition
US20070116872A1 (en) 2005-11-18 2007-05-24 Tokyo Electron Limited Apparatus for thermal and plasma enhanced vapor deposition and method of operating
US8815014B2 (en) 2005-11-18 2014-08-26 Tokyo Electron Limited Method and system for performing different deposition processes within a single chamber
US20070116888A1 (en) 2005-11-18 2007-05-24 Tokyo Electron Limited Method and system for performing different deposition processes within a single chamber
US7897217B2 (en) 2005-11-18 2011-03-01 Tokyo Electron Limited Method and system for performing plasma enhanced atomic layer deposition
US20070116873A1 (en) 2005-11-18 2007-05-24 Tokyo Electron Limited Apparatus for thermal and plasma enhanced vapor deposition and method of operating
JP5097554B2 (en) 2005-11-18 2012-12-12 株式会社日立国際電気 Semiconductor device manufacturing method, substrate processing method, and substrate processing apparatus
KR101505497B1 (en) 2005-11-22 2015-03-24 아익스트론 인코포레이티드 Small volume symmetric flow single wafer ald apparatus
US8382909B2 (en) 2005-11-23 2013-02-26 Edwards Limited Use of spectroscopic techniques to monitor and control reactant gas input into a pre-pump reactive gas injection system
US7629277B2 (en) 2005-11-23 2009-12-08 Honeywell International Inc. Frag shield
US7912439B2 (en) 2005-11-25 2011-03-22 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and operating method thereof
US8603580B2 (en) 2005-11-28 2013-12-10 Msp Corporation High stability and high capacity precursor vapor generation for thin film deposition
JP5082229B2 (en) 2005-11-29 2012-11-28 東京エレクトロン株式会社 Plasma processing equipment
US20070125762A1 (en) 2005-12-01 2007-06-07 Applied Materials, Inc. Multi-zone resistive heater
US7862683B2 (en) 2005-12-02 2011-01-04 Tokyo Electron Limited Chamber dry cleaning
US7963917B2 (en) 2005-12-05 2011-06-21 Echo Therapeutics, Inc. System and method for continuous non-invasive glucose monitoring
US7857506B2 (en) 2005-12-05 2010-12-28 Sencal Llc Disposable, pre-calibrated, pre-validated sensors for use in bio-processing applications
CN101321893B (en) 2005-12-06 2011-09-28 株式会社爱发科 Gas head and thin-film manufacturing device
US8003919B2 (en) 2005-12-06 2011-08-23 Dainippon Screen Mfg. Co., Ltd. Substrate heat treatment apparatus
JP4666496B2 (en) 2005-12-07 2011-04-06 大日本スクリーン製造株式会社 Substrate heat treatment equipment
JP4803578B2 (en) 2005-12-08 2011-10-26 東京エレクトロン株式会社 Deposition method
US7592251B2 (en) 2005-12-08 2009-09-22 Micron Technology, Inc. Hafnium tantalum titanium oxide films
US8454749B2 (en) 2005-12-19 2013-06-04 Tokyo Electron Limited Method and system for sealing a first assembly to a second assembly of a processing system
US20070264427A1 (en) 2005-12-21 2007-11-15 Asm Japan K.K. Thin film formation by atomic layer growth and chemical vapor deposition
DE602006004834D1 (en) 2005-12-22 2009-03-05 Freiberger Compound Mat Gmbh A method of selectively masking III-N layers and producing self-supporting III-N layers or devices
US7713584B2 (en) 2005-12-22 2010-05-11 Asm International N.V. Process for producing oxide films
US7651571B2 (en) 2005-12-22 2010-01-26 Kyocera Corporation Susceptor
US7381644B1 (en) 2005-12-23 2008-06-03 Novellus Systems, Inc. Pulsed PECVD method for modulating hydrogen content in hard mask
JP4629574B2 (en) 2005-12-27 2011-02-09 日本発條株式会社 Substrate support device and manufacturing method thereof
KR101296911B1 (en) 2005-12-28 2013-08-14 엘지디스플레이 주식회사 Apparatus For Fabricating Flat Panel Display, Detector Static Electricity Quantity Thereof And Method For Detecting
TWM292692U (en) 2005-12-29 2006-06-21 Powerchip Semiconductor Corp Thermocouple apparatus
TWI284390B (en) 2006-01-10 2007-07-21 Ind Tech Res Inst Manufacturing method of charge store device
US8088248B2 (en) 2006-01-11 2012-01-03 Lam Research Corporation Gas switching section including valves having different flow coefficients for gas distribution system
CN101003895B (en) 2006-01-16 2011-10-19 中微半导体设备(上海)有限公司 Device for delivering reactant to substrate, and process method
JP5068458B2 (en) 2006-01-18 2012-11-07 東京エレクトロン株式会社 Plasma processing apparatus and plasma processing method
JP5324026B2 (en) 2006-01-18 2013-10-23 東京エレクトロン株式会社 Plasma processing apparatus and plasma processing apparatus control method
JP2007191792A (en) 2006-01-19 2007-08-02 Atto Co Ltd Gas separation type showerhead
JP5280861B2 (en) 2006-01-19 2013-09-04 エーエスエム アメリカ インコーポレイテッド High temperature ALD inlet manifold
US20080254220A1 (en) 2006-01-20 2008-10-16 Tokyo Electron Limited Plasma processing apparatus
US20070173071A1 (en) 2006-01-20 2007-07-26 International Business Machines Corporation SiCOH dielectric
US8673413B2 (en) 2006-01-27 2014-03-18 Tosoh Finechem Corporation Method for packing solid organometallic compound and packed container
JP4854317B2 (en) 2006-01-31 2012-01-18 東京エレクトロン株式会社 Substrate processing method
JP4911980B2 (en) 2006-02-02 2012-04-04 東京エレクトロン株式会社 Decompression processing equipment
KR100785163B1 (en) 2006-02-03 2007-12-11 위순임 Substrate processing system having multi remote plasma generator
US7736437B2 (en) 2006-02-03 2010-06-15 Integrated Materials, Incorporated Baffled liner cover
WO2007091638A1 (en) 2006-02-09 2007-08-16 Sumco Techxiv Corporation Susceptor and apparatus for manufacturing epitaxial wafer
US20070184179A1 (en) 2006-02-09 2007-08-09 Akshay Waghray Methods and apparatus to monitor a process of depositing a constituent of a multi-constituent gas during production of a composite brake disc
US7695567B2 (en) 2006-02-10 2010-04-13 Applied Materials, Inc. Water vapor passivation of a wall facing a plasma
JP2007211326A (en) 2006-02-13 2007-08-23 Nec Electronics Corp Film deposition apparatus and film deposition method
US8057603B2 (en) 2006-02-13 2011-11-15 Tokyo Electron Limited Method of cleaning substrate processing chamber, storage medium, and substrate processing chamber
US20070187363A1 (en) 2006-02-13 2007-08-16 Tokyo Electron Limited Substrate processing apparatus and substrate processing method
JP4783169B2 (en) 2006-02-13 2011-09-28 パナソニック株式会社 Dry etching method, fine structure forming method, mold and manufacturing method thereof
KR101379015B1 (en) 2006-02-15 2014-03-28 한국에이에스엠지니텍 주식회사 METHOD OF DEPOSITING Ru FILM USING PEALD AND DENSE Ru FILM
KR101224377B1 (en) 2006-02-17 2013-01-21 삼성디스플레이 주식회사 Method for forming silicon layer and method for fabricating display substrate using the method
KR101186740B1 (en) 2006-02-17 2012-09-28 삼성전자주식회사 Method for Fabricating Bank and Organic Thin Film Transistor Having the Bank
US20070207275A1 (en) 2006-02-21 2007-09-06 Applied Materials, Inc. Enhancement of remote plasma source clean for dielectric films
JP4497103B2 (en) 2006-02-21 2010-07-07 住友電気工業株式会社 Wafer holder, heater unit on which it is mounted, and wafer prober
KR20070084683A (en) 2006-02-21 2007-08-27 국민대학교산학협력단 Molecular layer deposition
JPWO2007097024A1 (en) 2006-02-27 2009-07-09 株式会社ユーテック Vaporizer, semiconductor manufacturing apparatus and semiconductor manufacturing method
US7354849B2 (en) 2006-02-28 2008-04-08 Intel Corporation Catalytically enhanced atomic layer deposition process
US20070215278A1 (en) 2006-03-06 2007-09-20 Muneo Furuse Plasma etching apparatus and method for forming inner wall of plasma processing chamber
KR101233632B1 (en) 2006-03-07 2013-02-15 씨케이디 가부시키 가이샤 Gas flow rate verification unit
US8501599B2 (en) 2006-03-07 2013-08-06 Hitachi Kokusai Electric Inc. Substrate processing apparatus and substrate processing method
US7670432B2 (en) 2006-03-08 2010-03-02 Tokyo Electron Limited Exhaust system for a vacuum processing system
US7740705B2 (en) 2006-03-08 2010-06-22 Tokyo Electron Limited Exhaust apparatus configured to reduce particle contamination in a deposition system
US7794546B2 (en) 2006-03-08 2010-09-14 Tokyo Electron Limited Sealing device and method for a processing system
US7460003B2 (en) 2006-03-09 2008-12-02 International Business Machines Corporation Electronic fuse with conformal fuse element formed over a freestanding dielectric spacer
US7494882B2 (en) 2006-03-10 2009-02-24 Texas Instruments Incorporated Manufacturing a semiconductive device using a controlled atomic layer removal process
KR20070093493A (en) 2006-03-14 2007-09-19 엘지이노텍 주식회사 Susceptor and semiconductor manufacturing device
US20070218200A1 (en) 2006-03-16 2007-09-20 Kenji Suzuki Method and apparatus for reducing particle formation in a vapor distribution system
US8268078B2 (en) 2006-03-16 2012-09-18 Tokyo Electron Limited Method and apparatus for reducing particle contamination in a deposition system
US8008596B2 (en) 2006-03-16 2011-08-30 Tokyo Electron Limited Plasma processing apparatus and electrode used therein
DE102006012367B4 (en) 2006-03-17 2015-07-16 Air Liquide Deutschland Gmbh Process for producing a hollow body made of plastic with an inner barrier layer
US7566891B2 (en) 2006-03-17 2009-07-28 Applied Materials, Inc. Apparatus and method for treating a substrate with UV radiation using primary and secondary reflectors
US7692171B2 (en) 2006-03-17 2010-04-06 Andrzei Kaszuba Apparatus and method for exposing a substrate to UV radiation using asymmetric reflectors
WO2007108401A1 (en) 2006-03-20 2007-09-27 Hitachi Kokusai Electric Inc. Semiconductor device manufacturing method and substrate processing apparatus
JP4827569B2 (en) 2006-03-23 2011-11-30 大日本スクリーン製造株式会社 SUBSTRATE SUPPORT STRUCTURE, HEAT TREATMENT DEVICE USING THE SAME, SHEET PRODUCT USED FOR SUBSTRATE SUPPORT STRUCTURE, AND METHOD FOR PRODUCING SUBSTRATE SUPPORT STRUCTURE
US7410915B2 (en) 2006-03-23 2008-08-12 Asm Japan K.K. Method of forming carbon polymer film using plasma CVD
WO2007112058A2 (en) 2006-03-24 2007-10-04 Applied Materials, Inc. Carbon precursors for use during silicon epitaxial firm formation
USD549815S1 (en) 2006-03-27 2007-08-28 Murphy Timothy M Air flow directing fixture for heating, air conditioning and ventilation devices
JP2007266464A (en) 2006-03-29 2007-10-11 Hitachi Ltd Manufacturing method of semiconductor integrated circuit device
US7456429B2 (en) 2006-03-29 2008-11-25 Eastman Kodak Company Apparatus for atomic layer deposition
US20070234955A1 (en) 2006-03-29 2007-10-11 Tokyo Electron Limited Method and apparatus for reducing carbon monoxide poisoning at the peripheral edge of a substrate in a thin film deposition system
US7910494B2 (en) 2006-03-29 2011-03-22 Tokyo Electron Limited Thermal processing furnace, gas delivery system therefor, and methods for delivering a process gas thereto
US8202367B2 (en) 2006-03-30 2012-06-19 Mitsui Engineering & Shipbuilding Co., Ltd. Atomic layer growing apparatus
US7829463B2 (en) 2006-03-30 2010-11-09 Tokyo Electron Limited Plasma processing method and plasma processing apparatus
US8951478B2 (en) 2006-03-30 2015-02-10 Applied Materials, Inc. Ampoule with a thermally conductive coating
US8012442B2 (en) 2006-03-31 2011-09-06 Tokyo Electron Limited Method of forming mixed rare earth nitride and aluminum nitride films by atomic layer deposition
US20070287301A1 (en) 2006-03-31 2007-12-13 Huiwen Xu Method to minimize wet etch undercuts and provide pore sealing of extreme low k (k<2.5) dielectrics
US7780865B2 (en) 2006-03-31 2010-08-24 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
US7753584B2 (en) 2006-03-31 2010-07-13 Mesoscribe Technologies, Inc. Thermocouples
US8097300B2 (en) 2006-03-31 2012-01-17 Tokyo Electron Limited Method of forming mixed rare earth oxynitride and aluminum oxynitride films by atomic layer deposition
US7737035B1 (en) 2006-03-31 2010-06-15 Novellus Systems, Inc. Dual seal deposition process chamber and process
US20070237697A1 (en) 2006-03-31 2007-10-11 Tokyo Electron Limited Method of forming mixed rare earth oxide and aluminate films by atomic layer deposition
US7645484B2 (en) 2006-03-31 2010-01-12 Tokyo Electron Limited Method of forming a metal carbide or metal carbonitride film having improved adhesion
JP4597894B2 (en) 2006-03-31 2010-12-15 東京エレクトロン株式会社 Substrate mounting table and substrate processing apparatus
US7396491B2 (en) 2006-04-06 2008-07-08 Osram Sylvania Inc. UV-emitting phosphor and lamp containing same
USD614258S1 (en) 2006-04-06 2010-04-20 Anemos Company Ltd. Motionless mixer
JP4943047B2 (en) 2006-04-07 2012-05-30 東京エレクトロン株式会社 Processing apparatus and processing method
US7902074B2 (en) 2006-04-07 2011-03-08 Micron Technology, Inc. Simplified pitch doubling process flow
US7276447B1 (en) 2006-04-11 2007-10-02 Applied Materials, Inc. Plasma dielectric etch process including ex-situ backside polymer removal for low-dielectric constant material
JP4764241B2 (en) 2006-04-17 2011-08-31 株式会社日立ハイテクノロジーズ Dry etching method
US8399349B2 (en) 2006-04-18 2013-03-19 Air Products And Chemicals, Inc. Materials and methods of forming controlled void
US20070248767A1 (en) 2006-04-19 2007-10-25 Asm Japan K.K. Method of self-cleaning of carbon-based film
KR101344990B1 (en) 2006-04-20 2013-12-24 신에쓰 가가꾸 고교 가부시끼가이샤 Conductive, plasma-resistant member
US8187415B2 (en) 2006-04-21 2012-05-29 Applied Materials, Inc. Plasma etch reactor with distribution of etch gases across a wafer surface and a polymer oxidizing gas in an independently fed center gas zone
US7410852B2 (en) 2006-04-21 2008-08-12 International Business Machines Corporation Opto-thermal annealing methods for forming metal gate and fully silicided gate field effect transistors
FR2900276B1 (en) 2006-04-25 2008-09-12 St Microelectronics Sa PEALD DEPOSITION OF A SILICON MATERIAL
JP4345774B2 (en) 2006-04-26 2009-10-14 ソニー株式会社 Manufacturing method of semiconductor device
US20070251456A1 (en) 2006-04-27 2007-11-01 Applied Materials, Inc., A Delaware Corporation Composite heater and chill plate
US20070259778A1 (en) 2006-04-28 2007-11-08 Syracuse University Flameless heating system
US20070252233A1 (en) 2006-04-28 2007-11-01 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for manufacturing the semiconductor device
US8231799B2 (en) 2006-04-28 2012-07-31 Applied Materials, Inc. Plasma reactor apparatus with multiple gas injection zones having time-changing separate configurable gas compositions for each zone
US7537804B2 (en) 2006-04-28 2009-05-26 Micron Technology, Inc. ALD methods in which two or more different precursors are utilized with one or more reactants to form materials over substrates
US7547633B2 (en) 2006-05-01 2009-06-16 Applied Materials, Inc. UV assisted thermal processing
US7997795B2 (en) 2006-05-02 2011-08-16 Watlow Electric Manufacturing Company Temperature sensors and methods of manufacture thereof
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
KR100829605B1 (en) 2006-05-12 2008-05-15 삼성전자주식회사 method of manufacturing the SONOS non-volatile memory device
US20070261868A1 (en) 2006-05-12 2007-11-15 Gross James R Magnetic torque-limiting device and method
US20070266945A1 (en) 2006-05-16 2007-11-22 Asm Japan K.K. Plasma cvd apparatus equipped with plasma blocking insulation plate
JP2007311558A (en) 2006-05-18 2007-11-29 Toshiba Corp Vapor phase deposition apparatus and method of manufacturing vapor phase deposition substrate
US8530361B2 (en) 2006-05-23 2013-09-10 Air Products And Chemicals, Inc. Process for producing silicon and oxide films from organoaminosilane precursors
US7875312B2 (en) 2006-05-23 2011-01-25 Air Products And Chemicals, Inc. Process for producing silicon oxide films for organoaminosilane precursors
CN101733058B (en) 2006-05-26 2014-10-22 英尼奥斯制造业比利时有限公司 Loop type reactor for polymerization
US20070289534A1 (en) 2006-05-30 2007-12-20 Applied Materials, Inc. Process chamber for dielectric gapfill
US7790634B2 (en) 2006-05-30 2010-09-07 Applied Materials, Inc Method for depositing and curing low-k films for gapfill and conformal film applications
US7825038B2 (en) 2006-05-30 2010-11-02 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
US20070281106A1 (en) 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
JP2007324350A (en) 2006-05-31 2007-12-13 Tokyo Electron Ltd Heat treatment method, heat treatment apparatus and substrate processing apparatus
US7623940B2 (en) 2006-06-02 2009-11-24 The Boeing Company Direct-manufactured duct interconnects
WO2007140813A1 (en) 2006-06-02 2007-12-13 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method of forming high-k dielectric films based on novel titanium, zirconium, and hafnium precursors and their use for semiconductor manufacturing
US20070281082A1 (en) 2006-06-02 2007-12-06 Nima Mokhlesi Flash Heating in Atomic Layer Deposition
US20070281105A1 (en) 2006-06-02 2007-12-06 Nima Mokhlesi Atomic Layer Deposition of Oxides Using Krypton as an Ion Generating Feeding Gas
US20070277735A1 (en) 2006-06-02 2007-12-06 Nima Mokhlesi Systems for Atomic Layer Deposition of Oxides Using Krypton as an Ion Generating Feeding Gas
US8278176B2 (en) 2006-06-07 2012-10-02 Asm America, Inc. Selective epitaxial formation of semiconductor films
US20080018004A1 (en) 2006-06-09 2008-01-24 Air Products And Chemicals, Inc. High Flow GaCl3 Delivery
KR100790779B1 (en) 2006-06-09 2008-01-02 주식회사 아이피에스 Method of depositing dielectric layer with increased gap-fill ability
JP5069427B2 (en) 2006-06-13 2012-11-07 北陸成型工業株式会社 Shower plate, and plasma processing apparatus, plasma processing method and electronic device manufacturing method using the same
US20090324971A1 (en) 2006-06-16 2009-12-31 Fujifilm Manufacturing Europe B.V. Method and apparatus for atomic layer deposition using an atmospheric pressure glow discharge plasma
JP5045000B2 (en) 2006-06-20 2012-10-10 東京エレクトロン株式会社 Film forming apparatus, gas supply apparatus, film forming method, and storage medium
US7625820B1 (en) 2006-06-21 2009-12-01 Novellus Systems, Inc. Method of selective coverage of high aspect ratio structures with a conformal film
US7482211B2 (en) 2006-06-22 2009-01-27 Taiwan Semiconductor Manufacturing Company, Ltd. Junction leakage reduction in SiGe process by implantation
US7691757B2 (en) 2006-06-22 2010-04-06 Asm International N.V. Deposition of complex nitride films
US7554103B2 (en) 2006-06-26 2009-06-30 Applied Materials, Inc. Increased tool utilization/reduction in MWBC for UV curing chamber
US7833351B2 (en) 2006-06-26 2010-11-16 Applied Materials, Inc. Batch processing platform for ALD and CVD
US7494272B2 (en) 2006-06-27 2009-02-24 Applied Materials, Inc. Dynamic surface annealing using addressable laser array with pyrometry feedback
US7718045B2 (en) 2006-06-27 2010-05-18 Applied Materials, Inc. Ground shield with reentrant feature
US7867578B2 (en) 2006-06-28 2011-01-11 Applied Materials, Inc. Method for depositing an amorphous carbon film with improved density and step coverage
US20080153311A1 (en) 2006-06-28 2008-06-26 Deenesh Padhi Method for depositing an amorphous carbon film with improved density and step coverage
US7501355B2 (en) 2006-06-29 2009-03-10 Applied Materials, Inc. Decreasing the etch rate of silicon nitride by carbon addition
JP4847231B2 (en) 2006-06-29 2011-12-28 ルネサスエレクトロニクス株式会社 Device to prevent contamination by exfoliation caused by electric field
JP4193910B2 (en) 2006-06-29 2008-12-10 ダイキン工業株式会社 Expansion valve with integrated refrigerant flow divider
US20080003425A1 (en) 2006-06-29 2008-01-03 Spencer James T Systems and Methods of the Formation of Solid State Metal Boride and Oxide Coatings
US7416989B1 (en) 2006-06-30 2008-08-26 Novellus Systems, Inc. Adsorption based material removal process
WO2008004278A1 (en) 2006-07-04 2008-01-10 Toshiba Mitsubishi-Electric Industrial Systems Corporation Apparatus for concentrating/diluting specific gas and method of concentrating/diluting specific gas
JP4193883B2 (en) 2006-07-05 2008-12-10 住友電気工業株式会社 Metalorganic vapor phase epitaxy system
JP5027573B2 (en) 2006-07-06 2012-09-19 株式会社小松製作所 Temperature sensor and temperature controller
WO2008008737A2 (en) 2006-07-10 2008-01-17 Asyst Technologies, Inc. Variable lot size load port
KR100799735B1 (en) 2006-07-10 2008-02-01 삼성전자주식회사 Method of forming metal oxide and apparatus for performing the same
KR100782484B1 (en) 2006-07-13 2007-12-05 삼성전자주식회사 Heat treatment equipment
JP4098338B2 (en) 2006-07-20 2008-06-11 川崎重工業株式会社 Wafer transfer device and substrate transfer device
US7981815B2 (en) 2006-07-20 2011-07-19 Hitachi Kokusai Electric Inc. Semiconductor device producing method and substrate processing apparatus
US7795160B2 (en) 2006-07-21 2010-09-14 Asm America Inc. ALD of metal silicate films
WO2008011579A2 (en) 2006-07-21 2008-01-24 Aixtron, Inc. Small volume symmetric flow single wafer ald apparatus
KR100791334B1 (en) 2006-07-26 2008-01-07 삼성전자주식회사 Method of forming a metal oxide by atomic layer deposition
US9230838B2 (en) 2006-07-26 2016-01-05 Tec-Sem Ag Apparatus for storage of objects from the field of manufacture of electronic components
FR2904328B1 (en) 2006-07-27 2008-10-24 St Microelectronics Sa DEPOSITION BY ADSORPTION UNDER AN ELECTRIC FIELD
US20100012153A1 (en) 2006-07-27 2010-01-21 Takamitsu Shigemoto Method of cleaning film forming apparatus and film forming apparatus
US8187679B2 (en) 2006-07-29 2012-05-29 Lotus Applied Technology, Llc Radical-enhanced atomic layer deposition system and method
USD587222S1 (en) * 2006-08-01 2009-02-24 Tokyo Electron Limited Attracting plate of an electrostatic chuck for semiconductor manufacturing
JP2008041734A (en) 2006-08-02 2008-02-21 Sony Corp Semiconductor device and manufacturing method of semiconductor device
US7749879B2 (en) 2006-08-03 2010-07-06 Micron Technology, Inc. ALD of silicon films on germanium
JP2008039513A (en) 2006-08-03 2008-02-21 Hitachi Metals Ltd Flow rate control compensation method for mass flow controller
US7514375B1 (en) 2006-08-08 2009-04-07 Novellus Systems, Inc. Pulsed bias having high pulse frequency for filling gaps with dielectric material
GB0615722D0 (en) 2006-08-08 2006-09-20 Boc Group Plc Apparatus for conveying a waste stream
US7632354B2 (en) 2006-08-08 2009-12-15 Tokyo Electron Limited Thermal processing system with improved process gas flow and method for injecting a process gas into a thermal processing system
US8080282B2 (en) 2006-08-08 2011-12-20 Asm Japan K.K. Method for forming silicon carbide film containing oxygen
US20080035306A1 (en) 2006-08-08 2008-02-14 White John M Heating and cooling of substrate support
TW200814131A (en) 2006-08-11 2008-03-16 Schott Ag External electrode fluorescent lamp with optimized operating efficiency
US20080045030A1 (en) 2006-08-15 2008-02-21 Shigeru Tahara Substrate processing method, substrate processing system and storage medium
US7935942B2 (en) 2006-08-15 2011-05-03 Varian Semiconductor Equipment Associates, Inc. Technique for low-temperature ion implantation
WO2008020267A2 (en) 2006-08-16 2008-02-21 Freescale Semiconductor, Inc. Etch method in the manufacture of an integrated circuit
KR100825787B1 (en) 2006-08-18 2008-04-29 삼성전자주식회사 Semiconductor memory device including charge trap layer
WO2008023711A1 (en) 2006-08-23 2008-02-28 Horiba Stec, Co., Ltd. Integrated gas panel apparatus
JP4961895B2 (en) 2006-08-25 2012-06-27 東京エレクトロン株式会社 Wafer transfer device, wafer transfer method, and storage medium
JP4904995B2 (en) 2006-08-28 2012-03-28 シンフォニアテクノロジー株式会社 Load port device
KR100753020B1 (en) 2006-08-30 2007-08-30 한국화학연구원 Preparation of nanolaminates by atomic layer deposition for non-volatile floating gate memory devices
US20080063798A1 (en) 2006-08-30 2008-03-13 Kher Shreyas S Precursors and hardware for cvd and ald
US7690881B2 (en) 2006-08-30 2010-04-06 Asm Japan K.K. Substrate-processing apparatus with buffer mechanism and substrate-transferring apparatus
US7611980B2 (en) 2006-08-30 2009-11-03 Micron Technology, Inc. Single spacer process for multiplying pitch by a factor greater than two and related intermediate IC structures
US20080260963A1 (en) 2007-04-17 2008-10-23 Hyungsuk Alexander Yoon Apparatus and method for pre and post treatment of atomic layer deposition
US7605030B2 (en) 2006-08-31 2009-10-20 Micron Technology, Inc. Hafnium tantalum oxynitride high-k dielectric and metal gates
JP4943780B2 (en) 2006-08-31 2012-05-30 株式会社日立ハイテクノロジーズ Plasma processing apparatus and plasma processing method
US7544604B2 (en) 2006-08-31 2009-06-09 Micron Technology, Inc. Tantalum lanthanide oxynitride films
US20080057659A1 (en) 2006-08-31 2008-03-06 Micron Technology, Inc. Hafnium aluminium oxynitride high-K dielectric and metal gates
US7759747B2 (en) 2006-08-31 2010-07-20 Micron Technology, Inc. Tantalum aluminum oxynitride high-κ dielectric
US20080241805A1 (en) 2006-08-31 2008-10-02 Q-Track Corporation System and method for simulated dosimetry using a real time locating system
KR100752190B1 (en) 2006-09-04 2007-08-27 동부일렉트로닉스 주식회사 Method for gapfilling in semiconductor device
JP5138253B2 (en) 2006-09-05 2013-02-06 東京エレクトロン株式会社 Annealing equipment
DE502007004378D1 (en) 2006-09-06 2010-08-26 Kistler Holding Ag Temperature sensor with machinable front
JP4762835B2 (en) 2006-09-07 2011-08-31 東京エレクトロン株式会社 Substrate processing method, substrate processing apparatus, program, and program recording medium
KR100761857B1 (en) 2006-09-08 2007-09-28 삼성전자주식회사 Method for forming fine pattern in semiconductor device and method for semiconductor device using the same
JP2008066159A (en) 2006-09-08 2008-03-21 Noritsu Koki Co Ltd Plasma generator and workpiece treatment device using it
TWI275658B (en) 2006-09-13 2007-03-11 Ind Tech Res Inst Method of improving surface frame resistance of a substrate
USD613829S1 (en) 2006-09-13 2010-04-13 Hayward Industries, Inc. Circular suction outlet assembly cover
US8852349B2 (en) 2006-09-15 2014-10-07 Applied Materials, Inc. Wafer processing hardware for epitaxial deposition with reduced auto-doping and backside defects
JP2008072030A (en) 2006-09-15 2008-03-27 Matsushita Electric Ind Co Ltd Plasma processing apparatus, method for detecting abnormality of plasma processing apparatus, and method for plasma processing
US7789965B2 (en) 2006-09-19 2010-09-07 Asm Japan K.K. Method of cleaning UV irradiation chamber
US20080194113A1 (en) 2006-09-20 2008-08-14 Samsung Electronics Co., Ltd. Methods and apparatus for semiconductor etching including an electro static chuck
US7976898B2 (en) 2006-09-20 2011-07-12 Asm Genitech Korea Ltd. Atomic layer deposition apparatus
JP2008074963A (en) 2006-09-21 2008-04-03 Fujifilm Corp Composition, film and method for producing the same
US7718553B2 (en) 2006-09-21 2010-05-18 Asm Japan K.K. Method for forming insulation film having high density
US9632073B2 (en) 2012-04-02 2017-04-25 Lux Bio Group, Inc. Apparatus and method for molecular separation, purification, and sensing
US7902991B2 (en) 2006-09-21 2011-03-08 Applied Materials, Inc. Frequency monitoring to detect plasma process abnormality
JP4899744B2 (en) 2006-09-22 2012-03-21 東京エレクトロン株式会社 Oxidizer for workpiece
US7740437B2 (en) 2006-09-22 2010-06-22 Asm International N.V. Processing system with increased cassette storage capacity
US7829815B2 (en) 2006-09-22 2010-11-09 Taiwan Semiconductor Manufacturing Co., Ltd. Adjustable electrodes and coils for plasma density distribution control
ATE533207T1 (en) 2006-09-25 2011-11-15 Light Sources Inc SNAP LOCK CONNECTOR
US20080087642A1 (en) 2006-09-25 2008-04-17 Sawin Herbert H Method for removing surface deposits in the interior of a chemical vapor deposition reactor
JP4814038B2 (en) 2006-09-25 2011-11-09 株式会社日立国際電気 Substrate processing apparatus and method for attaching / detaching reaction vessel
US7723648B2 (en) 2006-09-25 2010-05-25 Tokyo Electron Limited Temperature controlled substrate holder with non-uniform insulation layer for a substrate processing system
USD634329S1 (en) 2006-09-26 2011-03-15 Margareta Wastrom Computer platform with forearm support
US8137048B2 (en) 2006-09-27 2012-03-20 Vserv Technologies Wafer processing system with dual wafer robots capable of asynchronous motion
US7476291B2 (en) 2006-09-28 2009-01-13 Lam Research Corporation High chamber temperature process and chamber design for photo-resist stripping and post-metal etch passivation
TWI462179B (en) 2006-09-28 2014-11-21 Tokyo Electron Ltd Film formation method and apparatus for forming silicon oxide film
JP2008085129A (en) 2006-09-28 2008-04-10 Taiheiyo Cement Corp Substrate mounting apparatus
DE102006046374B4 (en) 2006-09-29 2010-11-11 Advanced Micro Devices, Inc., Sunnyvale A method of reducing paint poisoning during patterning of silicon nitride films in a semiconductor device
US7767262B2 (en) 2006-09-29 2010-08-03 Tokyo Electron Limited Nitrogen profile engineering in nitrided high dielectric constant films
JP2008089320A (en) 2006-09-29 2008-04-17 Nicom Co Ltd Flow rate measuring apparatus
KR100799152B1 (en) 2006-10-02 2008-01-29 주식회사 하이닉스반도체 Method of manufacturing cylinder type capacitor prevented storagenode leaning
TW200822253A (en) 2006-10-02 2008-05-16 Matsushita Electric Ind Co Ltd Component crimping apparatus control method, component crimping apparatus, and measuring tool
JP2008091761A (en) 2006-10-04 2008-04-17 Hitachi Kokusai Electric Inc Substrate processor, and manufacturing method of semiconductor device
US7494884B2 (en) 2006-10-05 2009-02-24 Taiwan Semiconductor Manufacturing Company, Ltd. SiGe selective growth without a hard mask
WO2008042981A2 (en) 2006-10-05 2008-04-10 Asm America, Inc. Ald of metal silicate films
CN101522943B (en) 2006-10-10 2013-04-24 Asm美国公司 Precursor delivery system
US8986456B2 (en) 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
USD593969S1 (en) 2006-10-10 2009-06-09 Tokyo Electron Limited Processing chamber for manufacturing semiconductors
NZ550531A (en) 2006-10-12 2009-05-31 Canterprise Ltd A method of producing an implant with an improved bone growth surface
US20080087890A1 (en) 2006-10-16 2008-04-17 Micron Technology, Inc. Methods to form dielectric structures in semiconductor devices and resulting devices
CN100451163C (en) 2006-10-18 2009-01-14 中微半导体设备(上海)有限公司 Gas distribution device for treating reactor by semiconductor technological element and reactor thereof
JP2008108860A (en) 2006-10-25 2008-05-08 Elpida Memory Inc Manufacturing method of semiconductor device
US20080099147A1 (en) 2006-10-26 2008-05-01 Nyi Oo Myo Temperature controlled multi-gas distribution assembly
US8795771B2 (en) 2006-10-27 2014-08-05 Sean T. Barry ALD of metal-containing films using cyclopentadienyl compounds
JP2008108991A (en) 2006-10-27 2008-05-08 Daihen Corp Work holding mechanism
US7851232B2 (en) 2006-10-30 2010-12-14 Novellus Systems, Inc. UV treatment for carbon-containing low-k dielectric repair in semiconductor processing
US7888273B1 (en) 2006-11-01 2011-02-15 Novellus Systems, Inc. Density gradient-free gap fill
US9245739B2 (en) 2006-11-01 2016-01-26 Lam Research Corporation Low-K oxide deposition by hydrolysis and condensation
US7727864B2 (en) 2006-11-01 2010-06-01 Asm America, Inc. Controlled composition using plasma-enhanced atomic layer deposition
US7611751B2 (en) 2006-11-01 2009-11-03 Asm America, Inc. Vapor deposition of metal carbide films
JP2008117903A (en) 2006-11-02 2008-05-22 Toshiba Corp Method of manufacturing semiconductor device
US7955516B2 (en) 2006-11-02 2011-06-07 Applied Materials, Inc. Etching of nano-imprint templates using an etch reactor
US20100001409A1 (en) 2006-11-09 2010-01-07 Nxp, B.V. Semiconductor device and method of manufacturing thereof
KR101064354B1 (en) 2006-11-09 2011-09-14 가부시키가이샤 알박 Barrier film forming method
KR101447184B1 (en) 2006-11-10 2014-10-08 엘아이지에이디피 주식회사 Process chamber having apparatus for opening and closing gate slit
JP4464949B2 (en) 2006-11-10 2010-05-19 株式会社日立国際電気 Substrate processing apparatus and selective epitaxial film growth method
US7749574B2 (en) 2006-11-14 2010-07-06 Applied Materials, Inc. Low temperature ALD SiO2
US20080179104A1 (en) 2006-11-14 2008-07-31 Smith International, Inc. Nano-reinforced wc-co for improved properties
US7776395B2 (en) 2006-11-14 2010-08-17 Applied Materials, Inc. Method of depositing catalyst assisted silicates of high-k materials
US7671134B2 (en) 2006-11-15 2010-03-02 Brady Worldwide, Inc. Compositions with improved adhesion to low surface energy substrates
US7976634B2 (en) 2006-11-21 2011-07-12 Applied Materials, Inc. Independent radiant gas preheating for precursor disassociation control and gas reaction kinetics in low temperature CVD systems
US20080118334A1 (en) 2006-11-22 2008-05-22 Bonora Anthony C Variable pitch storage shelves
US20090223441A1 (en) 2006-11-22 2009-09-10 Chantal Arena High volume delivery system for gallium trichloride
US8128333B2 (en) 2006-11-27 2012-03-06 Hitachi Kokusai Electric Inc. Substrate processing apparatus and manufacturing method for semiconductor devices
US7758698B2 (en) 2006-11-28 2010-07-20 Applied Materials, Inc. Dual top gas feed through distributor for high density plasma chamber
US20080121177A1 (en) 2006-11-28 2008-05-29 Applied Materials, Inc. Dual top gas feed through distributor for high density plasma chamber
US20080124946A1 (en) 2006-11-28 2008-05-29 Air Products And Chemicals, Inc. Organosilane compounds for modifying dielectrical properties of silicon oxide and silicon nitride films
US7807575B2 (en) 2006-11-29 2010-10-05 Micron Technology, Inc. Methods to reduce the critical dimension of semiconductor devices
US7853364B2 (en) 2006-11-30 2010-12-14 Veeco Instruments, Inc. Adaptive controller for ion source
US20080132046A1 (en) 2006-12-04 2008-06-05 Varian Semiconductor Equipment Associates, Inc. Plasma Doping With Electronically Controllable Implant Angle
US20080178805A1 (en) 2006-12-05 2008-07-31 Applied Materials, Inc. Mid-chamber gas distribution plate, tuned plasma flow control grid and electrode
US7776724B2 (en) 2006-12-07 2010-08-17 Innovalight, Inc. Methods of filling a set of interstitial spaces of a nanoparticle thin film with a dielectric material
US7906174B1 (en) 2006-12-07 2011-03-15 Novellus Systems, Inc. PECVD methods for producing ultra low-k dielectric films using UV treatment
US20080142483A1 (en) 2006-12-07 2008-06-19 Applied Materials, Inc. Multi-step dep-etch-dep high density plasma chemical vapor deposition processes for dielectric gapfills
JP2008147393A (en) 2006-12-08 2008-06-26 Toshiba Corp Semiconductor device and its manufacturing method therefor
US20080202689A1 (en) 2006-12-08 2008-08-28 Tes Co., Ltd. Plasma processing apparatus
US7960236B2 (en) 2006-12-12 2011-06-14 Applied Materials, Inc. Phosphorus containing Si epitaxial layers in N-type source/drain junctions
US20080173238A1 (en) 2006-12-12 2008-07-24 Hitachi Kokusai Electric Inc. Substrate processing apparatus, method of manufacturing semiconductor device, and reaction vessel
US20080142046A1 (en) 2006-12-13 2008-06-19 Andrew David Johnson Thermal F2 etch process for cleaning CVD chambers
US7378618B1 (en) 2006-12-14 2008-05-27 Applied Materials, Inc. Rapid conductive cooling using a secondary process plane
USD583395S1 (en) 2006-12-15 2008-12-23 Tokyo Electron Limited Cover for a heater stage of a plasma processing apparatus
CN101558497B (en) 2006-12-15 2011-09-07 Nxp股份有限公司 Transistor device and method of manufacturing such a transistor device
ATE516127T1 (en) 2006-12-19 2011-07-15 Koninkl Philips Electronics Nv SYSTEM AND METHOD FOR HEATING OBJECTS IN A PRODUCTION LINE
US8178436B2 (en) 2006-12-21 2012-05-15 Intel Corporation Adhesion and electromigration performance at an interface between a dielectric and metal
KR20080058620A (en) 2006-12-22 2008-06-26 세메스 주식회사 Plasma chemical vopar deposition equipment for supplying to divided gas nozzles
JP2008166360A (en) 2006-12-27 2008-07-17 Hitachi Ltd Semiconductor integrated circuit device
JP4553891B2 (en) 2006-12-27 2010-09-29 シャープ株式会社 Semiconductor layer manufacturing method
DE202006019492U1 (en) 2006-12-27 2007-03-01 Blum, Holger Filter/sterilizing apparatus, using gravity filter, has UV sterilizing unit with height adjustment as overflow weir
US8120114B2 (en) 2006-12-27 2012-02-21 Intel Corporation Transistor having an etch stop layer including a metal compound that is selectively formed over a metal gate
US7682891B2 (en) 2006-12-28 2010-03-23 Intel Corporation Tunable gate electrode work function material for transistor applications
US8011317B2 (en) 2006-12-29 2011-09-06 Intermolecular, Inc. Advanced mixing system for integrated tool having site-isolated reactors
GB2445188B (en) 2006-12-29 2009-07-01 Thermo Fisher Scientific Inc Apparatus and method for generating nitrogen oxides
KR100877153B1 (en) 2007-01-09 2009-01-09 한국전자통신연구원 ZnO Semiconductor Film The Manufacturing Method for Electronic Device and The Thin Film Transistor Including The ZnO Semiconductor Film
JP2008172083A (en) 2007-01-12 2008-07-24 Sharp Corp Vapor growth device and vapor growth method
US7860379B2 (en) 2007-01-15 2010-12-28 Applied Materials, Inc. Temperature measurement and control of wafer support in thermal processing chamber
DE102007003416A1 (en) 2007-01-16 2008-07-17 Hansgrohe Ag shower
JP5108489B2 (en) 2007-01-16 2012-12-26 株式会社日立ハイテクノロジーズ Plasma processing method
DE102007002962B3 (en) 2007-01-19 2008-07-31 Qimonda Ag Method for producing a dielectric layer and for producing a capacitor
US7725012B2 (en) 2007-01-19 2010-05-25 Asm America, Inc. Movable radiant heat sources
KR20090106617A (en) 2007-01-19 2009-10-09 어플라이드 머티어리얼스, 인코포레이티드 Plasma immersion chamber
JP4299863B2 (en) 2007-01-22 2009-07-22 エルピーダメモリ株式会社 Manufacturing method of semiconductor device
JP5109376B2 (en) 2007-01-22 2012-12-26 東京エレクトロン株式会社 Heating device, heating method and storage medium
US20080191193A1 (en) 2007-01-22 2008-08-14 Xuegeng Li In situ modification of group iv nanoparticles using gas phase nanoparticle reactors
US7550090B2 (en) 2007-01-23 2009-06-23 Applied Materials, Inc. Oxygen plasma clean to remove carbon species deposited on a glass dome surface
US7993457B1 (en) 2007-01-23 2011-08-09 Novellus Systems, Inc. Deposition sub-chamber with variable flow
US7833353B2 (en) 2007-01-24 2010-11-16 Asm Japan K.K. Liquid material vaporization apparatus for semiconductor processing apparatus
US20080173239A1 (en) 2007-01-24 2008-07-24 Yuri Makarov Method, system, and apparatus for the growth of SiC and related or similar material, by chemical vapor deposition, using precursors in modified cold-wall reactor
US7858898B2 (en) 2007-01-26 2010-12-28 Lam Research Corporation Bevel etcher with gap control
JP4564973B2 (en) 2007-01-26 2010-10-20 株式会社日立ハイテクノロジーズ Plasma processing equipment
US7598170B2 (en) 2007-01-26 2009-10-06 Asm America, Inc. Plasma-enhanced ALD of tantalum nitride films
US20080182403A1 (en) 2007-01-26 2008-07-31 Atif Noori Uv curing of pecvd-deposited sacrificial polymer films for air-gap ild
JP4270284B2 (en) 2007-01-30 2009-05-27 トヨタ自動車株式会社 Wheel state monitoring system and wheel state detection device
US7967996B2 (en) 2007-01-30 2011-06-28 Applied Materials, Inc. Process for wafer backside polymer removal and wafer front side photoresist removal
US20080179715A1 (en) 2007-01-30 2008-07-31 Micron Technology, Inc. Shallow trench isolation using atomic layer deposition during fabrication of a semiconductor device
JP2008192643A (en) 2007-01-31 2008-08-21 Tokyo Electron Ltd Substrate treating equipment
DE102007004867B4 (en) 2007-01-31 2009-07-30 Advanced Micro Devices, Inc., Sunnyvale A method of increasing the reliability of copper-based metallization structures in a microstructure device by using aluminum nitride
JP4569638B2 (en) 2007-01-31 2010-10-27 株式会社デンソー Temperature sensor
JP4896899B2 (en) 2007-01-31 2012-03-14 東京エレクトロン株式会社 Substrate processing apparatus and particle adhesion preventing method
KR101144497B1 (en) 2007-02-06 2012-05-11 샌트랄 글래스 컴퍼니 리미티드 Modifier for low dielectric constant film, and method for production thereof
JP2008198629A (en) 2007-02-08 2008-08-28 Mitsubishi Electric Corp Surface treatment method and solar cell
US7959735B2 (en) 2007-02-08 2011-06-14 Applied Materials, Inc. Susceptor with insulative inserts
US8043432B2 (en) 2007-02-12 2011-10-25 Tokyo Electron Limited Atomic layer deposition systems and methods
US7892964B2 (en) 2007-02-14 2011-02-22 Micron Technology, Inc. Vapor deposition methods for forming a metal-containing layer on a substrate
US7851360B2 (en) 2007-02-14 2010-12-14 Intel Corporation Organometallic precursors for seed/barrier processes and methods thereof
US7500397B2 (en) 2007-02-15 2009-03-10 Air Products And Chemicals, Inc. Activated chemical process for enhancing material properties of dielectric films
USD576001S1 (en) 2007-02-16 2008-09-02 Brenda Brunderman Faux brick tool
JP2008202107A (en) 2007-02-21 2008-09-04 Hitachi Kokusai Electric Inc Substrate-treating apparatus
JP4805862B2 (en) 2007-02-21 2011-11-02 富士通セミコンダクター株式会社 Substrate processing apparatus, substrate processing method, and semiconductor device manufacturing method
KR101483318B1 (en) 2007-02-21 2015-01-16 레르 리키드 쏘시에떼 아노님 뿌르 레?드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 Methods for forming a ruthenium-based film on a substrate
US7871198B2 (en) 2007-02-26 2011-01-18 Battelle Energy Alliance, Llc High-temperature thermocouples and related methods
US20080207007A1 (en) 2007-02-27 2008-08-28 Air Products And Chemicals, Inc. Plasma Enhanced Cyclic Chemical Vapor Deposition of Silicon-Containing Films
DE102007009914B4 (en) 2007-02-28 2010-04-22 Advanced Micro Devices, Inc., Sunnyvale Semiconductor device in the form of a field effect transistor with an interlayer dielectric material with increased internal stress and method for producing the same
TWI405247B (en) 2007-03-01 2013-08-11 Applied Materials Inc Rf shutter
US20080216077A1 (en) 2007-03-02 2008-09-04 Applied Materials, Inc. Software sequencer for integrated substrate processing system
US20080216958A1 (en) 2007-03-07 2008-09-11 Novellus Systems, Inc. Plasma Reaction Apparatus Having Pre-Seasoned Showerheads and Methods for Manufacturing the Same
US8012259B2 (en) 2007-03-09 2011-09-06 Hitachi Kokusai Electric, Inc. Substrate processing apparatus
US20080220619A1 (en) 2007-03-09 2008-09-11 Asm Japan K.K. Method for increasing mechanical strength of dielectric film by using sequential combination of two types of uv irradiation
US20080223130A1 (en) 2007-03-13 2008-09-18 Provina Incorporated Method and device for measuring density of a liquid
EP2127046B1 (en) 2007-03-16 2010-11-03 Philips Intellectual Property & Standards GmbH Vertical extended cavity surface emission laser and method for manufacturing a light emitting component of the same
US7621672B2 (en) 2007-03-19 2009-11-24 Babcock & Wilcox Technical Services Y-12, Llc Thermocouple shield
US20080230352A1 (en) 2007-03-20 2008-09-25 Yasunari Hirata Conveyer apparatus
US7833913B2 (en) 2007-03-20 2010-11-16 Tokyo Electron Limited Method of forming crystallographically stabilized doped hafnium zirconium based films
US7607647B2 (en) 2007-03-20 2009-10-27 Kla-Tencor Technologies Corporation Stabilizing a substrate using a vacuum preload air bearing chuck
US8298379B2 (en) 2007-03-22 2012-10-30 Tokyo Electron Limited Method and apparatus for extending chamber component life in a substrate processing system
WO2008120459A1 (en) 2007-03-22 2008-10-09 Panasonic Corporation Plasma processing device and plasma processing method
US7763869B2 (en) 2007-03-23 2010-07-27 Asm Japan K.K. UV light irradiating apparatus with liquid filter
KR20070041701A (en) 2007-03-26 2007-04-19 노영환 Defumidifier and air conditioning ventilation system
US7435987B1 (en) 2007-03-27 2008-10-14 Intel Corporation Forming a type I heterostructure in a group IV semiconductor
JP5034594B2 (en) 2007-03-27 2012-09-26 東京エレクトロン株式会社 Film forming apparatus, film forming method, and storage medium
US7588749B2 (en) 2007-03-29 2009-09-15 Minimus Spine, Inc. Apparatus, method and system for delivering oxygen-ozone
KR101119627B1 (en) 2007-03-29 2012-03-07 도쿄엘렉트론가부시키가이샤 Plasma process apparatus
US20080241387A1 (en) 2007-03-29 2008-10-02 Asm International N.V. Atomic layer deposition reactor
US20080237604A1 (en) 2007-03-30 2008-10-02 Husam Niman Alshareef Plasma nitrided gate oxide, high-k metal gate based cmos device
JP2008251826A (en) 2007-03-30 2008-10-16 Nec Electronics Corp Method for manufacturing semiconductor device
US7651961B2 (en) 2007-03-30 2010-01-26 Tokyo Electron Limited Method for forming strained silicon nitride films and a device containing such films
ITMI20070671A1 (en) 2007-04-02 2008-10-03 St Microelectronics Srl CIRCUIT ARCHITECTURE ON ORGANIC BASIS AND RELATIVE METHOD OF IMPLEMENTATION
US8235001B2 (en) 2007-04-02 2012-08-07 Hitachi Kokusai Electric Inc. Substrate processing apparatus and method for manufacturing semiconductor device
US20080241384A1 (en) 2007-04-02 2008-10-02 Asm Genitech Korea Ltd. Lateral flow deposition apparatus and method of depositing film by using the apparatus
US8242028B1 (en) 2007-04-03 2012-08-14 Novellus Systems, Inc. UV treatment of etch stop and hard mask films for selectivity and hermeticity enhancement
KR100829759B1 (en) 2007-04-04 2008-05-15 삼성에스디아이 주식회사 Carbon nanotube hybrid systems using carbide derived carbon, electron emitter comprising the same and electron emission device comprising the electron emitter
US20080246101A1 (en) 2007-04-05 2008-10-09 Applied Materials Inc. Method of poly-silicon grain structure formation
US7592212B2 (en) 2007-04-06 2009-09-22 Micron Technology, Inc. Methods for determining a dose of an impurity implanted in a semiconductor substrate
WO2008127935A1 (en) 2007-04-13 2008-10-23 The Board Of Trustees Of The University Of Illinois Metal complex compositions and methods for making metal-containing films
JP4839405B2 (en) 2007-04-16 2011-12-21 株式会社アルバック Conveyor, film forming apparatus and maintenance method thereof
JP5179476B2 (en) 2007-04-17 2013-04-10 株式会社アルバック Deposition equipment
US7807579B2 (en) 2007-04-19 2010-10-05 Applied Materials, Inc. Hydrogen ashing enhanced with water vapor and diluent gas
USD562357S1 (en) 2007-04-20 2008-02-19 Alamo Group, Inc. Disk for rotary mower knives
US20080257102A1 (en) 2007-04-20 2008-10-23 William Packer Mechanically retained motorcycle handlebar grips
US8357214B2 (en) 2007-04-26 2013-01-22 Trulite, Inc. Apparatus, system, and method for generating a gas from solid reactant pouches
JP4853374B2 (en) 2007-04-27 2012-01-11 東京エレクトロン株式会社 Coating and developing apparatus and method, and storage medium
US7575968B2 (en) 2007-04-30 2009-08-18 Freescale Semiconductor, Inc. Inverse slope isolation and dual surface orientation integration
US7713874B2 (en) 2007-05-02 2010-05-11 Asm America, Inc. Periodic plasma annealing in an ALD-type process
KR100894098B1 (en) 2007-05-03 2009-04-20 주식회사 하이닉스반도체 Nonvolatile memory device having fast erase speed and improoved retention charactericstics, and method of fabricating the same
US20110067522A1 (en) 2007-05-08 2011-03-24 Lai Ching-Chuan Bicycle handlebar grip
US8110099B2 (en) 2007-05-09 2012-02-07 Contech Stormwater Solutions Inc. Stormwater filter assembly
DE102007022431A1 (en) 2007-05-09 2008-11-13 Leybold Optics Gmbh Plasma-coating assembly for flat surfaces e.g. thin film solar cells has moving electrode and fixed electrode
US8057601B2 (en) 2007-05-09 2011-11-15 Applied Materials, Inc. Apparatus and method for supporting, positioning and rotating a substrate in a processing chamber
JP5103056B2 (en) 2007-05-15 2012-12-19 ルネサスエレクトロニクス株式会社 Manufacturing method of semiconductor device
US7750429B2 (en) 2007-05-15 2010-07-06 International Business Machines Corporation Self-aligned and extended inter-well isolation structure
TWI493058B (en) 2007-05-15 2015-07-21 Applied Materials Inc Atomic layer deposition of tungsten materials
GB0709723D0 (en) 2007-05-22 2007-06-27 Goodrich Control Sys Ltd Temperature sensing
JP4898556B2 (en) 2007-05-23 2012-03-14 株式会社日立ハイテクノロジーズ Plasma processing equipment
US20080289650A1 (en) 2007-05-24 2008-11-27 Asm America, Inc. Low-temperature cleaning of native oxide
US7874726B2 (en) 2007-05-24 2011-01-25 Asm America, Inc. Thermocouple
US20080299326A1 (en) 2007-05-30 2008-12-04 Asm Japan K.K. Plasma cvd apparatus having non-metal susceptor
US7942969B2 (en) 2007-05-30 2011-05-17 Applied Materials, Inc. Substrate cleaning chamber and components
KR101366651B1 (en) 2007-05-31 2014-02-25 어플라이드 머티어리얼스, 인코포레이티드 Methods and apparatus for extending the reach of a dual scara robot linkage
US7807578B2 (en) 2007-06-01 2010-10-05 Applied Materials, Inc. Frequency doubling using spacer mask
US20090017631A1 (en) 2007-06-01 2009-01-15 Bencher Christopher D Self-aligned pillar patterning using multiple spacer masks
US8084352B2 (en) 2007-06-04 2011-12-27 Panasonic Corporation Method of manufacturing semiconductor device
US7781352B2 (en) 2007-06-06 2010-08-24 Asm Japan K.K. Method for forming inorganic silazane-based dielectric film
US20080305014A1 (en) 2007-06-07 2008-12-11 Hitachi Kokusai Electric Inc. Substrate processing apparatus
US8142606B2 (en) 2007-06-07 2012-03-27 Applied Materials, Inc. Apparatus for depositing a uniform silicon film and methods for manufacturing the same
US20080302303A1 (en) 2007-06-07 2008-12-11 Applied Materials, Inc. Methods and apparatus for depositing a uniform silicon film with flow gradient designs
US7955650B2 (en) 2007-06-07 2011-06-07 Asm Japan K.K. Method for forming dielectric film using porogen gas
JP4589984B2 (en) 2007-06-08 2010-12-01 東京エレクトロン株式会社 Method for forming fine pattern
KR101073858B1 (en) 2007-06-08 2011-10-14 도쿄엘렉트론가부시키가이샤 Patterning method
JP4427562B2 (en) 2007-06-11 2010-03-10 株式会社東芝 Pattern formation method
US20080303744A1 (en) 2007-06-11 2008-12-11 Tokyo Electron Limited Plasma processing system, antenna, and use of plasma processing system
US8329541B2 (en) 2007-06-15 2012-12-11 Taiwan Semiconductor Manufacturing Company, Ltd. InP-based transistor fabrication
US20080314319A1 (en) 2007-06-19 2008-12-25 Memc Electronic Materials, Inc. Susceptor for improving throughput and reducing wafer damage
USD575713S1 (en) 2007-06-21 2008-08-26 Ratcliffe Peter W Vehicle accessory
US8017182B2 (en) 2007-06-21 2011-09-13 Asm International N.V. Method for depositing thin films by mixed pulsed CVD and ALD
CN100590804C (en) 2007-06-22 2010-02-17 中芯国际集成电路制造(上海)有限公司 Method for depositing atomic layer and semiconductor device formed by the same
US20080314892A1 (en) 2007-06-25 2008-12-25 Graham Robert G Radiant shield
US8905124B2 (en) 2007-06-27 2014-12-09 Taiwan Semiconductor Manufacturing Company, Ltd. Temperature controlled loadlock chamber
US20090004875A1 (en) 2007-06-27 2009-01-01 Meihua Shen Methods of trimming amorphous carbon film for forming ultra thin structures on a substrate
KR101593352B1 (en) 2007-06-28 2016-02-15 인티그리스, 인코포레이티드 Precursors for silicon dioxide gap fill
US20090000550A1 (en) 2007-06-29 2009-01-01 Applied Materials, Inc. Manifold assembly
TW200903625A (en) 2007-07-04 2009-01-16 Advanced Micro Fab Equip Inc Multi-station decoupled reactive ion etch chamber
US20090033907A1 (en) 2007-07-05 2009-02-05 Nikon Corporation Devices and methods for decreasing residual chucking forces
JP2009016672A (en) 2007-07-06 2009-01-22 Tokyo Electron Ltd Manufacturing method of semiconductor device, semiconductor device, semiconductor manufacturing device, and storage medium
US7875486B2 (en) 2007-07-10 2011-01-25 Applied Materials, Inc. Solar cells and methods and apparatuses for forming the same including I-layer and N-layer chamber cleaning
US8322533B2 (en) 2007-07-11 2012-12-04 Shin-Etsu Polymer Co., Ltd. Lid body for substrate storage container and substrate storage container
US8021514B2 (en) 2007-07-11 2011-09-20 Applied Materials, Inc. Remote plasma source for pre-treatment of substrates prior to deposition
KR101275025B1 (en) 2007-07-12 2013-06-14 삼성전자주식회사 Wiring structure for a semiconductor device and method of forming the same
US7651269B2 (en) 2007-07-19 2010-01-26 Lam Research Corporation Temperature probes having a thermally isolated tip
US7501292B2 (en) 2007-07-19 2009-03-10 Asm Japan K.K. Method for managing UV irradiation for curing semiconductor substrate
JP4900110B2 (en) 2007-07-20 2012-03-21 東京エレクトロン株式会社 Chemical vaporization tank and chemical treatment system
US8008166B2 (en) 2007-07-26 2011-08-30 Applied Materials, Inc. Method and apparatus for cleaning a substrate surface
US7720560B2 (en) 2007-07-26 2010-05-18 International Business Machines Corporation Semiconductor manufacturing process monitoring
JP5058084B2 (en) 2007-07-27 2012-10-24 株式会社半導体エネルギー研究所 Method for manufacturing photoelectric conversion device and microwave plasma CVD apparatus
US8004045B2 (en) 2007-07-27 2011-08-23 Panasonic Corporation Semiconductor device and method for producing the same
USD596476S1 (en) 2007-07-27 2009-07-21 Daniel P. Welch Handle bar grip
US7910497B2 (en) 2007-07-30 2011-03-22 Applied Materials, Inc. Method of forming dielectric layers on a substrate and apparatus therefor
US8980756B2 (en) 2007-07-30 2015-03-17 Micron Technology, Inc. Methods for device fabrication using pitch reduction
US20090035946A1 (en) 2007-07-31 2009-02-05 Asm International N.V. In situ deposition of different metal-containing films using cyclopentadienyl metal precursors
US8367227B2 (en) 2007-08-02 2013-02-05 Applied Materials, Inc. Plasma-resistant ceramics with controlled electrical resistivity
US20090035463A1 (en) 2007-08-03 2009-02-05 Tokyo Electron Limited Thermal processing system and method for forming an oxide layer on substrates
JP5024382B2 (en) 2007-08-03 2012-09-12 信越半導体株式会社 Susceptor and silicon epitaxial wafer manufacturing method
US20090041984A1 (en) 2007-08-10 2009-02-12 Nano Terra Inc. Structured Smudge-Resistant Coatings and Methods of Making and Using the Same
US20090041952A1 (en) 2007-08-10 2009-02-12 Asm Genitech Korea Ltd. Method of depositing silicon oxide films
JP2009044023A (en) 2007-08-10 2009-02-26 Hitachi Kokusai Electric Inc Manufacturing method of semiconductor device and substrate processing device
TWI405295B (en) 2007-08-13 2013-08-11 Advanced Display Proc Eng Co Substrate processing apparatus and method
US8443484B2 (en) 2007-08-14 2013-05-21 Hitachi Kokusai Electric Inc. Substrate processing apparatus
GB0715854D0 (en) 2007-08-15 2007-09-26 Enigma Diagnostics Ltd Apparatus and method for calibration of non-contact thermal sensors
JP5514413B2 (en) 2007-08-17 2014-06-04 東京エレクトロン株式会社 Plasma etching method
KR20090018290A (en) 2007-08-17 2009-02-20 에이에스엠지니텍코리아 주식회사 Deposition apparatus
US20090052498A1 (en) 2007-08-24 2009-02-26 Asm America, Inc. Thermocouple
US8084372B2 (en) 2007-08-24 2011-12-27 Tokyo Electron Limited Substrate processing method and computer storage medium
US7745352B2 (en) 2007-08-27 2010-06-29 Applied Materials, Inc. Curing methods for silicon dioxide thin films deposited from alkoxysilane precursor with harp II process
WO2009032756A2 (en) 2007-08-28 2009-03-12 University Of Florida Research Foundation, Inc. Bio-sensor using gated electrokinetic transport
JP2009076881A (en) 2007-08-30 2009-04-09 Tokyo Electron Ltd Treatment gas supply system and processing device
WO2009028619A1 (en) 2007-08-30 2009-03-05 Tokyo Electron Limited Treating-gas supply system and treating apparatus
US8962101B2 (en) 2007-08-31 2015-02-24 Novellus Systems, Inc. Methods and apparatus for plasma-based deposition
JP2009060035A (en) 2007-09-03 2009-03-19 Shinko Electric Ind Co Ltd Electrostatic chuck member, its manufacturing method, and electrostatic chuck apparatus
US7831135B2 (en) 2007-09-04 2010-11-09 Sokudo Co., Ltd. Method and system for controlling bake plate temperature in a semiconductor processing chamber
US7832354B2 (en) 2007-09-05 2010-11-16 Applied Materials, Inc. Cathode liner with wafer edge gas injection in a plasma reactor chamber
US8334015B2 (en) 2007-09-05 2012-12-18 Intermolecular, Inc. Vapor based combinatorial processing
US7879250B2 (en) 2007-09-05 2011-02-01 Applied Materials, Inc. Method of processing a workpiece in a plasma reactor with independent wafer edge process gas injection
WO2009031886A2 (en) 2007-09-07 2009-03-12 Fujifilm Manufacturing Europe B.V. Method and apparatus for atomic layer deposition using an atmospheric pressure glow discharge plasma
CA122619S (en) 2007-10-09 2010-01-27 Silvano Breda Shower strainer
JP5347294B2 (en) 2007-09-12 2013-11-20 東京エレクトロン株式会社 Film forming apparatus, film forming method, and storage medium
US20090075491A1 (en) 2007-09-13 2009-03-19 Tokyo Electron Limited Method for curing a dielectric film
JP4986784B2 (en) 2007-09-18 2012-07-25 東京エレクトロン株式会社 Processing system control apparatus, processing system control method, and storage medium storing control program
KR101542267B1 (en) 2007-09-18 2015-08-06 레르 리키드 쏘시에떼 아노님 뿌르 레?드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 Method of forming silicon-containing films
US20120122319A1 (en) 2007-09-19 2012-05-17 Hironobu Shimizu Coating method for coating reaction tube prior to film forming process
JP2009076661A (en) 2007-09-20 2009-04-09 Elpida Memory Inc Method for manufacturing semiconductor device
JP2009081223A (en) 2007-09-26 2009-04-16 Tokyo Electron Ltd Electrostatic chuck member
JP2009087989A (en) 2007-09-27 2009-04-23 Nuflare Technology Inc Method of forming epitaxial growth film
JP5236983B2 (en) 2007-09-28 2013-07-17 東京エレクトロン株式会社 Semiconductor device manufacturing method, semiconductor device manufacturing apparatus, control program, and program storage medium
US7824743B2 (en) 2007-09-28 2010-11-02 Applied Materials, Inc. Deposition processes for titanium nitride barrier and aluminum
US20090084317A1 (en) 2007-09-28 2009-04-02 Applied Materials, Inc. Atomic layer deposition chamber and components
US20090085156A1 (en) 2007-09-28 2009-04-02 Gilbert Dewey Metal surface treatments for uniformly growing dielectric layers
JP2009088421A (en) 2007-10-03 2009-04-23 Renesas Technology Corp Semiconductor device manufacturing method
US8041450B2 (en) 2007-10-04 2011-10-18 Asm Japan K.K. Position sensor system for substrate transfer robot
US7776698B2 (en) 2007-10-05 2010-08-17 Applied Materials, Inc. Selective formation of silicon carbon epitaxial layer
US20090090382A1 (en) 2007-10-05 2009-04-09 Asm Japan K.K. Method of self-cleaning of carbon-based film
US20090093100A1 (en) 2007-10-09 2009-04-09 Li-Qun Xia Method for forming an air gap in multilevel interconnect structure
WO2009049020A2 (en) 2007-10-11 2009-04-16 Valence Process Equipment, Inc. Chemical vapor deposition reactor
US20090095221A1 (en) 2007-10-16 2009-04-16 Alexander Tam Multi-gas concentric injection showerhead
US8344453B2 (en) 2007-10-18 2013-01-01 Nxp B.V. Method of manufacturing localized semiconductor-on-insulator (SOI) structures in a bulk semiconductor wafer
KR101399117B1 (en) 2007-10-19 2014-05-28 주성엔지니어링(주) Substrate etching apparatus using remote plasma, and substrate etching method using the same
US7541297B2 (en) 2007-10-22 2009-06-02 Applied Materials, Inc. Method and system for improving dielectric film quality for void free gap fill
US7803722B2 (en) 2007-10-22 2010-09-28 Applied Materials, Inc Methods for forming a dielectric layer within trenches
US7867923B2 (en) 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US8070880B2 (en) 2007-10-22 2011-12-06 Hitachi Kokusai Electric, Inc. Substrate processing apparatus
US7615831B2 (en) 2007-10-26 2009-11-10 International Business Machines Corporation Structure and method for fabricating self-aligned metal contacts
US7939447B2 (en) 2007-10-26 2011-05-10 Asm America, Inc. Inhibitors for selective deposition of silicon containing films
JP4730369B2 (en) 2007-10-30 2011-07-20 株式会社デンソー Navigation system
RU2470065C2 (en) 2007-10-31 2012-12-20 Чайна Петролеум & Кемикал Корпорейшн Method of passivation for continuous reforming plant (versions)
KR101369907B1 (en) 2007-10-31 2014-03-04 주성엔지니어링(주) Transistor and method of manufacturing the same
US7737039B2 (en) 2007-11-01 2010-06-15 Micron Technology, Inc. Spacer process for on pitch contacts and related structures
JP5192214B2 (en) 2007-11-02 2013-05-08 東京エレクトロン株式会社 Gas supply apparatus, substrate processing apparatus, and substrate processing method
US7772097B2 (en) 2007-11-05 2010-08-10 Asm America, Inc. Methods of selectively depositing silicon-containing films
KR20090047211A (en) 2007-11-07 2009-05-12 삼성전자주식회사 Method of forming a conductive pattern and method of manufacturing a semiconductor device using the same
US20090124131A1 (en) 2007-11-09 2009-05-14 Electronic Controls Design Thermocouple adapter
US20090122458A1 (en) 2007-11-14 2009-05-14 Varian Semiconductor Epuipment Associated, Inc. Embossed electrostatic chuck
US20100279512A1 (en) 2007-11-14 2010-11-04 Tokyo Electron Limited Plasma processing apparatus and method for plasma-processing semiconductor substrate
CA123273S (en) 2007-11-19 2010-01-27 Silvano Breda Shower strainer
CA123272S (en) 2007-11-19 2010-01-27 Silvano Breda Shower strainer
US8272516B2 (en) 2007-11-19 2012-09-25 Caterpillar Inc. Fluid filter system
KR101412144B1 (en) 2007-11-26 2014-06-26 삼성전자 주식회사 Fabricating method of metal interconnection and fabricating method of image sensor using the same
EP2065927B1 (en) 2007-11-27 2013-10-02 Imec Integration and manufacturing method of Cu germanide and Cu silicide as Cu capping layer
US8021723B2 (en) 2007-11-27 2011-09-20 Asm Japan K.K. Method of plasma treatment using amplitude-modulated RF power
KR101376336B1 (en) 2007-11-27 2014-03-18 한국에이에스엠지니텍 주식회사 Atomic layer deposition apparatus
KR20090055443A (en) 2007-11-28 2009-06-02 주식회사 케이씨텍 Atomic layer deposition apparatus
CN101878518B (en) 2007-11-28 2012-04-04 皇家飞利浦电子股份有限公司 Dielectric barrier discharge lamp
US7967912B2 (en) 2007-11-29 2011-06-28 Nuflare Technology, Inc. Manufacturing apparatus for semiconductor device and manufacturing method for semiconductor device
KR20090056475A (en) 2007-11-30 2009-06-03 삼성전자주식회사 Plasma processing apparatus
US8060252B2 (en) 2007-11-30 2011-11-15 Novellus Systems, Inc. High throughput method of in transit wafer position correction in system using multiple robots
JP5464843B2 (en) 2007-12-03 2014-04-09 株式会社半導体エネルギー研究所 Method for manufacturing SOI substrate
US7651959B2 (en) 2007-12-03 2010-01-26 Asm Japan K.K. Method for forming silazane-based dielectric film
US20090139657A1 (en) 2007-12-04 2009-06-04 Applied Materials, Inc. Etch system
DE112008003277T5 (en) 2007-12-06 2011-01-05 Shin-Etsu Handotai Co., Ltd. Susceptor for vapor phase growth and vapor phase growth device
US8440569B2 (en) 2007-12-07 2013-05-14 Cadence Design Systems, Inc. Method of eliminating a lithography operation
US8047706B2 (en) 2007-12-07 2011-11-01 Asm America, Inc. Calibration of temperature control system for semiconductor processing chamber
US7807566B2 (en) 2007-12-07 2010-10-05 Asm Japan K.K. Method for forming dielectric SiOCH film having chemical stability
US8628616B2 (en) 2007-12-11 2014-01-14 Sumitomo Electric Industries, Ltd. Vapor-phase process apparatus, vapor-phase process method, and substrate
US8003174B2 (en) 2007-12-13 2011-08-23 Asm Japan K.K. Method for forming dielectric film using siloxane-silazane mixture
KR100956247B1 (en) 2007-12-13 2010-05-06 삼성엘이디 주식회사 Metal Organic Chemical Vapor Deposition Apparatus
US20100259152A1 (en) 2007-12-17 2010-10-14 Orc Manufacturing Co., Ltd. Discharge lamp
FI123322B (en) 2007-12-17 2013-02-28 Beneq Oy Method and apparatus for generating plasma
US20090155488A1 (en) 2007-12-18 2009-06-18 Asm Japan K.K. Shower plate electrode for plasma cvd reactor
US8092606B2 (en) 2007-12-18 2012-01-10 Asm Genitech Korea Ltd. Deposition apparatus
US20090159002A1 (en) 2007-12-19 2009-06-25 Kallol Bera Gas distribution plate with annular plenum having a sloped ceiling for uniform distribution
KR101542636B1 (en) 2007-12-19 2015-08-06 램 리써치 코포레이션 A method of treating a nanoporous low-k dielectric material
US8137463B2 (en) 2007-12-19 2012-03-20 Applied Materials, Inc. Dual zone gas injection nozzle
US7993057B2 (en) 2007-12-20 2011-08-09 Asm America, Inc. Redundant temperature sensor for semiconductor processing chambers
US7989329B2 (en) 2007-12-21 2011-08-02 Applied Materials, Inc. Removal of surface dopants from a substrate
KR20090068179A (en) 2007-12-21 2009-06-25 에이에스엠 인터내셔널 엔.브이. Process for producing a thin film comprising silicon dioxide
US8129029B2 (en) 2007-12-21 2012-03-06 Applied Materials, Inc. Erosion-resistant plasma chamber components comprising a metal base structure with an overlying thermal oxidation coating
US7678715B2 (en) 2007-12-21 2010-03-16 Applied Materials, Inc. Low wet etch rate silicon nitride film
CN101903977A (en) 2007-12-21 2010-12-01 朗姆研究公司 Photoresist double patterning
US20090197015A1 (en) 2007-12-25 2009-08-06 Applied Materials, Inc. Method and apparatus for controlling plasma uniformity
KR101444873B1 (en) 2007-12-26 2014-09-26 주성엔지니어링(주) System for treatmenting substrate
JP5291928B2 (en) 2007-12-26 2013-09-18 株式会社日立製作所 Oxide semiconductor device and manufacturing method thereof
US20090165721A1 (en) 2007-12-27 2009-07-02 Memc Electronic Materials, Inc. Susceptor with Support Bosses
US8333839B2 (en) 2007-12-27 2012-12-18 Synos Technology, Inc. Vapor deposition reactor
KR100936694B1 (en) 2007-12-27 2010-01-13 주식회사 케이씨텍 Atomic layer deposition apparatus having palasma generating portion
SG195592A1 (en) 2007-12-27 2013-12-30 Lam Res Corp Arrangements and methods for determining positions and offsets in plasma processing system
JP5374039B2 (en) 2007-12-27 2013-12-25 東京エレクトロン株式会社 Substrate processing method, substrate processing apparatus, and storage medium
US8496377B2 (en) 2007-12-31 2013-07-30 Covidien Lp Thermometer having molded probe component
KR101013413B1 (en) 2008-01-07 2011-02-14 한국과학기술연구원 Method for the fabrication of transparent gas barrier film using plasma surface treatment
US7935940B1 (en) 2008-01-08 2011-05-03 Novellus Systems, Inc. Measuring in-situ UV intensity in UV cure tool
US20090176018A1 (en) 2008-01-09 2009-07-09 Min Zou Nano/micro-textured surfaces and methods of making same by aluminum-induced crystallization of amorphous silicon
US8129288B2 (en) 2008-05-02 2012-03-06 Intermolecular, Inc. Combinatorial plasma enhanced deposition techniques
US8198567B2 (en) 2008-01-15 2012-06-12 Applied Materials, Inc. High temperature vacuum chuck assembly
JP5548841B2 (en) 2008-01-16 2014-07-16 チャーム エンジニアリング シーオー エルティーディー Substrate processing equipment
JP5200551B2 (en) 2008-01-18 2013-06-05 東京エレクトロン株式会社 Vaporized raw material supply apparatus, film forming apparatus, and vaporized raw material supply method
US20090186571A1 (en) 2008-01-22 2009-07-23 Asm America, Inc. Air ventilation system
KR20100106608A (en) 2008-01-31 2010-10-01 어플라이드 머티어리얼스, 인코포레이티드 Closed loop mocvd deposition control
WO2009095898A1 (en) 2008-02-01 2009-08-06 L'air Liquide-Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude New metal precursors containing beta-diketiminato ligands
US7855153B2 (en) 2008-02-08 2010-12-21 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing semiconductor device
US20090203197A1 (en) 2008-02-08 2009-08-13 Hiroji Hanawa Novel method for conformal plasma immersed ion implantation assisted by atomic layer deposition
KR101362811B1 (en) 2008-02-11 2014-02-14 (주)소슬 Apparatus for supporting substrate and apparatus for treating substrate having the same
KR100988390B1 (en) 2008-02-11 2010-10-18 성균관대학교산학협력단 Substrate processing apparatus and substrate processing method
US20090200494A1 (en) 2008-02-11 2009-08-13 Varian Semiconductor Equipment Associates, Inc. Techniques for cold implantation of carbon-containing species
KR101043211B1 (en) 2008-02-12 2011-06-22 신웅철 Batch type ald
GB0802486D0 (en) 2008-02-12 2008-03-19 Gilbert Patrick C Warm water economy device
US7795045B2 (en) 2008-02-13 2010-09-14 Icemos Technology Ltd. Trench depth monitor for semiconductor manufacturing
US20090206056A1 (en) 2008-02-14 2009-08-20 Songlin Xu Method and Apparatus for Plasma Process Performance Matching in Multiple Wafer Chambers
JP2009194248A (en) 2008-02-15 2009-08-27 Tokyo Electron Ltd Pattern forming method, semiconductor manufacturing apparatus and storage medium
TWI498988B (en) 2008-02-20 2015-09-01 Tokyo Electron Ltd A gas supply device, a film forming apparatus, and a film forming method
US20090214777A1 (en) 2008-02-22 2009-08-27 Demetrius Sarigiannis Multiple ampoule delivery systems
US20090214825A1 (en) 2008-02-26 2009-08-27 Applied Materials, Inc. Ceramic coating comprising yttrium which is resistant to a reducing plasma
KR101094982B1 (en) 2008-02-27 2011-12-20 도쿄엘렉트론가부시키가이샤 Plasma etching apparatus and plasma etching method
US20090221149A1 (en) 2008-02-28 2009-09-03 Hammond Iv Edward P Multiple port gas injection system utilized in a semiconductor processing system
US8273178B2 (en) 2008-02-28 2012-09-25 Asm Genitech Korea Ltd. Thin film deposition apparatus and method of maintaining the same
US20090302002A1 (en) 2008-02-29 2009-12-10 Applied Materials, Inc. Method and apparatus for removing polymer from a substrate
JP5223377B2 (en) 2008-02-29 2013-06-26 東京エレクトロン株式会社 Electrode for plasma processing apparatus, plasma processing apparatus and plasma processing method
KR100968132B1 (en) 2008-02-29 2010-07-06 (주)얼라이드 테크 파인더즈 Rotational antenna and semiconductor device including the same
US7727866B2 (en) 2008-03-05 2010-06-01 Varian Semiconductor Equipment Associates, Inc. Use of chained implants in solar cells
US7858533B2 (en) 2008-03-06 2010-12-28 Tokyo Electron Limited Method for curing a porous low dielectric constant dielectric film
US7977256B2 (en) 2008-03-06 2011-07-12 Tokyo Electron Limited Method for removing a pore-generating material from an uncured low-k dielectric film
USD585968S1 (en) 2008-03-06 2009-02-03 West Coast Washers, Inc. Pipe flashing
EP2099067A1 (en) 2008-03-07 2009-09-09 Nederlandse Organisatie voor toegepast- natuurwetenschappelijk onderzoek TNO Process for adjusting the friction coefficient between surfaces of two solid objects
JP5507097B2 (en) 2008-03-12 2014-05-28 富士フイルム株式会社 Perovskite oxide and method for producing the same, piezoelectric body, piezoelectric element, and liquid ejection device
JP5188849B2 (en) 2008-03-14 2013-04-24 Sppテクノロジーズ株式会社 Plasma processing equipment
GB2458507A (en) 2008-03-20 2009-09-23 Tecvac Ltd Oxidation of non ferrous metal components
KR101554123B1 (en) 2008-03-21 2015-09-18 어플라이드 머티어리얼스, 인코포레이티드 Shielded lid heater assembly
US7695619B2 (en) 2008-03-21 2010-04-13 Pentair Filtration, Inc. Modular drinking water filtration system with adapter rings for replaceable cartridges to assure proper fit
US8430620B1 (en) 2008-03-24 2013-04-30 Novellus Systems, Inc. Dedicated hot and cold end effectors for improved throughput
GB0805328D0 (en) 2008-03-25 2008-04-30 Aviza Technologies Ltd Deposition of an amorphous layer
JP2009239082A (en) 2008-03-27 2009-10-15 Tokyo Electron Ltd Gas feeding device, treating device, and treating method
US20090246399A1 (en) 2008-03-28 2009-10-01 Asm Japan K.K. Method for activating reactive oxygen species for cleaning carbon-based film deposition
US8252114B2 (en) 2008-03-28 2012-08-28 Tokyo Electron Limited Gas distribution system and method for distributing process gas in a processing system
US7816278B2 (en) 2008-03-28 2010-10-19 Tokyo Electron Limited In-situ hybrid deposition of high dielectric constant films using atomic layer deposition and chemical vapor deposition
US7659158B2 (en) 2008-03-31 2010-02-09 Applied Materials, Inc. Atomic layer deposition processes for non-volatile memory devices
USD590933S1 (en) 2008-03-31 2009-04-21 Mcp Industries, Inc. Vent cap device
US20100078601A1 (en) 2008-03-31 2010-04-01 American Air Liquide, Inc. Preparation of Lanthanide-Containing Precursors and Deposition of Lanthanide-Containing Films
JP2009252851A (en) 2008-04-02 2009-10-29 Nikon Corp Exposure apparatus, and device manufacturing method
US7963736B2 (en) 2008-04-03 2011-06-21 Asm Japan K.K. Wafer processing apparatus with wafer alignment device
JP5007827B2 (en) 2008-04-04 2012-08-22 信越化学工業株式会社 Double pattern formation method
US20110027725A1 (en) 2008-04-04 2011-02-03 Kiyoharu Tsutsumi Polyol compound for photoresist
US20090250955A1 (en) 2008-04-07 2009-10-08 Applied Materials, Inc. Wafer transfer blade
US8193388B2 (en) 2008-04-15 2012-06-05 American Air Liquide, Inc. Compounds for depositing tellurium-containing films
KR101540077B1 (en) 2008-04-16 2015-07-28 에이에스엠 아메리카, 인코포레이티드 Atomic layer deposition of metal carbide films using aluminum hydrocarbon compounds
US8110453B2 (en) 2008-04-17 2012-02-07 Applied Materials, Inc. Low temperature thin film transistor process, device property, and device stability improvement
KR100971414B1 (en) 2008-04-18 2010-07-21 주식회사 하이닉스반도체 Semiconductor device with strained channel and method for fabricating the same
US8741062B2 (en) 2008-04-22 2014-06-03 Picosun Oy Apparatus and methods for deposition reactors
WO2009131902A2 (en) 2008-04-23 2009-10-29 Intermolecular, Inc. Yttrium and titanium high-k dielectric films
US20090269506A1 (en) 2008-04-24 2009-10-29 Seiji Okura Method and apparatus for cleaning of a CVD reactor
CN102067281B (en) 2008-04-25 2013-06-12 株式会社半导体能源研究所 Semiconductor device and method for manufacturing the same
US8383525B2 (en) 2008-04-25 2013-02-26 Asm America, Inc. Plasma-enhanced deposition process for forming a metal oxide thin film and related structures
JP5253875B2 (en) 2008-04-28 2013-07-31 株式会社東芝 Nonvolatile semiconductor memory device and manufacturing method thereof
EP2272068B1 (en) 2008-04-28 2018-07-04 Basf Se Low-k dielectrics obtainable by twin polymerization
US20090269507A1 (en) 2008-04-29 2009-10-29 Sang-Ho Yu Selective cobalt deposition on copper surfaces
US8252194B2 (en) 2008-05-02 2012-08-28 Micron Technology, Inc. Methods of removing silicon oxide
US7632549B2 (en) 2008-05-05 2009-12-15 Asm Japan K.K. Method of forming a high transparent carbon film
US20090280248A1 (en) 2008-05-06 2009-11-12 Asm America, Inc. Porous substrate holder with thinned portions
FR2930900B1 (en) 2008-05-06 2010-09-10 Commissariat Energie Atomique DEVICE FOR SEPARATING BIOMOLECULES FROM A FLUID
US8076237B2 (en) 2008-05-09 2011-12-13 Asm America, Inc. Method and apparatus for 3D interconnect
US20090277874A1 (en) 2008-05-09 2009-11-12 Applied Materials, Inc. Method and apparatus for removing polymer from a substrate
US8277670B2 (en) 2008-05-13 2012-10-02 Lam Research Corporation Plasma process with photoresist mask pretreatment
US20090286402A1 (en) 2008-05-13 2009-11-19 Applied Materials, Inc Method for critical dimension shrink using conformal pecvd films
KR20150017389A (en) 2008-05-14 2015-02-16 어플라이드 머티어리얼스, 인코포레이티드 Method and apparatus for pulsed plasma processing using a time resolved tuning scheme for rf power delivery
US8333842B2 (en) 2008-05-15 2012-12-18 Applied Materials, Inc. Apparatus for etching semiconductor wafers
TWI475594B (en) 2008-05-19 2015-03-01 Entegris Inc Electrostatic chuck
US7514058B1 (en) 2008-05-22 2009-04-07 The Lata Group, Inc. Apparatus for on-site production of nitrate ions
US10041169B2 (en) 2008-05-27 2018-08-07 Picosun Oy System and method for loading a substrate holder carrying a batch of vertically placed substrates into an atomic layer deposition reactor
EP2128299B1 (en) 2008-05-29 2016-12-28 General Electric Technology GmbH Multilayer thermal barrier coating
US8945675B2 (en) 2008-05-29 2015-02-03 Asm International N.V. Methods for forming conductive titanium oxide thin films
US7622369B1 (en) 2008-05-30 2009-11-24 Asm Japan K.K. Device isolation technology on semiconductor substrate
US20090297731A1 (en) 2008-05-30 2009-12-03 Asm Japan K.K. Apparatus and method for improving production throughput in cvd chamber
US8298628B2 (en) 2008-06-02 2012-10-30 Air Products And Chemicals, Inc. Low temperature deposition of silicon-containing films
US20110056513A1 (en) 2008-06-05 2011-03-10 Axel Hombach Method for treating surfaces, lamp for said method, and irradiation system having said lamp
KR101802124B1 (en) 2008-06-05 2017-11-27 레르 리키드 쏘시에떼 아노님 뿌르 레드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 Preparation of lanthanide-containing precursors and deposition of lanthanide-containing films
JP2009295932A (en) 2008-06-09 2009-12-17 Canon Inc Exposure device and device manufacturing method
US7915667B2 (en) 2008-06-11 2011-03-29 Qimonda Ag Integrated circuits having a contact region and methods for manufacturing the same
JP5421551B2 (en) 2008-06-11 2014-02-19 東京エレクトロン株式会社 Plasma processing apparatus and plasma processing method
US20090308315A1 (en) 2008-06-13 2009-12-17 Asm International N.V. Semiconductor processing apparatus with improved thermal characteristics and method for providing the same
US7946762B2 (en) 2008-06-17 2011-05-24 Asm America, Inc. Thermocouple
US7699935B2 (en) 2008-06-19 2010-04-20 Applied Materials, Inc. Method and system for supplying a cleaning gas into a process chamber
CN101609858B (en) 2008-06-20 2011-06-22 福建钧石能源有限公司 Film deposition method
WO2009154889A2 (en) 2008-06-20 2009-12-23 Applied Materials, Inc. Gas distribution showerhead skirt
US8726837B2 (en) 2008-06-23 2014-05-20 Applied Materials, Inc. Semiconductor process chamber vision and monitoring system
US8827695B2 (en) 2008-06-23 2014-09-09 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer's ambiance control
US20090314208A1 (en) 2008-06-24 2009-12-24 Applied Materials, Inc. Pedestal heater for low temperature pecvd application
KR101036605B1 (en) 2008-06-30 2011-05-24 세메스 주식회사 Substrate supporting unit and single type substrate polishing apparatus using the same
US20090325391A1 (en) 2008-06-30 2009-12-31 Asm International Nv Ozone and teos process for silicon oxide deposition
US8291857B2 (en) 2008-07-03 2012-10-23 Applied Materials, Inc. Apparatuses and methods for atomic layer deposition
US8206506B2 (en) 2008-07-07 2012-06-26 Lam Research Corporation Showerhead electrode
JP2010021204A (en) 2008-07-08 2010-01-28 Toshiba Corp Semiconductor device and manufacturing method thereof
US8702867B2 (en) 2008-07-08 2014-04-22 Jusung Engineering Co., Ltd. Gas distribution plate and substrate treating apparatus including the same
KR101174277B1 (en) 2008-07-09 2012-08-16 도쿄엘렉트론가부시키가이샤 Plasma processing device
US8111978B2 (en) 2008-07-11 2012-02-07 Applied Materials, Inc. Rapid thermal processing chamber with shower head
US20100012036A1 (en) 2008-07-11 2010-01-21 Hugo Silva Isolation for multi-single-wafer processing apparatus
US9997325B2 (en) 2008-07-17 2018-06-12 Verity Instruments, Inc. Electron beam exciter for use in chemical analysis in processing systems
US8058138B2 (en) 2008-07-17 2011-11-15 Micron Technology, Inc. Gap processing
USD614593S1 (en) 2008-07-21 2010-04-27 Asm Genitech Korea Ltd Substrate support for a semiconductor deposition apparatus
USD609652S1 (en) 2008-07-22 2010-02-09 Tokyo Electron Limited Wafer attracting plate
KR20100015213A (en) 2008-08-04 2010-02-12 삼성전기주식회사 Showerhead and chemical vapor deposition apparatus having the same
KR101482944B1 (en) 2008-08-04 2015-01-16 한국과학기술원 method for manufacturing thin film transistors based on titanium oxides as active layer and thin film transistors thereof
US20100025796A1 (en) 2008-08-04 2010-02-04 Amir Massoud Dabiran Microchannel plate photocathode
US8047711B2 (en) 2008-08-06 2011-11-01 Heinz Ploechinger Thermocouple vacuum gauge
US20100034719A1 (en) 2008-08-06 2010-02-11 Christian Dussarrat Novel lanthanide beta-diketonate precursors for lanthanide thin film deposition
US8328585B2 (en) 2008-08-07 2012-12-11 Texas Instruments Incorporated Modulated deposition process for stress control in thick TiN films
USD600223S1 (en) 2008-08-07 2009-09-15 Ravinder Aggarwal Susceptor ring
US8394229B2 (en) 2008-08-07 2013-03-12 Asm America, Inc. Susceptor ring
WO2010017555A1 (en) 2008-08-08 2010-02-11 Cornell Research Foundation, Inc. Inorganic bulk multijunction materials and processes for preparing the same
US8129555B2 (en) 2008-08-12 2012-03-06 Air Products And Chemicals, Inc. Precursors for depositing silicon-containing films and methods for making and using same
US8470718B2 (en) 2008-08-13 2013-06-25 Synos Technology, Inc. Vapor deposition reactor for forming thin film
JP5338335B2 (en) 2008-08-13 2013-11-13 東京エレクトロン株式会社 Opening / closing device and probe device of transfer container
US8263502B2 (en) 2008-08-13 2012-09-11 Synos Technology, Inc. Forming substrate structure by filling recesses with deposition material
KR101017170B1 (en) 2008-08-13 2011-02-25 주식회사 동부하이텍 Back metal process chamber
US7816218B2 (en) 2008-08-14 2010-10-19 Intel Corporation Selective deposition of amorphous silicon films on metal gates
US8147648B2 (en) 2008-08-15 2012-04-03 Lam Research Corporation Composite showerhead electrode assembly for a plasma processing apparatus
JP4866402B2 (en) 2008-08-25 2012-02-01 独立行政法人科学技術振興機構 Chemical vapor deposition method
JP5593472B2 (en) 2008-08-27 2014-09-24 株式会社日立国際電気 Substrate processing apparatus and semiconductor device manufacturing method
JP5188326B2 (en) 2008-08-28 2013-04-24 株式会社日立国際電気 Semiconductor device manufacturing method, substrate processing method, and substrate processing apparatus
US8084104B2 (en) 2008-08-29 2011-12-27 Asm Japan K.K. Atomic composition controlled ruthenium alloy film formed by plasma-enhanced atomic layer deposition
JP5200171B2 (en) 2008-08-29 2013-05-15 ビーコ・インストゥルメンツ・インコーポレイテッド Wafer carrier, chemical vapor deposition apparatus, and method of processing wafer
US20100055442A1 (en) 2008-09-03 2010-03-04 International Business Machines Corporation METHOD OF PE-ALD OF SiNxCy AND INTEGRATION OF LINER MATERIALS ON POROUS LOW K SUBSTRATES
JP5107185B2 (en) 2008-09-04 2012-12-26 東京エレクトロン株式会社 Film forming apparatus, substrate processing apparatus, film forming method, and recording medium recording program for executing this film forming method
TW201011861A (en) 2008-09-04 2010-03-16 Nanya Technology Corp Method for fabricating integrated circuit
JP5276388B2 (en) 2008-09-04 2013-08-28 東京エレクトロン株式会社 Film forming apparatus and substrate processing apparatus
JP2010087467A (en) 2008-09-04 2010-04-15 Tokyo Electron Ltd Film deposition apparatus, substrate processing apparatus, film deposition method, and recording medium with recorded program for implementing the film deposition method
CN102150251B (en) 2008-09-08 2013-06-19 芝浦机械电子株式会社 Substrate holding member, substrate processing apparatus, and substrate processing method
JP5226438B2 (en) 2008-09-10 2013-07-03 株式会社日立国際電気 Substrate processing apparatus, semiconductor device manufacturing method, and substrate processing method
USD643055S1 (en) 2008-09-11 2011-08-09 Asm Japan K.K. Heater block for use in a semiconductor processing tool
US8731706B2 (en) 2008-09-12 2014-05-20 Hitachi High-Technologies Corporation Vacuum processing apparatus
JP5511273B2 (en) 2008-09-12 2014-06-04 株式会社日立国際電気 Substrate processing apparatus and substrate processing method
US20100065758A1 (en) 2008-09-16 2010-03-18 Tokyo Electron Limited Dielectric material treatment system and method of operating
JP2010073822A (en) 2008-09-17 2010-04-02 Tokyo Electron Ltd Film deposition apparatus, film deposition method, program and computer readable storage medium
US20100075488A1 (en) 2008-09-19 2010-03-25 Applied Materials, Inc. Cvd reactor with multiple processing levels and dual-axis motorized lift mechanism
US20100075037A1 (en) 2008-09-22 2010-03-25 Marsh Eugene P Deposition Systems, ALD Systems, CVD Systems, Deposition Methods, ALD Methods and CVD Methods
US9711373B2 (en) 2008-09-22 2017-07-18 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating a gate dielectric for high-k metal gate devices
JP2010077508A (en) 2008-09-26 2010-04-08 Tokyo Electron Ltd Film deposition apparatus and substrate processing apparatus
DE102008049353A1 (en) 2008-09-29 2010-04-08 Vat Holding Ag vacuum valve
JP4638550B2 (en) 2008-09-29 2011-02-23 東京エレクトロン株式会社 Mask pattern forming method, fine pattern forming method, and film forming apparatus
US9493875B2 (en) 2008-09-30 2016-11-15 Eugene Technology Co., Ltd. Shower head unit and chemical vapor deposition apparatus
US20100081293A1 (en) 2008-10-01 2010-04-01 Applied Materials, Inc. Methods for forming silicon nitride based film or silicon carbon based film
KR20100037212A (en) 2008-10-01 2010-04-09 주식회사 동부하이텍 Semiconductor device and fabricating method thereof
US20100090149A1 (en) 2008-10-01 2010-04-15 Compressor Engineering Corp. Poppet valve assembly, system, and apparatus for use in high speed compressor applications
USD609655S1 (en) 2008-10-03 2010-02-09 Ngk Insulators, Ltd. Electrostatic chuck
US20110174213A1 (en) 2008-10-03 2011-07-21 Veeco Compound Semiconductor, Inc. Vapor Phase Epitaxy System
JP2010114420A (en) 2008-10-07 2010-05-20 Hitachi Kokusai Electric Inc Method of manufacturing semiconductor device
WO2010042410A2 (en) 2008-10-07 2010-04-15 Applied Materials, Inc. Apparatus for efficient removal of halogen residues from etched substrates
EP2174942B1 (en) 2008-10-07 2011-11-30 L'Air Liquide Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Niobium and vanadium organometallic precursors for thin film deposition
US20110239940A1 (en) 2008-10-08 2011-10-06 Giacomo Benvenuti Vapor phase deposition system
KR101491726B1 (en) 2008-10-08 2015-02-17 주성엔지니어링(주) Method of gap filling in a semiconductor device
KR101627297B1 (en) 2008-10-13 2016-06-03 한국에이에스엠지니텍 주식회사 Plasma processing member, deposition apparatus including the same and depositing method using the same
US8133555B2 (en) 2008-10-14 2012-03-13 Asm Japan K.K. Method for forming metal film by ALD using beta-diketone metal complex
KR20100041529A (en) 2008-10-14 2010-04-22 삼성전자주식회사 Material layer depositing apparatus using supercritical fluid, material layer depositing system comprising the same and method of forming material layer
JP2012506151A (en) 2008-10-14 2012-03-08 アプライド マテリアルズ インコーポレイテッド Method for depositing conformal amorphous carbon films by plasma enhanced chemical vapor deposition (PECVD)
WO2010044978A1 (en) 2008-10-15 2010-04-22 Arizona Board of Regents, a body corporate acting for and on behalf of Arizona State University Hybrid group iv/iii-v semiconductor structures
JP2010097834A (en) 2008-10-17 2010-04-30 Ushio Inc Backlight unit
US7745346B2 (en) 2008-10-17 2010-06-29 Novellus Systems, Inc. Method for improving process control and film conformality of PECVD film
US7964858B2 (en) 2008-10-21 2011-06-21 Applied Materials, Inc. Ultraviolet reflector with coolant gas holes and method
US8114734B2 (en) 2008-10-21 2012-02-14 United Microelectronics Corp. Metal capacitor and method of making the same
US8697189B2 (en) 2008-10-21 2014-04-15 Intevac, Inc. Method and apparatus for precision surface modification in nano-imprint lithography
US7967913B2 (en) 2008-10-22 2011-06-28 Applied Materials, Inc. Remote plasma clean process with cycled high and low pressure clean steps
KR20110084275A (en) 2008-10-27 2011-07-21 어플라이드 머티어리얼스, 인코포레이티드 Vapor deposition method for ternary compounds
US8185443B2 (en) 2008-10-27 2012-05-22 Ebay, Inc. Method and apparatus for authorizing a payment via a remote device
CN102203910B (en) 2008-11-07 2014-12-10 Asm美国公司 Reaction chamber
JP5410074B2 (en) 2008-11-07 2014-02-05 東京エレクトロン株式会社 Ozone gas concentration measurement method, ozone gas concentration measurement system, and substrate processing apparatus
JP5062143B2 (en) 2008-11-10 2012-10-31 東京エレクトロン株式会社 Deposition equipment
US8858745B2 (en) 2008-11-12 2014-10-14 Applied Materials, Inc. Corrosion-resistant bonding agents for bonding ceramic components which are exposed to plasmas
US9017765B2 (en) 2008-11-12 2015-04-28 Applied Materials, Inc. Protective coatings resistant to reactive plasma processing
US20100121100A1 (en) 2008-11-12 2010-05-13 Daniel Travis Shay Supported palladium-gold catalysts and preparation of vinyl acetate therewith
US8524616B2 (en) 2008-11-12 2013-09-03 Microchip Technology Incorporated Method of nonstoichiometric CVD dielectric film surface passivation for film roughness control
US20100116208A1 (en) 2008-11-13 2010-05-13 Applied Materials, Inc. Ampoule and delivery system for solid precursors
US8647722B2 (en) 2008-11-14 2014-02-11 Asm Japan K.K. Method of forming insulation film using plasma treatment cycles
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
JP2010153769A (en) 2008-11-19 2010-07-08 Tokyo Electron Ltd Substrate position sensing device, substrate position sensing method, film forming device, film forming method, program, and computer readable storage medium
US20100130017A1 (en) 2008-11-21 2010-05-27 Axcelis Technologies, Inc. Front end of line plasma mediated ashing processes and apparatus
JP5225041B2 (en) 2008-11-21 2013-07-03 京セラ株式会社 Electrostatic chuck
US8714169B2 (en) 2008-11-26 2014-05-06 Semes Co. Ltd. Spin head, apparatus for treating substrate, and method for treating substrate
KR101004434B1 (en) 2008-11-26 2010-12-28 세메스 주식회사 Substrate supporting unit, and apparatus and method for polishing substrate using the same
JP5185790B2 (en) 2008-11-27 2013-04-17 株式会社日立ハイテクノロジーズ Plasma processing equipment
WO2010065473A2 (en) 2008-12-01 2010-06-10 Applied Materials, Inc. Gas distribution blocker apparatus
US8138676B2 (en) 2008-12-01 2012-03-20 Mills Robert L Methods and systems for dimmable fluorescent lighting using multiple frequencies
EP2194574B1 (en) 2008-12-02 2018-11-07 IMEC vzw Method for producing interconnect structures for integrated circuits
US8273634B2 (en) 2008-12-04 2012-09-25 Micron Technology, Inc. Methods of fabricating substrates
US8262287B2 (en) 2008-12-08 2012-09-11 Asm America, Inc. Thermocouple
US8765233B2 (en) 2008-12-09 2014-07-01 Asm Japan K.K. Method for forming low-carbon CVD film for filling trenches
JP5390846B2 (en) 2008-12-09 2014-01-15 東京エレクトロン株式会社 Plasma etching apparatus and plasma cleaning method
JP5356005B2 (en) 2008-12-10 2013-12-04 株式会社東芝 Nonvolatile semiconductor memory device and manufacturing method thereof
US20100151206A1 (en) 2008-12-11 2010-06-17 Air Products And Chemicals, Inc. Method for Removal of Carbon From An Organosilicate Material
US7902009B2 (en) 2008-12-11 2011-03-08 Intel Corporation Graded high germanium compound films for strained semiconductor devices
US8033771B1 (en) 2008-12-11 2011-10-11 Novellus Systems, Inc. Minimum contact area wafer clamping with gas flow for rapid wafer cooling
US8557712B1 (en) 2008-12-15 2013-10-15 Novellus Systems, Inc. PECVD flowable dielectric gap fill
WO2010071101A1 (en) 2008-12-15 2010-06-24 東京エレクトロン株式会社 System for processing of substrate, method of processing of substrate, and storage medium that stores program
US20100147396A1 (en) 2008-12-15 2010-06-17 Asm Japan K.K. Multiple-Substrate Transfer Apparatus and Multiple-Substrate Processing Apparatus
US9379011B2 (en) 2008-12-19 2016-06-28 Asm International N.V. Methods for depositing nickel films and for making nickel silicide and nickel germanide
WO2010075467A1 (en) 2008-12-23 2010-07-01 Mks Instruments, Inc. Reactive chemical containment system
KR20100075070A (en) 2008-12-24 2010-07-02 삼성전자주식회사 Fabrication method of nonvolatile memory device
US8816424B2 (en) 2008-12-26 2014-08-26 SK Hynix Inc. Nonvolatile memory device
JP5268626B2 (en) 2008-12-26 2013-08-21 株式会社日立ハイテクノロジーズ Plasma processing equipment
JP2010157536A (en) 2008-12-26 2010-07-15 Nuflare Technology Inc Method for manufacturing susceptor
KR20100077442A (en) 2008-12-29 2010-07-08 주식회사 케이씨텍 Showerhead and atomic layer deposition apparatus having the same
TWI465599B (en) 2008-12-29 2014-12-21 K C Tech Co Ltd Atomic layer deposition apparatus
US7964490B2 (en) 2008-12-31 2011-06-21 Intel Corporation Methods of forming nickel sulfide film on a semiconductor device
US20100183825A1 (en) 2008-12-31 2010-07-22 Cambridge Nanotech Inc. Plasma atomic layer deposition system and method
KR101111063B1 (en) 2008-12-31 2012-02-16 엘아이지에이디피 주식회사 Apparatus for joining of substrate
US9640396B2 (en) 2009-01-07 2017-05-02 Brewer Science Inc. Spin-on spacer materials for double- and triple-patterning lithography
US8216380B2 (en) 2009-01-08 2012-07-10 Asm America, Inc. Gap maintenance for opening to process chamber
US20100176513A1 (en) 2009-01-09 2010-07-15 International Business Machines Corporation Structure and method of forming metal interconnect structures in ultra low-k dielectrics
CN102341901B (en) 2009-01-11 2013-11-06 应用材料公司 Systems, apparatus and methods for moving substrates
US8151814B2 (en) 2009-01-13 2012-04-10 Asm Japan K.K. Method for controlling flow and concentration of liquid precursor
USD606952S1 (en) 2009-01-16 2009-12-29 Asm Genitech Korea Ltd. Plasma inducing plate for semiconductor deposition apparatus
US8591659B1 (en) 2009-01-16 2013-11-26 Novellus Systems, Inc. Plasma clean method for deposition chamber
US8142862B2 (en) 2009-01-21 2012-03-27 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
US7972980B2 (en) 2009-01-21 2011-07-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
US7919416B2 (en) 2009-01-21 2011-04-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
US20100189923A1 (en) 2009-01-29 2010-07-29 Asm Japan K.K. Method of forming hardmask by plasma cvd
US8557702B2 (en) 2009-02-02 2013-10-15 Asm America, Inc. Plasma-enhanced atomic layers deposition of conductive material over dielectric layers
JP5330004B2 (en) 2009-02-03 2013-10-30 株式会社東芝 Manufacturing method of semiconductor device
US8680650B2 (en) 2009-02-03 2014-03-25 Micron Technology, Inc. Capacitor structures having improved area efficiency
KR101691044B1 (en) 2009-02-04 2016-12-29 맷슨 테크놀로지, 인크. Electrostatic chuck system and process for radially tuning the temperature profile across the surface of a substrate
US8307472B1 (en) 2009-02-04 2012-11-13 Thomas Jason Saxon Light emitting diode system
US20100203242A1 (en) 2009-02-06 2010-08-12 Applied Materials, Inc. self-cleaning susceptor for solar cell processing
US8287648B2 (en) 2009-02-09 2012-10-16 Asm America, Inc. Method and apparatus for minimizing contamination in semiconductor processing chamber
US8716132B2 (en) 2009-02-13 2014-05-06 Tokyo Electron Limited Radiation-assisted selective deposition of metal-containing cap layers
US8663735B2 (en) 2009-02-13 2014-03-04 Advanced Technology Materials, Inc. In situ generation of RuO4 for ALD of Ru and Ru related materials
EP2397574A4 (en) 2009-02-16 2013-08-14 Mitsubishi Plastics Inc Process for producing multilayered gas-barrier film
GB2469112A (en) 2009-04-03 2010-10-06 Mapper Lithography Ip Bv Wafer support using controlled capillary liquid layer to hold and release wafer
KR101172147B1 (en) 2009-02-23 2012-08-07 시너스 테크놀리지, 인코포레이티드 Method for forming thin film using radicals generated by plasma
US8673081B2 (en) 2009-02-25 2014-03-18 Crystal Solar, Inc. High throughput multi-wafer epitaxial reactor
US8692466B2 (en) 2009-02-27 2014-04-08 Mks Instruments Inc. Method and apparatus of providing power to ignite and sustain a plasma in a reactive gas generator
JP5216632B2 (en) 2009-03-03 2013-06-19 東京エレクトロン株式会社 Fluid control device
JP5397464B2 (en) 2009-03-04 2014-01-22 富士電機株式会社 Deposition method
JP2010205967A (en) 2009-03-04 2010-09-16 Tokyo Electron Ltd Plasma etching method, plasma etching device, and computer storage medium
KR101049801B1 (en) 2009-03-05 2011-07-15 삼성모바일디스플레이주식회사 Method for manufacturing polycrystalline silicon layer and atomic layer deposition apparatus used therein
USD616394S1 (en) 2009-03-06 2010-05-25 Tokyo Electron Limited Support of wafer boat for manufacturing semiconductor wafers
USD616390S1 (en) 2009-03-06 2010-05-25 Tokyo Electron Limited Quartz cover for manufacturing semiconductor wafers
JP2010239115A (en) 2009-03-10 2010-10-21 Hitachi Kokusai Electric Inc Substrate processing apparatus
JP5221421B2 (en) 2009-03-10 2013-06-26 東京エレクトロン株式会社 Shower head and plasma processing apparatus
JP5337542B2 (en) 2009-03-12 2013-11-06 株式会社堀場エステック Mass flow meter, mass flow controller, mass flow meter system and mass flow controller system including them
US8703624B2 (en) 2009-03-13 2014-04-22 Air Products And Chemicals, Inc. Dielectric films comprising silicon and methods for making same
JP5275094B2 (en) 2009-03-13 2013-08-28 東京エレクトロン株式会社 Substrate processing method
WO2010104656A2 (en) 2009-03-13 2010-09-16 The Board Trustees Ofthe University Of Illinois Rapid crystallization of heavily doped metal oxides and products produced thereby
EP2230703A3 (en) 2009-03-18 2012-05-02 Semiconductor Energy Laboratory Co., Ltd. Manufacturing apparatus and manufacturing method of lighting device
KR101055862B1 (en) 2009-03-23 2011-08-09 주식회사 테라세미콘 Inline heat treatment equipment
KR101583608B1 (en) 2009-03-24 2016-01-08 삼성전자 주식회사 Fabficating method of silicon oxide using inorgarnic silicon precursor and fabficating method of semiconductor device using the same
TW201118977A (en) 2009-03-26 2011-06-01 Panasonic Corp Plasma processing apparatus and plasma processing method
CN102365386A (en) 2009-03-27 2012-02-29 东京毅力科创株式会社 Method for forming metal nitride film, and storage medium
US9004744B1 (en) 2009-03-30 2015-04-14 Techni-Blend, Inc. Fluid mixer using countercurrent injection
US8118484B2 (en) 2009-03-31 2012-02-21 Rosemount Inc. Thermocouple temperature sensor with connection detection circuitry
JP5292160B2 (en) 2009-03-31 2013-09-18 東京エレクトロン株式会社 Gas flow path structure and substrate processing apparatus
US8284601B2 (en) 2009-04-01 2012-10-09 Samsung Electronics Co., Ltd. Semiconductor memory device comprising three-dimensional memory cell array
JP5647792B2 (en) 2009-04-01 2015-01-07 ピーエスフォー ルクスコ エスエイアールエルPS4 Luxco S.a.r.l. Method for manufacturing capacitor insulating film for capacitor
US8197915B2 (en) 2009-04-01 2012-06-12 Asm Japan K.K. Method of depositing silicon oxide film by plasma enhanced atomic layer deposition at low temperature
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8486191B2 (en) 2009-04-07 2013-07-16 Asm America, Inc. Substrate reactor with adjustable injectors for mixing gases within reaction chamber
US8402918B2 (en) 2009-04-07 2013-03-26 Lam Research Corporation Showerhead electrode with centering feature
JP5338443B2 (en) 2009-04-14 2013-11-13 信越半導体株式会社 Manufacturing method of SOI wafer
JP5710591B2 (en) 2009-04-20 2015-04-30 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Enhanced removal of residual fluorine radicals using a silicon coating on the process chamber walls
US9431237B2 (en) 2009-04-20 2016-08-30 Applied Materials, Inc. Post treatment methods for oxide layers on semiconductor devices
US8193075B2 (en) 2009-04-20 2012-06-05 Applied Materials, Inc. Remote hydrogen plasma with ion filter for terminating silicon dangling bonds
US8404499B2 (en) 2009-04-20 2013-03-26 Applied Materials, Inc. LED substrate processing
US20100266765A1 (en) 2009-04-21 2010-10-21 White Carl L Method and apparatus for growing a thin film onto a substrate
SG10201401671SA (en) 2009-04-21 2014-07-30 Applied Materials Inc Cvd apparatus for improved film thickness non-uniformity and particle performance
JP5204031B2 (en) 2009-04-22 2013-06-05 Jfe鋼板株式会社 Mating type folded roof material
US8071452B2 (en) 2009-04-27 2011-12-06 Asm America, Inc. Atomic layer deposition of hafnium lanthanum oxides
US8415753B2 (en) 2009-04-28 2013-04-09 Canon Anelva Corporation Semiconductor device and method of manufacturing the same
JP5136574B2 (en) 2009-05-01 2013-02-06 東京エレクトロン株式会社 Plasma processing apparatus and plasma processing method
US8100583B2 (en) 2009-05-06 2012-01-24 Asm America, Inc. Thermocouple
US9297705B2 (en) 2009-05-06 2016-03-29 Asm America, Inc. Smart temperature measuring device
US8382370B2 (en) 2009-05-06 2013-02-26 Asm America, Inc. Thermocouple assembly with guarded thermocouple junction
KR20100032812A (en) 2009-05-11 2010-03-26 주식회사 테스 Cvd apparatus and substrate processing system
KR20100122701A (en) 2009-05-13 2010-11-23 주식회사 하이닉스반도체 Method of manufacturing semiconductor device
US7842622B1 (en) 2009-05-15 2010-11-30 Asm Japan K.K. Method of forming highly conformal amorphous carbon layer
WO2010132871A1 (en) 2009-05-15 2010-11-18 Wayne State University Thermally stable volatile film precursors
CN102428544B (en) 2009-05-20 2014-10-29 株式会社东芝 Method for forming uneven pattern
US8004198B2 (en) 2009-05-28 2011-08-23 Osram Sylvania Inc. Resetting an electronic ballast in the event of fault
KR101064210B1 (en) 2009-06-01 2011-09-14 한국생산기술연구원 A showerhead for film depositing vacuum equipments
CN102449851A (en) 2009-06-05 2012-05-09 安德鲁有限责任公司 Unprepared cable end coaxial connector
US8758512B2 (en) 2009-06-08 2014-06-24 Veeco Ald Inc. Vapor deposition reactor and method for forming thin film
KR101610773B1 (en) 2009-06-10 2016-04-08 주성엔지니어링(주) Method for manufacturing thin film and apparatus for the same
US20100317198A1 (en) 2009-06-12 2010-12-16 Novellus Systems, Inc. Remote plasma processing of interface surfaces
JP5456036B2 (en) 2009-06-12 2014-03-26 株式会社東芝 Nonvolatile semiconductor memory device
USD652896S1 (en) 2009-06-17 2012-01-24 Neoperl Gmbh Faucet stream former
US8926502B2 (en) 2011-03-07 2015-01-06 Endochoice, Inc. Multi camera endoscope having a side service channel
US8715574B2 (en) 2009-06-19 2014-05-06 Abbott Laboratories System for managing inventory of bulk liquids
US7825040B1 (en) 2009-06-22 2010-11-02 Asm Japan K.K. Method for depositing flowable material using alkoxysilane or aminosilane precursor
JP5285519B2 (en) 2009-07-01 2013-09-11 パナソニック株式会社 Semiconductor device and manufacturing method thereof
JP5038365B2 (en) 2009-07-01 2012-10-03 株式会社東芝 Susceptor and deposition system
KR101050405B1 (en) 2009-07-03 2011-07-19 주식회사 하이닉스반도체 Method of manufacturing semiconductor device having strained channel
US20110006406A1 (en) 2009-07-08 2011-01-13 Imec Fabrication of porogen residues free and mechanically robust low-k materials
KR101110080B1 (en) 2009-07-08 2012-03-13 주식회사 유진테크 Method for processing substrate
US8382939B2 (en) 2009-07-13 2013-02-26 Applied Materials, Inc. Plasma processing chamber with enhanced gas delivery
KR20120042971A (en) 2009-07-14 2012-05-03 레르 리키드 쏘시에떼 아노님 뿌르 레?드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 Deposition of group iv metal-containing films at high temperature
JP2011023718A (en) 2009-07-15 2011-02-03 Asm Japan Kk METHOD FOR FORMING STRESS-TUNED DIELECTRIC FILM HAVING Si-N BOND BY PEALD
US8507389B2 (en) 2009-07-17 2013-08-13 Applied Materials, Inc. Methods for forming dielectric layers
EP2455220B1 (en) 2009-07-17 2015-11-25 Mitsui Chemicals, Inc. Laminate and process for production thereof
JP5223804B2 (en) 2009-07-22 2013-06-26 東京エレクトロン株式会社 Film forming method and film forming apparatus
KR101245769B1 (en) 2009-07-28 2013-03-20 엘아이지에이디피 주식회사 Chemical vapor deposition device, guide member for the chemical vapor deposition device and method for manufacturing thin film using the chemical vapor deposition device
US8980719B2 (en) 2010-04-28 2015-03-17 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for doping fin field-effect transistors
US8071451B2 (en) 2009-07-29 2011-12-06 Axcelis Technologies, Inc. Method of doping semiconductors
JP5618505B2 (en) 2009-07-30 2014-11-05 テクノクオーツ株式会社 Recycling method of quartz glass member
US8119527B1 (en) 2009-08-04 2012-02-21 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
US8124531B2 (en) 2009-08-04 2012-02-28 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
TW201128734A (en) 2009-08-05 2011-08-16 Applied Materials Inc CVD apparatus
US8741788B2 (en) 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
CN102574884B (en) 2009-08-07 2016-02-10 西格玛-奥吉奇有限责任公司 High molecular weight alkyl-allyl three carbonylic cobalt compound and the purposes for the preparation of dielectric film thereof
US8258588B2 (en) 2009-08-07 2012-09-04 Taiwan Semiconductor Manufacturing Company, Ltd. Sealing layer of a field effect transistor
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8883270B2 (en) 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8563085B2 (en) 2009-08-18 2013-10-22 Samsung Electronics Co., Ltd. Precursor composition, methods of forming a layer, methods of forming a gate structure and methods of forming a capacitor
US7989365B2 (en) 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
WO2011021539A1 (en) 2009-08-20 2011-02-24 東京エレクトロン株式会社 Plasma treatment device and plasma treatment method
US20110185969A1 (en) 2009-08-21 2011-08-04 Varian Semiconductor Equipment Associates, Inc. Dual heating for precise wafer temperature control
KR101031226B1 (en) 2009-08-21 2011-04-29 에이피시스템 주식회사 Heater block of rapid thermal processing apparatus
WO2011021607A1 (en) 2009-08-21 2011-02-24 東京エレクトロン株式会社 Plasma processing apparatus and substrate processing method
US9117773B2 (en) 2009-08-26 2015-08-25 Asm America, Inc. High concentration water pulses for atomic layer deposition
USD634719S1 (en) 2009-08-27 2011-03-22 Ebara Corporation Elastic membrane for semiconductor wafer polishing apparatus
USD633452S1 (en) 2009-08-27 2011-03-01 Ebara Corporation Elastic membrane for semiconductor wafer polishing apparatus
US9117769B2 (en) 2009-08-27 2015-08-25 Tokyo Electron Limited Plasma etching method
WO2011031521A2 (en) 2009-08-27 2011-03-17 Applied Materials, Inc. Method of decontamination of process chamber after in-situ chamber clean
WO2011026064A1 (en) 2009-08-31 2011-03-03 The Penn State Research Foundation Improved plasma enhanced atomic layer deposition process
JP2011054708A (en) 2009-09-01 2011-03-17 Elpida Memory Inc Insulating film, method of manufacturing the same, semiconductor device, and data processing system
US8691668B2 (en) 2009-09-02 2014-04-08 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Dihalide germanium(II) precursors for germanium-containing film depositions
JP5457109B2 (en) 2009-09-02 2014-04-02 東京エレクトロン株式会社 Plasma processing equipment
JP2011054878A (en) 2009-09-04 2011-03-17 Panasonic Corp Semiconductor device and method of manufacturing the same
US9012333B2 (en) 2009-09-09 2015-04-21 Spansion Llc Varied silicon richness silicon nitride formation
MY179709A (en) 2009-09-10 2020-11-11 Lam Res Corp Replaceable upper chamber parts of plasma processing apparatus
US20110061810A1 (en) 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching
JP2011082493A (en) 2009-09-14 2011-04-21 Hitachi Kokusai Electric Inc Method of manufacturing semiconductor device and substrate processing apparatus
US8967082B2 (en) 2009-09-17 2015-03-03 Tokyo Electron Limited Plasma processing apparatus and gas supply device for plasma processing apparatus
SG169960A1 (en) 2009-09-18 2011-04-29 Lam Res Corp Clamped monolithic showerhead electrode
US8278224B1 (en) 2009-09-24 2012-10-02 Novellus Systems, Inc. Flowable oxide deposition using rapid delivery of process gases
US8216640B2 (en) 2009-09-25 2012-07-10 Hermes-Epitek Corporation Method of making showerhead for semiconductor processing apparatus
JP5504793B2 (en) 2009-09-26 2014-05-28 東京エレクトロン株式会社 Heat treatment apparatus and cooling method
TW201131005A (en) 2009-09-29 2011-09-16 Tokyo Electron Ltd Process for production of ni film
JP5467007B2 (en) 2009-09-30 2014-04-09 株式会社日立国際電気 Semiconductor device manufacturing method and substrate processing apparatus
EP2306497B1 (en) 2009-10-02 2012-06-06 Imec Method for manufacturing a low defect interface between a dielectric and a III/V compound
TW201131651A (en) 2009-10-05 2011-09-16 Univ Tohoku Low dielectric constant insulating film
US8544317B2 (en) 2009-10-09 2013-10-01 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor processing apparatus with simultaneously movable stages
US8173554B2 (en) 2009-10-14 2012-05-08 Asm Japan K.K. Method of depositing dielectric film having Si-N bonds by modified peald method
US8415259B2 (en) 2009-10-14 2013-04-09 Asm Japan K.K. Method of depositing dielectric film by modified PEALD method
JP5410235B2 (en) 2009-10-15 2014-02-05 小島プレス工業株式会社 Method and apparatus for forming organic polymer thin film
US8465791B2 (en) 2009-10-16 2013-06-18 Msp Corporation Method for counting particles in a gas
WO2011049816A2 (en) 2009-10-20 2011-04-28 Asm International N.V. Processes for passivating dielectric films
US8637794B2 (en) 2009-10-21 2014-01-28 Lam Research Corporation Heating plate with planar heating zones for semiconductor processing
CN102723364B (en) 2009-10-21 2015-02-25 株式会社半导体能源研究所 Semiconductor device
WO2011056519A2 (en) 2009-10-26 2011-05-12 Asm International N.V. Synthesis and use of precursors for ald of group va element containing thin films
US20110097901A1 (en) 2009-10-26 2011-04-28 Applied Materials, Inc. Dual mode inductively coupled plasma reactor with adjustable phase coil assembly
EP2494187A4 (en) 2009-10-29 2013-07-10 Oceana Energy Co Energy conversion systems and methods
JP5434484B2 (en) 2009-11-02 2014-03-05 東京エレクトロン株式会社 Film forming apparatus, film forming method, and storage medium
JP5257328B2 (en) 2009-11-04 2013-08-07 東京エレクトロン株式会社 Substrate processing apparatus, substrate processing method, and storage medium
JP5451324B2 (en) 2009-11-10 2014-03-26 株式会社日立ハイテクノロジーズ Plasma processing equipment
US8528224B2 (en) 2009-11-12 2013-09-10 Novellus Systems, Inc. Systems and methods for at least partially converting films to silicon oxide and/or improving film quality using ultraviolet curing in steam and densification of films using UV curing in ammonia
US8854734B2 (en) 2009-11-12 2014-10-07 Vela Technologies, Inc. Integrating optical system and methods
EP2499090B1 (en) 2009-11-13 2016-10-26 Basf Se Method for purifying a chlorine supply
JP4948587B2 (en) 2009-11-13 2012-06-06 東京エレクトロン株式会社 Photoresist coating and developing device, substrate transport method, interface device
US8367528B2 (en) 2009-11-17 2013-02-05 Asm America, Inc. Cyclical epitaxial deposition and etch
US8329585B2 (en) 2009-11-17 2012-12-11 Lam Research Corporation Method for reducing line width roughness with plasma pre-etch treatment on photoresist
US8742665B2 (en) 2009-11-18 2014-06-03 Applied Materials, Inc. Plasma source design
US8771538B2 (en) 2009-11-18 2014-07-08 Applied Materials, Inc. Plasma source design
EP2336824A1 (en) 2009-11-19 2011-06-22 Rohm and Haas Electronic Materials, L.L.C. Methods of forming electronic devices
KR20110055912A (en) 2009-11-20 2011-05-26 주식회사 하이닉스반도체 Method for forming fine pattern in semiconductor device
AU329418S (en) 2009-11-23 2010-01-29 Pusher tool
KR101128267B1 (en) 2009-11-26 2012-03-26 주식회사 테스 Gas distribution apparatus and process chamber having the same
US8323558B2 (en) 2009-11-30 2012-12-04 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Dynamic control of lance utilizing counterflow fluidic techniques
JP5432686B2 (en) 2009-12-03 2014-03-05 東京エレクトロン株式会社 Plasma processing equipment
US8389977B2 (en) 2009-12-10 2013-03-05 Transphorm Inc. Reverse side engineered III-nitride devices
KR101377238B1 (en) 2009-12-11 2014-03-20 가부시키가이샤 사무코 Tray for cvd and film-forming method using same
US20110139748A1 (en) 2009-12-15 2011-06-16 University Of Houston Atomic layer etching with pulsed plasmas
US8328494B2 (en) 2009-12-15 2012-12-11 Varian Semiconductor Equipment Associates, Inc. In vacuum optical wafer heater for cryogenic processing
US8507720B2 (en) 2010-01-29 2013-08-13 Lyondell Chemical Technology, L.P. Titania-alumina supported palladium catalyst
US20110140173A1 (en) 2009-12-16 2011-06-16 National Semiconductor Corporation Low OHMIC contacts containing germanium for gallium nitride or other nitride-based power devices
JP5419276B2 (en) 2009-12-24 2014-02-19 株式会社堀場製作所 Material gas concentration control system and program for material gas concentration control system
JP5606063B2 (en) 2009-12-28 2014-10-15 東京エレクトロン株式会社 Plasma processing equipment
US20110159202A1 (en) 2009-12-29 2011-06-30 Asm Japan K.K. Method for Sealing Pores at Surface of Dielectric Layer by UV Light-Assisted CVD
KR20110078326A (en) 2009-12-31 2011-07-07 삼성전자주식회사 Method of forming a dielectric layer and manufacturing a semiconductor device using the same
USD653734S1 (en) 2010-01-08 2012-02-07 Bulk Tank, Inc. Screened gasket
JP2011166106A (en) 2010-01-13 2011-08-25 Renesas Electronics Corp Semiconductor device manufacturing method, and semiconductor device
JP2011144412A (en) 2010-01-13 2011-07-28 Honda Motor Co Ltd Plasma film-forming apparatus
JP5549441B2 (en) 2010-01-14 2014-07-16 東京エレクトロン株式会社 Holder mechanism, load lock device, processing device, and transport mechanism
US20110174362A1 (en) 2010-01-18 2011-07-21 Applied Materials, Inc. Manufacture of thin film solar cells with high conversion efficiency
USD651291S1 (en) 2010-01-24 2011-12-27 Glv International (1995) Ltd. Duct connector ring
US20110183269A1 (en) 2010-01-25 2011-07-28 Hongbin Zhu Methods Of Forming Patterns, And Methods For Trimming Photoresist Features
US8480942B2 (en) 2010-01-27 2013-07-09 The Board Of Trustees Of The University Of Illinois Method of forming a patterned layer of a material on a substrate
US20110180233A1 (en) 2010-01-27 2011-07-28 Applied Materials, Inc. Apparatus for controlling temperature uniformity of a showerhead
JP5610438B2 (en) 2010-01-29 2014-10-22 株式会社日立国際電気 Substrate processing apparatus and semiconductor device manufacturing method
JP5107372B2 (en) 2010-02-04 2012-12-26 東京エレクトロン株式会社 Heat treatment apparatus, coating and developing treatment system, heat treatment method, coating and developing treatment method, and recording medium on which program for executing the heat treatment method or coating and developing treatment method is recorded
US20120315113A1 (en) 2010-02-05 2012-12-13 Tokyo Electron Limited Substrate holder, substrate transfer apparatus, and substrate processing apparatus
JP2011162830A (en) 2010-02-09 2011-08-25 Fuji Electric Co Ltd Film-forming method with plasma cvd technique, substrate having film formed thereon, and film-forming apparatus
KR101080604B1 (en) 2010-02-09 2011-11-04 성균관대학교산학협력단 atomic layer etching apparatus and etching method using the same
US20110198034A1 (en) 2010-02-11 2011-08-18 Jennifer Sun Gas distribution showerhead with coating material for semiconductor processing
CN102763199B (en) 2010-02-12 2016-01-20 应用材料公司 The air-flow improvement for the treatment of chamber
US8562272B2 (en) 2010-02-16 2013-10-22 Lam Research Corporation Substrate load and unload mechanisms for high throughput
US8293658B2 (en) 2010-02-17 2012-10-23 Asm America, Inc. Reactive site deactivation against vapor deposition
WO2011106072A2 (en) 2010-02-23 2011-09-01 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Use of ruthenium tetroxide as a precursor and reactant for thin film depositions
US8845178B2 (en) 2010-02-23 2014-09-30 Asahi Organic Chemicals Industry Co., Ltd. In-line-type fluid mixer
US20110207332A1 (en) 2010-02-25 2011-08-25 Taiwan Semiconductor Manufacturing Co., Ltd. Thin film coated process kits for semiconductor manufacturing tools
USD625977S1 (en) 2010-02-25 2010-10-26 Vertex Stone and Chinaware Ltd. Spacer tool
EP2362411A1 (en) 2010-02-26 2011-08-31 Nederlandse Organisatie voor toegepast -natuurwetenschappelijk onderzoek TNO Apparatus and method for reactive ion etching
JP5812606B2 (en) 2010-02-26 2015-11-17 株式会社日立国際電気 Substrate processing apparatus and semiconductor device manufacturing method
JP2011181681A (en) 2010-03-01 2011-09-15 Mitsui Eng & Shipbuild Co Ltd Atomic layer deposition method and atomic layer deposition device
US8241991B2 (en) 2010-03-05 2012-08-14 Asm Japan K.K. Method for forming interconnect structure having airgap
EP2545197B1 (en) 2010-03-12 2020-12-16 Applied Materials, Inc. Atomic layer deposition chamber with multi inject
JP5592129B2 (en) 2010-03-16 2014-09-17 東京エレクトロン株式会社 Plasma processing equipment
FR2957716B1 (en) 2010-03-18 2012-10-05 Soitec Silicon On Insulator METHOD FOR FINISHING A SEMICONDUCTOR TYPE SUBSTRATE ON INSULATION
US20110236201A1 (en) 2010-03-23 2011-09-29 Sumedhkumar Vyankatesh Shende Method and apparatus for radial exhaust gas turbine
US8039388B1 (en) 2010-03-24 2011-10-18 Taiwam Semiconductor Manufacturing Company, Ltd. Main spacer trim-back method for replacement gate process
US8741394B2 (en) 2010-03-25 2014-06-03 Novellus Systems, Inc. In-situ deposition of film stacks
US8709551B2 (en) 2010-03-25 2014-04-29 Novellus Systems, Inc. Smooth silicon-containing films
US20110232677A1 (en) 2010-03-29 2011-09-29 Tokyo Electron Limited Method for cleaning low-k dielectrics
WO2011125524A1 (en) 2010-03-31 2011-10-13 東京エレクトロン株式会社 Dielectric window for plasma processing device, plasma processing device, and method for attaching dielectric window for plasma processing device
EP2553141A4 (en) 2010-04-01 2013-08-21 Air Liquide Metal nitride containing film deposition using combination of amino-metal and halogenated metal precursors
JP4733214B1 (en) 2010-04-02 2011-07-27 東京エレクトロン株式会社 Mask pattern forming method and semiconductor device manufacturing method
KR101211043B1 (en) 2010-04-05 2012-12-12 에스케이하이닉스 주식회사 Method for manufacturing semiconductor device with buried gate
WO2011125395A1 (en) 2010-04-09 2011-10-13 株式会社日立国際電気 Process for production of semiconductor device, method for treatment of substrate, and device for treatment of substrate
EP2378543B1 (en) 2010-04-14 2015-05-20 ASM Genitech Korea Ltd. Method of forming semiconductor patterns
US20110256692A1 (en) 2010-04-14 2011-10-20 Applied Materials, Inc. Multiple precursor concentric delivery showerhead
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US8993460B2 (en) 2013-01-10 2015-03-31 Novellus Systems, Inc. Apparatuses and methods for depositing SiC/SiCN films via cross-metathesis reactions with organometallic co-reactants
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US20110256734A1 (en) 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
CN102906305B (en) 2010-04-15 2016-01-13 诺发系统公司 The method and apparatus of the injection of gas and liquid
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
CZ303655B6 (en) 2010-04-16 2013-01-30 Skutchanová@Zuzana Process for preparing grinding surface of glass cosmetic tool
US8852685B2 (en) 2010-04-23 2014-10-07 Lam Research Corporation Coating method for gas delivery system
TWI536451B (en) 2010-04-26 2016-06-01 應用材料股份有限公司 Nmos metal gate materials, manufacturing methods, and equipment using cvd and ald processes with metal based precursors
KR101121858B1 (en) 2010-04-27 2012-03-21 주식회사 하이닉스반도체 Method of manufacturing a semiconductor device
KR20110120661A (en) 2010-04-29 2011-11-04 주식회사 하이닉스반도체 Non-volatile memory device and method for fabricating the same
CH702999A1 (en) 2010-04-29 2011-10-31 Amt Ag A device for coating substrates by high-speed flame spraying.
US20110269314A1 (en) 2010-04-30 2011-11-03 Applied Materials, Inc. Process chambers having shared resources and methods of use thereof
WO2011137371A2 (en) 2010-04-30 2011-11-03 Applied Materials, Inc. Vertical inline cvd system
US20110265951A1 (en) 2010-04-30 2011-11-03 Applied Materials, Inc. Twin chamber processing system
US8707754B2 (en) 2010-04-30 2014-04-29 Applied Materials, Inc. Methods and apparatus for calibrating flow controllers in substrate processing systems
JP5660804B2 (en) 2010-04-30 2015-01-28 東京エレクトロン株式会社 Carbon nanotube formation method and carbon nanotube film forming apparatus
US8721798B2 (en) 2010-04-30 2014-05-13 Applied Materials, Inc. Methods for processing substrates in process systems having shared resources
US8241992B2 (en) 2010-05-10 2012-08-14 International Business Machines Corporation Method for air gap interconnect integration using photo-patternable low k material
US9441295B2 (en) 2010-05-14 2016-09-13 Solarcity Corporation Multi-channel gas-delivery system
JP2012004536A (en) 2010-05-20 2012-01-05 Hitachi Kokusai Electric Inc Substrate treatment apparatus and substrate treatment method
US20110294075A1 (en) 2010-05-25 2011-12-01 United Microelectronics Corp. Patterning method
CA2804930C (en) 2010-05-28 2016-09-06 Exxonmobil Upstream Research Company Integrated adsorber head and valve design and swing adsorption methods related thereto
US8513129B2 (en) 2010-05-28 2013-08-20 Applied Materials, Inc. Planarizing etch hardmask to increase pattern density and aspect ratio
CN102939648B (en) 2010-06-01 2015-05-27 松下电器产业株式会社 Plasma processing device and plasma processing method
US8912353B2 (en) 2010-06-02 2014-12-16 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for depositing films comprising same
US8637390B2 (en) 2010-06-04 2014-01-28 Applied Materials, Inc. Metal gate structures and methods for forming thereof
US20110297088A1 (en) 2010-06-04 2011-12-08 Texas Instruments Incorporated Thin edge carrier ring
JP5794497B2 (en) 2010-06-08 2015-10-14 国立研究開発法人産業技術総合研究所 Linkage system
CN102933290B (en) 2010-06-09 2015-05-27 宝洁公司 Semi-continuous feed production of liquid personal care compositions
TWI509695B (en) 2010-06-10 2015-11-21 Asm Int Method for selectively depositing film on substrate
JP5525339B2 (en) 2010-06-10 2014-06-18 ナブテスコ株式会社 Robot arm
JP2012004401A (en) 2010-06-18 2012-01-05 Fujitsu Semiconductor Ltd Method of manufacturing semiconductor device
US8778745B2 (en) 2010-06-29 2014-07-15 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing semiconductor device
JP5597456B2 (en) 2010-06-29 2014-10-01 東京エレクトロン株式会社 Dielectric thickness setting method and substrate processing apparatus provided with dielectric provided on electrode
US9570328B2 (en) 2010-06-30 2017-02-14 Applied Materials, Inc. Substrate support for use with multi-zonal heating sources
JP5119297B2 (en) 2010-06-30 2013-01-16 東京エレクトロン株式会社 Substrate processing equipment
KR20130044312A (en) 2010-07-02 2013-05-02 매티슨 트라이-개스, 인크. Thin films and methods of making them using cyclohexasilane
KR20120003677A (en) 2010-07-05 2012-01-11 삼성전자주식회사 Semiconductor device and method of forming the same
US9373677B2 (en) 2010-07-07 2016-06-21 Entegris, Inc. Doping of ZrO2 for DRAM applications
WO2012011423A1 (en) 2010-07-22 2012-01-26 株式会社日立国際電気 Device for treating substrate and method for producing semiconductor device
US20120021252A1 (en) 2010-07-22 2012-01-26 Synos Technology, Inc. Treating Surface of Substrate Using Inert Gas Plasma in Atomic Layer Deposition
US8721791B2 (en) 2010-07-28 2014-05-13 Applied Materials, Inc. Showerhead support structure for improved gas flow
JP5707766B2 (en) 2010-07-28 2015-04-30 住友電気工業株式会社 Susceptor and semiconductor manufacturing equipment
JP5490753B2 (en) 2010-07-29 2014-05-14 東京エレクトロン株式会社 Trench filling method and film forming system
US20120024478A1 (en) 2010-07-29 2012-02-02 Hermes-Epitek Corporation Showerhead
US9443753B2 (en) 2010-07-30 2016-09-13 Applied Materials, Inc. Apparatus for controlling the flow of a gas in a process chamber
US8318584B2 (en) 2010-07-30 2012-11-27 Applied Materials, Inc. Oxide-rich liner layer for flowable CVD gapfill
US8669185B2 (en) 2010-07-30 2014-03-11 Asm Japan K.K. Method of tailoring conformality of Si-containing film
JP2012038819A (en) 2010-08-04 2012-02-23 Sanyo Electric Co Ltd Semiconductor laser device and optical apparatus
WO2012017717A1 (en) 2010-08-06 2012-02-09 三菱重工業株式会社 Vacuum processing apparatus and plasma processing method
US9449793B2 (en) 2010-08-06 2016-09-20 Lam Research Corporation Systems, methods and apparatus for choked flow element extraction
KR101331288B1 (en) 2010-08-06 2013-11-20 도쿄엘렉트론가부시키가이샤 Substrate processing system, transfer module, substrate processing method, and method for manufacturing semiconductor element
US9449858B2 (en) 2010-08-09 2016-09-20 Applied Materials, Inc. Transparent reflector plate for rapid thermal processing chamber
US8357608B2 (en) 2010-08-09 2013-01-22 International Business Machines Corporation Multi component dielectric layer
EP2605817A4 (en) 2010-08-10 2014-09-17 Univ California Automated fluid delivery system and method
US9783885B2 (en) 2010-08-11 2017-10-10 Unit Cell Diamond Llc Methods for producing diamond mass and apparatus therefor
KR101249999B1 (en) 2010-08-12 2013-04-03 주식회사 디엠에스 Apparatus for chemical vapor deposition
US8535445B2 (en) 2010-08-13 2013-09-17 Veeco Instruments Inc. Enhanced wafer carrier
KR101658492B1 (en) 2010-08-13 2016-09-21 삼성전자주식회사 Method for forming fine patterns and method for manufacturing a semiconductor device by using the same
USD649986S1 (en) 2010-08-17 2011-12-06 Ebara Corporation Sealing ring
US9487600B2 (en) 2010-08-17 2016-11-08 Uchicago Argonne, Llc Ordered nanoscale domains by infiltration of block copolymers
US8685845B2 (en) 2010-08-20 2014-04-01 International Business Machines Corporation Epitaxial growth of silicon doped with carbon and phosphorus using hydrogen carrier gas
FI124113B (en) 2010-08-30 2014-03-31 Beneq Oy Apparatus and method for working the surface of a substrate
US8945305B2 (en) 2010-08-31 2015-02-03 Micron Technology, Inc. Methods of selectively forming a material using parylene coating
CN102386067B (en) 2010-08-31 2013-12-18 中国科学院上海微系统与信息技术研究所 Epitaxial growth method for effectively restraining self-doping effect
EP2426233B1 (en) 2010-09-03 2013-05-01 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Use of dialkyl monoalkoxy aluminum for the growth of Al2O3 thin films for photovoltaic applications
US8573152B2 (en) 2010-09-03 2013-11-05 Lam Research Corporation Showerhead electrode
US8394466B2 (en) 2010-09-03 2013-03-12 Asm Japan K.K. Method of forming conformal film having si-N bonds on high-aspect ratio pattern
CN102383106B (en) 2010-09-03 2013-12-25 甘志银 Metal organic chemical vapour deposition reaction chamber for fast removing residual reaction gas
US20120058630A1 (en) 2010-09-08 2012-03-08 Veeco Instruments Inc. Linear Cluster Deposition System
JP2012080095A (en) 2010-09-10 2012-04-19 Elpida Memory Inc Semiconductor device and manufacturing method therefor
JP5560147B2 (en) 2010-09-13 2014-07-23 東京エレクトロン株式会社 Film-forming method and semiconductor device manufacturing method
JP5845754B2 (en) 2010-09-15 2016-01-20 東京エレクトロン株式会社 Plasma etching processing method
KR20120029291A (en) 2010-09-16 2012-03-26 삼성전자주식회사 Semiconductor devices and methods of fabricating the same
KR101408431B1 (en) 2010-09-21 2014-06-17 가부시키가이샤 아루박 Thin film production process and thin film production device
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
US8524612B2 (en) 2010-09-23 2013-09-03 Novellus Systems, Inc. Plasma-activated deposition of conformal films
US8722548B2 (en) 2010-09-24 2014-05-13 International Business Machines Corporation Structures and techniques for atomic layer deposition
TWI513848B (en) 2010-09-24 2015-12-21 Ferrotec Usa Corp Hybrid gas injector
US20120073400A1 (en) 2010-09-29 2012-03-29 John Wang Handlebar grip assembly
US7994070B1 (en) 2010-09-30 2011-08-09 Tokyo Electron Limited Low-temperature dielectric film formation by chemical vapor deposition
US20120083134A1 (en) 2010-09-30 2012-04-05 Hui-Jung Wu Method of mitigating substrate damage during deposition processes
US8076250B1 (en) 2010-10-06 2011-12-13 Applied Materials, Inc. PECVD oxide-nitride and oxide-silicon stacks for 3D memory application
TW201224190A (en) 2010-10-06 2012-06-16 Applied Materials Inc Atomic layer deposition of photoresist materials and hard mask precursors
FR2965888B1 (en) 2010-10-08 2012-12-28 Alcatel Lucent GAS DRAIN PIPING AND ASSOCIATED DRAINAGE METHOD
JP5638405B2 (en) 2010-10-08 2014-12-10 パナソニック株式会社 Substrate plasma processing method
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
JP5734081B2 (en) 2010-10-18 2015-06-10 株式会社日立国際電気 Substrate processing apparatus, temperature control method for substrate processing apparatus, and heating method for substrate processing apparatus
US8771791B2 (en) 2010-10-18 2014-07-08 Veeco Ald Inc. Deposition of layer using depositing apparatus with reciprocating susceptor
JP5636867B2 (en) 2010-10-19 2014-12-10 富士通株式会社 Semiconductor device and manufacturing method of semiconductor device
JP5905476B2 (en) 2010-10-19 2016-04-20 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Quartz shower head for NANOCUREUV chamber
US11675269B2 (en) 2010-10-21 2023-06-13 Nissan Chemical Industries, Ltd. Composition for forming resist overlayer film for EUV lithography
US8192901B2 (en) 2010-10-21 2012-06-05 Asahi Glass Company, Limited Glass substrate-holding tool
USD655260S1 (en) 2010-10-21 2012-03-06 Tokyo Electron Limited Gas-separating plate for reactor for manufacturing semiconductor
USD654884S1 (en) 2010-10-21 2012-02-28 Tokyo Electron Limited Top plate for reactor for manufacturing semiconductor
USD654882S1 (en) 2010-10-21 2012-02-28 Tokyo Electron Limited Gas-separating plate for reactor for manufacturing semiconductor
USD655261S1 (en) 2010-10-21 2012-03-06 Tokyo Electron Limited Gas-separating plate for reactor for manufacturing semiconductor
US8845806B2 (en) 2010-10-22 2014-09-30 Asm Japan K.K. Shower plate having different aperture dimensions and/or distributions
US8926788B2 (en) 2010-10-27 2015-01-06 Lam Research Ag Closed chamber for wafer wet processing
WO2012057967A2 (en) 2010-10-27 2012-05-03 Applied Materials, Inc. Methods and apparatus for controlling photoresist line width roughness
JP4755307B1 (en) 2010-10-28 2011-08-24 株式会社朝日工業社 Clean room
JP5544343B2 (en) 2010-10-29 2014-07-09 東京エレクトロン株式会社 Deposition equipment
KR20120047325A (en) 2010-11-01 2012-05-11 삼성전자주식회사 Three dimensional semiconductor device and method for manufacturing the same
US8440571B2 (en) 2010-11-03 2013-05-14 Applied Materials, Inc. Methods for deposition of silicon carbide and silicon carbonitride films
KR101716113B1 (en) 2010-11-03 2017-03-15 삼성전자 주식회사 Semiconductor device and method of manufacturing thereof
US8470187B2 (en) 2010-11-05 2013-06-25 Asm Japan K.K. Method of depositing film with tailored comformality
CN103201408A (en) 2010-11-05 2013-07-10 思诺斯技术公司 Radical reactor with multiple plasma chambers
JP5722595B2 (en) 2010-11-11 2015-05-20 株式会社日立国際電気 Substrate processing apparatus and semiconductor device manufacturing method
US20120121823A1 (en) 2010-11-12 2012-05-17 Applied Materials, Inc. Process for lowering adhesion layer thickness and improving damage resistance for thin ultra low-k dielectric film
JP2012109446A (en) 2010-11-18 2012-06-07 Tokyo Electron Ltd Insulation member, and substrate processing device with insulation member
KR20140015280A (en) 2010-11-22 2014-02-06 이 아이 듀폰 디 네모아 앤드 캄파니 Semiconductor inks, films, coated substrates and methods of preparation
KR20120055363A (en) 2010-11-23 2012-05-31 삼성전자주식회사 Capacitor and semiconductor device including the same
CN103189543A (en) 2010-11-24 2013-07-03 思诺斯技术公司 Extended reactor assembly with multiple sections for performing atomic layer deposition on large substrate
US8937022B2 (en) 2010-11-29 2015-01-20 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device, substrate processing method and substrate processing apparatus
US8288758B2 (en) 2010-12-02 2012-10-16 International Business Machines Corporation SOI SiGe-base lateral bipolar junction transistor
US20110147350A1 (en) 2010-12-03 2011-06-23 Uvtech Systems Inc. Modular apparatus for wafer edge processing
US20120149213A1 (en) 2010-12-09 2012-06-14 Lakshminarayana Nittala Bottom up fill in high aspect ratio trenches
WO2012077590A1 (en) 2010-12-09 2012-06-14 株式会社アルバック Apparatus for forming organic thin film
TWI507561B (en) 2010-12-10 2015-11-11 Ind Tech Res Inst Showerhead integrating intake and exhaust
TWI511315B (en) 2010-12-10 2015-12-01 Teijin Ltd Semiconductor laminates, semiconductor devices, and the like
JP2014505356A (en) 2010-12-20 2014-02-27 アプライド マテリアルズ インコーポレイテッド In situ low dielectric constant capping to improve integration damage resistance
US9719169B2 (en) 2010-12-20 2017-08-01 Novellus Systems, Inc. System and apparatus for flowable deposition in semiconductor fabrication
EP4290563A3 (en) 2010-12-20 2024-03-20 EV Group E. Thallner GmbH Holder device for holding wafers
JP5735304B2 (en) 2010-12-21 2015-06-17 株式会社日立国際電気 Substrate processing apparatus, substrate manufacturing method, semiconductor device manufacturing method, and gas supply pipe
CN102094183B (en) 2010-12-22 2012-07-25 中国工程物理研究院激光聚变研究中心 Cold-wall batch reactor
US8314034B2 (en) 2010-12-23 2012-11-20 Intel Corporation Feature size reduction
JP2012138500A (en) 2010-12-27 2012-07-19 Tokyo Electron Ltd Method for forming silicon oxide film on tungsten film or tungsten oxide film and film forming device
JP5675331B2 (en) 2010-12-27 2015-02-25 東京エレクトロン株式会社 How to fill trench
US8883556B2 (en) 2010-12-28 2014-11-11 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method thereof
JP5573666B2 (en) 2010-12-28 2014-08-20 東京エレクトロン株式会社 Raw material supply apparatus and film forming apparatus
US9790594B2 (en) 2010-12-28 2017-10-17 Asm Ip Holding B.V. Combination CVD/ALD method, source and pulse profile modification
US8901016B2 (en) 2010-12-28 2014-12-02 Asm Japan K.K. Method of forming metal oxide hardmask
USD655599S1 (en) 2010-12-29 2012-03-13 Bill Durham Wall or door mountable holder
FR2970110B1 (en) 2010-12-29 2013-09-06 St Microelectronics Crolles 2 PROCESS FOR PRODUCING A POLYCRYSTALLINE DIELECTRIC LAYER
KR101563541B1 (en) 2010-12-30 2015-10-27 어플라이드 머티어리얼스, 인코포레이티드 Thin film deposition using microwave plasma
US8698107B2 (en) 2011-01-10 2014-04-15 Varian Semiconductor Equipment Associates, Inc. Technique and apparatus for monitoring ion mass, energy, and angle in processing systems
KR101306315B1 (en) 2011-01-11 2013-09-09 주식회사 디엠에스 Apparatus for chemical vapor deposition
JP5236755B2 (en) 2011-01-14 2013-07-17 東京エレクトロン株式会社 Film forming apparatus and film forming method
US20120180954A1 (en) 2011-01-18 2012-07-19 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
JP5609663B2 (en) 2011-01-18 2014-10-22 旭硝子株式会社 Glass substrate holding means and EUV mask blank manufacturing method using the same
JP5782279B2 (en) 2011-01-20 2015-09-24 株式会社Screenホールディングス Substrate processing method and substrate processing apparatus
US8969823B2 (en) 2011-01-21 2015-03-03 Uchicago Argonne, Llc Microchannel plate detector and methods for their fabrication
US8398773B2 (en) 2011-01-21 2013-03-19 Asm International N.V. Thermal processing furnace and liner for the same
US8450191B2 (en) 2011-01-24 2013-05-28 Applied Materials, Inc. Polysilicon films by HDP-CVD
US8900935B2 (en) 2011-01-25 2014-12-02 International Business Machines Corporation Deposition on a nanowire using atomic layer deposition
CN103329259B (en) 2011-01-26 2015-05-27 应用材料公司 Plasma treatment of silicon nitride and silicon oxynitride
US20120196242A1 (en) 2011-01-27 2012-08-02 Applied Materials, Inc. Substrate support with heater and rapid temperature change
US8465811B2 (en) 2011-01-28 2013-06-18 Asm Japan K.K. Method of depositing film by atomic layer deposition with pulse-time-modulated plasma
JP2012164736A (en) 2011-02-04 2012-08-30 Hitachi Kokusai Electric Inc Substrate processing apparatus and semiconductor device manufacturing method
US20120263876A1 (en) 2011-02-14 2012-10-18 Asm Ip Holding B.V. Deposition of silicon dioxide on hydrophobic surfaces
JP5982129B2 (en) 2011-02-15 2016-08-31 東京エレクトロン株式会社 Electrode and plasma processing apparatus
US8877300B2 (en) 2011-02-16 2014-11-04 Veeco Ald Inc. Atomic layer deposition using radicals of gas mixture
US9163310B2 (en) 2011-02-18 2015-10-20 Veeco Ald Inc. Enhanced deposition of layer on substrate using radicals
US8563443B2 (en) 2011-02-18 2013-10-22 Asm Japan K.K. Method of depositing dielectric film by ALD using precursor containing silicon, hydrocarbon, and halogen
US20120213947A1 (en) 2011-02-18 2012-08-23 Synos Technology, Inc. Depositing thin layer of material on permeable substrate
US8329599B2 (en) 2011-02-18 2012-12-11 Asm Japan K.K. Method of depositing dielectric film by ALD using precursor containing silicon, hydrocarbon, and halogen
CN202259160U (en) 2011-02-21 2012-05-30 盛陶盟(香港)有限公司 Ceramic glass composite electrode and fluorescent lamp thereof
US10011920B2 (en) 2011-02-23 2018-07-03 International Business Machines Corporation Low-temperature selective epitaxial growth of silicon for device integration
US8574340B2 (en) 2011-02-27 2013-11-05 Board Of Trustees Of The University Of Alabama Methods for preparing and using metal and/or metal oxide porous materials
US20120219824A1 (en) 2011-02-28 2012-08-30 Uchicago Argonne Llc Atomic layer deposition of super-conducting niobium silicide
JP2012195562A (en) 2011-02-28 2012-10-11 Hitachi Kokusai Electric Inc Attachment for substrate of different diameter, substrate processing apparatus, and method of manufacturing substrate or semiconductor device
WO2012118757A1 (en) 2011-03-01 2012-09-07 Exxonmobil Upstream Research Company Apparatus and systems having a reciprocating valve head assembly and swing adsorption processes related thereto
US20120225191A1 (en) 2011-03-01 2012-09-06 Applied Materials, Inc. Apparatus and Process for Atomic Layer Deposition
JP6034311B2 (en) 2011-03-01 2016-11-30 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Vacuum chamber with shared pump
US8735299B2 (en) 2011-03-03 2014-05-27 Tokyo Electron Limited Semiconductor device manufacturing method and computer-readable storage medium
US8466411B2 (en) 2011-03-03 2013-06-18 Asm Japan K.K. Calibration method of UV sensor for UV curing
SG192967A1 (en) 2011-03-04 2013-09-30 Novellus Systems Inc Hybrid ceramic showerhead
US8501605B2 (en) 2011-03-14 2013-08-06 Applied Materials, Inc. Methods and apparatus for conformal doping
ITMI20110401A1 (en) 2011-03-14 2012-09-15 Petroceramics S P A METHOD FOR INFILTRATION OF A POROUS MATERIAL WITH A SECOND MATERIAL AND ITS PLANT
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
JP2012195513A (en) 2011-03-17 2012-10-11 Tokyo Electron Ltd Plasma processing apparatus
JP5820731B2 (en) 2011-03-22 2015-11-24 株式会社日立国際電気 Substrate processing apparatus and solid material replenishment method
US8980418B2 (en) 2011-03-24 2015-03-17 Uchicago Argonne, Llc Sequential infiltration synthesis for advanced lithography
US9684234B2 (en) 2011-03-24 2017-06-20 Uchicago Argonne, Llc Sequential infiltration synthesis for enhancing multiple-patterning lithography
WO2012134605A1 (en) 2011-03-25 2012-10-04 Applied Materials, Inc. Method and apparatus for thermocouple installation or replacement in a substrate support
KR101883360B1 (en) 2011-03-28 2018-07-30 어플라이드 머티어리얼스, 인코포레이티드 Method and apparatus for the selective deposition of epitaxial germanium stressor alloys
JP5203482B2 (en) 2011-03-28 2013-06-05 株式会社小松製作所 Heating device
KR101303422B1 (en) 2011-03-28 2013-09-05 주식회사 엘지실트론 Method for Manufacturing Single Crystal Ingot and Single Crystal Ingot, Wafer manufactured by the same
WO2012130933A1 (en) 2011-03-31 2012-10-04 Imec Method for growing a monocrystalline tin- containing semiconductor material
KR101200720B1 (en) 2011-03-31 2012-11-13 최대규 Plasma reactor having hot baffle and wafer handle method thereof
US8569158B2 (en) 2011-03-31 2013-10-29 Tokyo Electron Limited Method for forming ultra-shallow doping regions by solid phase diffusion
US8697198B2 (en) 2011-03-31 2014-04-15 Veeco Ald Inc. Magnetic field assisted deposition
EP2694700B1 (en) 2011-04-07 2016-11-16 Picosun Oy Atomic layer deposition with plasma source
US8900402B2 (en) 2011-05-10 2014-12-02 Lam Research Corporation Semiconductor processing system having multiple decoupled plasma sources
US8647993B2 (en) 2011-04-11 2014-02-11 Novellus Systems, Inc. Methods for UV-assisted conformal film deposition
BR112013026393A2 (en) 2011-04-12 2016-12-27 Boulder Wind Power Inc air gap control systems and methods
US8371567B2 (en) 2011-04-13 2013-02-12 Novellus Systems, Inc. Pedestal covers
US20120263887A1 (en) 2011-04-13 2012-10-18 Varian Semiconductor Equipment Associates, Inc. Technique and apparatus for ion-assisted atomic layer deposition
US8298951B1 (en) 2011-04-13 2012-10-30 Asm Japan K.K. Footing reduction using etch-selective layer
CN103493193A (en) 2011-04-15 2014-01-01 龙云株式会社 Wafer exchange device and hand for wafer support
DE102011007632B3 (en) 2011-04-18 2012-02-16 Siltronic Ag Device useful for depositing material layer derived from process gas on substrate disc, comprises reactor chamber, which is bound by upper cover, lower cover and side wall, susceptor, preheat ring, chuck, and spacer
DE102011007682A1 (en) 2011-04-19 2012-10-25 Siltronic Ag Susceptor for supporting a semiconductor wafer and method for depositing a layer on a front side of a semiconductor wafer
TW201243030A (en) 2011-04-20 2012-11-01 Applied Materials Inc Selective silicon nitride etch
US20120269967A1 (en) 2011-04-22 2012-10-25 Applied Materials, Inc. Hot Wire Atomic Layer Deposition Apparatus And Methods Of Use
US8871617B2 (en) 2011-04-22 2014-10-28 Asm Ip Holding B.V. Deposition and reduction of mixed metal oxide thin films
US20120270384A1 (en) 2011-04-22 2012-10-25 Applied Materials, Inc. Apparatus for deposition of materials on a substrate
JP5955062B2 (en) 2011-04-25 2016-07-20 東京エレクトロン株式会社 Plasma processing equipment
US8492170B2 (en) 2011-04-25 2013-07-23 Applied Materials, Inc. UV assisted silylation for recovery and pore sealing of damaged low K films
US8592005B2 (en) 2011-04-26 2013-11-26 Asm Japan K.K. Atomic layer deposition for controlling vertical film growth
USD655055S1 (en) 2011-04-28 2012-02-28 Carolyn Grace Toll Pet outfit
DE102011081749B4 (en) 2011-04-29 2016-04-14 Von Ardenne Gmbh Substrate treatment plant
US9165804B2 (en) 2011-04-29 2015-10-20 Applied Materials, Inc. Methods of cooling process chamber components
US8927066B2 (en) 2011-04-29 2015-01-06 Applied Materials, Inc. Method and apparatus for gas delivery
JP5720406B2 (en) 2011-05-10 2015-05-20 東京エレクトロン株式会社 GAS SUPPLY DEVICE, HEAT TREATMENT DEVICE, GAS SUPPLY METHOD, AND HEAT TREATMENT METHOD
US8746284B2 (en) 2011-05-11 2014-06-10 Intermolecular, Inc. Apparatus and method for multiple symmetrical divisional gas distribution
US8809170B2 (en) 2011-05-19 2014-08-19 Asm America Inc. High throughput cyclical epitaxial deposition and etch process
US9218962B2 (en) 2011-05-19 2015-12-22 Globalfoundries Inc. Low temperature epitaxy of a semiconductor alloy including silicon and germanium employing a high order silane precursor
JP2012244180A (en) 2011-05-24 2012-12-10 Macronix Internatl Co Ltd Multi-layer structure and manufacturing method for the same
US8771807B2 (en) 2011-05-24 2014-07-08 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for making and using same
JP5630379B2 (en) 2011-05-26 2014-11-26 東京エレクトロン株式会社 Temperature measuring apparatus, temperature measuring method, storage medium, and heat treatment apparatus
JP5730670B2 (en) 2011-05-27 2015-06-10 株式会社Adeka Method for producing thin film containing molybdenum oxide, and raw material for forming thin film containing molybdenum oxide
JP2013012719A (en) 2011-05-31 2013-01-17 Hitachi Kokusai Electric Inc Substrate processing apparatus and substrate processing method
US20120304935A1 (en) 2011-05-31 2012-12-06 Oosterlaken Theodorus G M Bubbler assembly and method for vapor flow control
KR101085980B1 (en) 2011-05-31 2011-11-22 주식회사 쎄믹스 Method and apparatus for fabricating an absorber of a solar cell using selenization process in the element selenuim atmosphere
US9136180B2 (en) 2011-06-01 2015-09-15 Asm Ip Holding B.V. Process for depositing electrode with high effective work function
US8692319B2 (en) 2011-06-03 2014-04-08 Infineon Technologies Austria Ag Lateral trench MESFET
EP3929326A3 (en) 2011-06-03 2022-03-16 Versum Materials US, LLC Compositions and processes for depositing carbon-doped silicon-containing films
KR101995602B1 (en) 2011-06-03 2019-07-02 노벨러스 시스템즈, 인코포레이티드 Metal and silicon containing capping layers for interconnects
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
KR20120137986A (en) 2011-06-14 2012-12-24 삼성디스플레이 주식회사 Electrostatic chuck
US8927318B2 (en) 2011-06-14 2015-01-06 International Business Machines Corporation Spalling methods to form multi-junction photovoltaic structure
US20120318773A1 (en) 2011-06-15 2012-12-20 Applied Materials, Inc. Methods and apparatus for controlling photoresist line width roughness with enhanced electron spin control
JP5734757B2 (en) 2011-06-16 2015-06-17 株式会社東芝 Semiconductor device and manufacturing method thereof
US9175392B2 (en) 2011-06-17 2015-11-03 Intermolecular, Inc. System for multi-region processing
US20120318457A1 (en) 2011-06-17 2012-12-20 Son Nguyen Materials and coatings for a showerhead in a processing system
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US8450212B2 (en) 2011-06-28 2013-05-28 International Business Machines Corporation Method of reducing critical dimension process bias differences between narrow and wide damascene wires
WO2013003325A2 (en) 2011-06-28 2013-01-03 Federal-Mogul Ignition Company Electrode material for a spark plug
WO2013005726A1 (en) 2011-07-01 2013-01-10 太陽化学工業株式会社 Primer composition, structure comprising primer layer that is formed of primer composition, and method for producing structure
US20140007808A1 (en) 2011-07-05 2014-01-09 Epicrew Corporation Susceptor Device And Deposition Apparatus Having The Same
WO2013006242A1 (en) 2011-07-06 2013-01-10 Wayne State University Atomic layer deposition of transition metal thin films
JP5377587B2 (en) 2011-07-06 2013-12-25 東京エレクトロン株式会社 Antenna, plasma processing apparatus, and plasma processing method
US10707082B2 (en) 2011-07-06 2020-07-07 Asm International N.V. Methods for depositing thin films comprising indium nitride by atomic layer deposition
US20130011984A1 (en) 2011-07-07 2013-01-10 Taiwan Semiconductor Manufacturing Company, Ltd. Using Hexachlorodisilane as a Silicon Precursor for Source/Drain Epitaxy
US8962400B2 (en) 2011-07-07 2015-02-24 Taiwan Semiconductor Manufacturing Company, Ltd. In-situ doping of arsenic for source and drain epitaxy
US8647809B2 (en) 2011-07-07 2014-02-11 Brewer Science Inc. Metal-oxide films from small molecules for lithographic applications
JP5755958B2 (en) 2011-07-08 2015-07-29 株式会社フジキン Raw material gas supply equipment for semiconductor manufacturing equipment
US9223203B2 (en) 2011-07-08 2015-12-29 Asm International N.V. Microcontact printed films as an activation layer for selective atomic layer deposition
KR20130007806A (en) 2011-07-11 2013-01-21 주식회사 케이씨텍 Heater module of atomic layer deposition apparatus
JP6132242B2 (en) 2011-07-12 2017-05-24 パナソニックIpマネジメント株式会社 Nitride semiconductor device and manufacturing method thereof
US20130014697A1 (en) 2011-07-12 2013-01-17 Asm Japan K.K. Container Having Multiple Compartments Containing Liquid Material for Multiple Wafer-Processing Chambers
US9018567B2 (en) 2011-07-13 2015-04-28 Asm International N.V. Wafer processing apparatus with heated, rotating substrate support
JP5940342B2 (en) 2011-07-15 2016-06-29 東京エレクトロン株式会社 Substrate transport apparatus, substrate processing system, substrate transport method, and storage medium
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US9630127B2 (en) 2011-07-19 2017-04-25 Hayward Industries, Inc. Filter vessel assembly and related methods of use
US8617411B2 (en) 2011-07-20 2013-12-31 Lam Research Corporation Methods and apparatus for atomic layer etching
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8741775B2 (en) 2011-07-20 2014-06-03 Applied Materials, Inc. Method of patterning a low-K dielectric film
JP5789149B2 (en) 2011-07-21 2015-10-07 Jswアフティ株式会社 Atomic layer growth method and atomic layer growth apparatus
US8778448B2 (en) 2011-07-21 2014-07-15 International Business Machines Corporation Method of stabilizing hydrogenated amorphous silicon and amorphous hydrogenated silicon alloys
KR102245759B1 (en) 2011-07-22 2021-04-27 어플라이드 머티어리얼스, 인코포레이티드 Reactant delivery system for ald/cvd processes
US8716072B2 (en) 2011-07-25 2014-05-06 International Business Machines Corporation Hybrid CMOS technology with nanowire devices and double gated planar devices
US8551892B2 (en) 2011-07-27 2013-10-08 Asm Japan K.K. Method for reducing dielectric constant of film using direct plasma of hydrogen
US10175195B2 (en) 2011-07-27 2019-01-08 The Board Of Trustees Of The University Of Illinois Nanopore sensors for biomolecular characterization
US20130025538A1 (en) 2011-07-27 2013-01-31 Applied Materials, Inc. Methods and apparatus for deposition processes
US20130025786A1 (en) 2011-07-28 2013-01-31 Vladislav Davidkovich Systems for and methods of controlling time-multiplexed deep reactive-ion etching processes
KR101252742B1 (en) 2011-08-02 2013-04-09 주식회사 유진테크 Equipment for manufacturing semiconductor
KR101271248B1 (en) 2011-08-02 2013-06-07 주식회사 유진테크 Equipment for manufacturing semiconductor
US20130032085A1 (en) 2011-08-04 2013-02-07 Applied Materials, Inc. Plasma assisted hvpe chamber design
US10242890B2 (en) 2011-08-08 2019-03-26 Applied Materials, Inc. Substrate support with heater
US9184100B2 (en) 2011-08-10 2015-11-10 United Microelectronics Corp. Semiconductor device having strained fin structure and method of making the same
CN102931083B (en) 2011-08-10 2015-07-29 中芯国际集成电路制造(北京)有限公司 Semiconductor device and manufacture method thereof
US20130040481A1 (en) 2011-08-12 2013-02-14 Genesis Technology Usa, Inc. U-Channel Coaxial F-Connector
JP6289908B2 (en) 2011-08-19 2018-03-07 東京エレクトロン株式会社 Ge-Sb-Te film forming method, Sb-Te film forming method and program
US8614047B2 (en) 2011-08-26 2013-12-24 International Business Machines Corporation Photodecomposable bases and photoresist compositions
TWI492298B (en) 2011-08-26 2015-07-11 Applied Materials Inc Double patterning etching process
US20130048606A1 (en) 2011-08-31 2013-02-28 Zhigang Mao Methods for in-situ chamber dry clean in photomask plasma etching processing chamber
KR101326518B1 (en) 2011-09-02 2013-11-07 엘지이노텍 주식회사 Lighting device
JP5712874B2 (en) 2011-09-05 2015-05-07 東京エレクトロン株式会社 Film forming apparatus, film forming method, and storage medium
JP2013058559A (en) 2011-09-07 2013-03-28 Tokyo Electron Ltd Manufacturing method of semiconductor device and substrate processing system
US20130217240A1 (en) 2011-09-09 2013-08-22 Applied Materials, Inc. Flowable silicon-carbon-nitrogen layers for semiconductor processing
US8476743B2 (en) 2011-09-09 2013-07-02 International Business Machines Corporation C-rich carbon boron nitride dielectric films for use in electronic devices
US20130064973A1 (en) 2011-09-09 2013-03-14 Taiwan Semiconductor Manufacturing Company, Ltd. Chamber Conditioning Method
US20130217243A1 (en) 2011-09-09 2013-08-22 Applied Materials, Inc. Doping of dielectric layers
US20130217239A1 (en) 2011-09-09 2013-08-22 Applied Materials, Inc. Flowable silicon-and-carbon-containing layers for semiconductor processing
US10269615B2 (en) 2011-09-09 2019-04-23 Lam Research Ag Apparatus for treating surfaces of wafer-shaped articles
US20130217241A1 (en) 2011-09-09 2013-08-22 Applied Materials, Inc. Treatments for decreasing etch rates after flowable deposition of silicon-carbon-and-nitrogen-containing layers
JP2013062361A (en) 2011-09-13 2013-04-04 Tokyo Electron Ltd Heat treatment apparatus, temperature control system, heat treatment method, temperature control method, and record medium recording program for executing heat treatment method or temperature control method
US10023954B2 (en) 2011-09-15 2018-07-17 Applied Materials, Inc. Slit valve apparatus, systems, and methods
US9177872B2 (en) 2011-09-16 2015-11-03 Micron Technology, Inc. Memory cells, semiconductor devices, systems including such cells, and methods of fabrication
JP1438319S (en) 2011-09-20 2015-04-06
JP1438745S (en) 2011-09-20 2015-04-06
US20130068970A1 (en) 2011-09-21 2013-03-21 Asm Japan K.K. UV Irradiation Apparatus Having UV Lamp-Shared Multiple Process Stations
CN107342216B (en) 2011-09-23 2022-05-31 诺发系统公司 Plasma activated conformal dielectric film deposition
US9312335B2 (en) 2011-09-23 2016-04-12 Alpha And Omega Semiconductor Incorporated Lateral PNP bipolar transistor with narrow trench emitter
JP5549655B2 (en) 2011-09-26 2014-07-16 株式会社安川電機 Hand and robot
KR20140085461A (en) 2011-09-27 2014-07-07 레르 리키드 쏘시에떼 아노님 뿌르 레?드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 Nickel bis diazabutadiene precursors, their synthesis, and their use for nickel containing film depositions
US8993072B2 (en) 2011-09-27 2015-03-31 Air Products And Chemicals, Inc. Halogenated organoaminosilane precursors and methods for depositing films comprising same
KR101913891B1 (en) 2011-09-27 2018-10-31 도쿄엘렉트론가부시키가이샤 Plasma etching method and method for manufacturing semiconductor device
JP5784440B2 (en) 2011-09-28 2015-09-24 トランスフォーム・ジャパン株式会社 Semiconductor device manufacturing method and semiconductor device
US9644796B2 (en) 2011-09-29 2017-05-09 Applied Materials, Inc. Methods for in-situ calibration of a flow controller
US20130082274A1 (en) 2011-09-29 2013-04-04 Bridgelux, Inc. Light emitting devices having dislocation density maintaining buffer layers
US8569184B2 (en) 2011-09-30 2013-10-29 Asm Japan K.K. Method for forming single-phase multi-element film by PEALD
USD709537S1 (en) 2011-09-30 2014-07-22 Tokyo Electron Limited Focusing ring
USD709536S1 (en) 2011-09-30 2014-07-22 Tokyo Electron Limited Focusing ring
JP6042656B2 (en) 2011-09-30 2016-12-14 株式会社日立国際電気 Semiconductor device manufacturing method, substrate processing method, substrate processing apparatus, and program
JP6138137B2 (en) 2011-10-03 2017-05-31 エーエスエムエル ネザーランズ ビー.ブイ. Method for providing patterned alignment templates for self-organizable polymers
US8849466B2 (en) 2011-10-04 2014-09-30 Mks Instruments, Inc. Method of and apparatus for multiple channel flow ratio controller system
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in
TWI646869B (en) 2011-10-05 2019-01-01 美商應用材料股份有限公司 Symmetric plasma processing chamber
US9653267B2 (en) 2011-10-06 2017-05-16 Applied Materials, Inc. Temperature controlled chamber liner
TWI458843B (en) 2011-10-06 2014-11-01 Ind Tech Res Inst Evaporation apparatus and method of forminf organic film
TW201331408A (en) 2011-10-07 2013-08-01 Tokyo Electron Ltd Plasma processing device
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013055798A1 (en) 2011-10-10 2013-04-18 Brewer Science Inc. Spin-on carbon compositions for lithographic processing
US9281231B2 (en) 2011-10-12 2016-03-08 Ferrotec (Usa) Corporation Non-contact magnetic drive assembly with mechanical stop elements
JP6202798B2 (en) 2011-10-12 2017-09-27 エーエスエム インターナショナル エヌ.ヴェー.Asm International N.V. Atomic layer deposition of antimony oxide films.
US8637930B2 (en) 2011-10-13 2014-01-28 International Business Machines Company FinFET parasitic capacitance reduction using air gap
TWI541928B (en) 2011-10-14 2016-07-11 晶元光電股份有限公司 Wafer carrier
US8759234B2 (en) 2011-10-17 2014-06-24 Taiwan Semiconductor Manufacturing Company, Ltd. Deposited material and method of formation
US20130092085A1 (en) 2011-10-17 2013-04-18 Synos Technology, Inc. Linear atomic layer deposition apparatus
US9109754B2 (en) 2011-10-19 2015-08-18 Applied Materials, Inc. Apparatus and method for providing uniform flow of gas
USD695240S1 (en) 2011-10-20 2013-12-10 Tokyo Electron Limited Arm for wafer transportation for manufacturing semiconductor
US20130099318A1 (en) 2011-10-25 2013-04-25 International Business Machines Corporation Thin semiconductor-on-insulator mosfet with co-integrated silicon, silicon germanium and silicon doped with carbon channels
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9574268B1 (en) 2011-10-28 2017-02-21 Asm America, Inc. Pulsed valve manifold for atomic layer deposition
US11085112B2 (en) 2011-10-28 2021-08-10 Asm Ip Holding B.V. Susceptor with ring to limit backside deposition
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
WO2013063260A1 (en) 2011-10-28 2013-05-02 Applied Materials, Inc. High temperature tungsten metallization process
US20130107415A1 (en) 2011-10-28 2013-05-02 Applied Materials, Inc. Electrostatic chuck
US8927428B2 (en) 2011-11-04 2015-01-06 E I Du Pont De Nemours And Company Process of forming an aluminum p-doped surface region of an n-doped semiconductor substrate
TWI606136B (en) 2011-11-04 2017-11-21 Asm國際股份有限公司 Method for depositing doped silicon oxide and atomic layer deposition process for depositing doped silicon oxide on substrate
US20130113085A1 (en) 2011-11-04 2013-05-09 Applied Materials, Inc. Atomic Layer Deposition Of Films Using Precursors Containing Hafnium Or Zirconium
US8927059B2 (en) 2011-11-08 2015-01-06 Applied Materials, Inc. Deposition of metal films using alane-based precursors
CN102352492A (en) 2011-11-10 2012-02-15 中微半导体设备(上海)有限公司 Gas injection device with cooling system
WO2013069739A1 (en) 2011-11-11 2013-05-16 東京エレクトロン株式会社 Dielectric window for plasma treatment device, and plasma treatment device
US20130122712A1 (en) 2011-11-14 2013-05-16 Jong Mun Kim Method of etching high aspect ratio features in a dielectric layer
US20130119018A1 (en) 2011-11-15 2013-05-16 Keren Jacobs Kanarik Hybrid pulsing plasma processing systems
WO2013075061A1 (en) 2011-11-17 2013-05-23 United Protective Technologies Carbon based coatings and methods of producing the same
KR101615584B1 (en) 2011-11-21 2016-04-26 가부시키가이샤 히다치 고쿠사이 덴키 Apparatus for manufacturing semiconductor device, method for manufacturing semiconductor device, and recording medium
WO2013078211A1 (en) 2011-11-21 2013-05-30 Brewer Science Inc. Assist layers for euv lithography
US8609519B2 (en) 2011-11-22 2013-12-17 Intermolecular, Inc. Combinatorial approach for screening of ALD film stacks
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US10276410B2 (en) 2011-11-25 2019-04-30 Nhk Spring Co., Ltd. Substrate support device
JP5921168B2 (en) 2011-11-29 2016-05-24 株式会社日立国際電気 Substrate processing equipment
JP5694129B2 (en) 2011-11-29 2015-04-01 株式会社東芝 Semiconductor device and manufacturing method thereof
US8633115B2 (en) 2011-11-30 2014-01-21 Applied Materials, Inc. Methods for atomic layer etching
CN104081545A (en) 2011-12-01 2014-10-01 夸克星有限责任公司 Solid-state lighting device and method of manufacturing same
US20130143415A1 (en) 2011-12-01 2013-06-06 Applied Materials, Inc. Multi-Component Film Deposition
JP6034156B2 (en) 2011-12-05 2016-11-30 東京エレクトロン株式会社 Plasma processing apparatus and plasma processing method
US8663977B2 (en) 2011-12-07 2014-03-04 Intermolecular, Inc. Vertically retractable flow cell system
AU2012347103B2 (en) 2011-12-07 2016-09-01 Panasonic Intellectual Property Management Co., Ltd. Niobium nitride and method for producing same, niobium nitride-containing film and method for producing same, semiconductor, semiconductor device, photocatalyst, hydrogen generation device, and energy system
AU340167S (en) 2011-12-09 2012-01-06 Hunter Pacific Int Pty Ltd Electrical connector
AU340165S (en) 2011-12-09 2012-01-06 Hunter Pacific Int Pty Ltd Electrical connector
JP6049395B2 (en) 2011-12-09 2016-12-21 株式会社日立国際電気 Semiconductor device manufacturing method, substrate processing method, substrate processing apparatus, and program
US9112003B2 (en) 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
US20130147050A1 (en) 2011-12-12 2013-06-13 Advanced Cooling Technologies, Inc. Semiconductor having integrally-formed enhanced thermal management
WO2013089007A1 (en) 2011-12-12 2013-06-20 東京エレクトロン株式会社 Antenna for plasma generation, plasma processing device and plasma processing method
KR101347962B1 (en) 2011-12-13 2014-01-08 주식회사 케이씨텍 Atomic layer deposition method of improving film properties
KR20130067600A (en) 2011-12-14 2013-06-25 주식회사 케이씨텍 Atomic layer deposition apparatus providing direct palsma
US8979559B2 (en) 2011-12-14 2015-03-17 Cooper Technologies Company Lockout tagout plug sleeve
KR101720117B1 (en) 2011-12-14 2017-03-27 인텔 코포레이션 Metal-insulator-metal (mim) capacitor with insulator stack having a plurality of metal oxide layers
US20130157409A1 (en) 2011-12-16 2013-06-20 Kaushik Vaidya Selective atomic layer deposition of passivation layers for silicon-based photovoltaic devices
WO2013087797A1 (en) 2011-12-16 2013-06-20 Element Six Limited Large area optical quality synthetic polycrystalline diamond window
KR20140097464A (en) 2011-12-20 2014-08-06 인텔 코오퍼레이션 Iii-v layers for n-type and p-type mos source-drain contacts
USD691974S1 (en) 2011-12-22 2013-10-22 Tokyo Electron Limited Holding pad for transferring a wafer
KR101650416B1 (en) 2011-12-23 2016-08-23 인텔 코포레이션 Non-planar gate all-around device and method of fabrication thereof
US9388492B2 (en) 2011-12-27 2016-07-12 Asm America, Inc. Vapor flow control apparatus for atomic layer deposition
KR101427726B1 (en) 2011-12-27 2014-08-07 가부시키가이샤 히다치 고쿠사이 덴키 Substrate processing apparatus and method of manufacturing semiconductor device
US20130161629A1 (en) 2011-12-27 2013-06-27 Applied Materials, Inc. Zero shrinkage smooth interface oxy-nitride and oxy-amorphous-silicon stacks for 3d memory vertical gate application
JP5679581B2 (en) 2011-12-27 2015-03-04 東京エレクトロン株式会社 Deposition method
US8883028B2 (en) 2011-12-28 2014-11-11 Lam Research Corporation Mixed mode pulsing etching in plasma processing systems
KR20130076979A (en) 2011-12-29 2013-07-09 삼성전자주식회사 Semiconductor device and method of manufacturing the same
CN102505114A (en) 2012-01-03 2012-06-20 西安电子科技大学 Preparation method of graphene on SiC substrate based on Ni film-aided annealing
TW201330086A (en) 2012-01-05 2013-07-16 Duan-Ren Yu Etching apparatus
CN102539019B (en) 2012-01-05 2013-09-25 北京东方计量测试研究所 Temperature measurement and calibration platform in space vacuum environment
US8659066B2 (en) 2012-01-06 2014-02-25 International Business Machines Corporation Integrated circuit with a thin body field effect transistor and capacitor
WO2013106171A1 (en) 2012-01-09 2013-07-18 Applied Materials, Inc. Method for seasoning uv chamber optical components to avoid degradation
USD676943S1 (en) 2012-01-11 2013-02-26 Bill Kluss Pipe end cap
US20130183814A1 (en) 2012-01-13 2013-07-18 Applied Materials, Inc. Method of depositing a silicon germanium tin layer on a substrate
WO2013109545A1 (en) 2012-01-17 2013-07-25 Synos Technology, Inc. Deposition of graphene or conjugated carbons using radical reactor
US10838123B2 (en) 2012-01-19 2020-11-17 Supriya Jaiswal Materials, components, and methods for use with extreme ultraviolet radiation in lithography and other applications
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
USD665055S1 (en) 2012-01-24 2012-08-07 Asm Ip Holding B.V. Shower plate
JP2013151720A (en) 2012-01-25 2013-08-08 Ulvac Japan Ltd Vacuum film forming apparatus
US20130189635A1 (en) 2012-01-25 2013-07-25 First Solar, Inc. Method and apparatus providing separate modules for processing a substrate
WO2013112702A1 (en) 2012-01-26 2013-08-01 Applied Materials, Inc. Devices including metal-silicon contacts using indium arsenide films and apparatus and methods
JP5601331B2 (en) 2012-01-26 2014-10-08 株式会社安川電機 Robot hand and robot
KR20130086806A (en) 2012-01-26 2013-08-05 삼성전자주식회사 Thin film deposition apparatus
US9466524B2 (en) 2012-01-31 2016-10-11 Applied Materials, Inc. Method of depositing metals using high frequency plasma
US9177826B2 (en) 2012-02-02 2015-11-03 Globalfoundries Inc. Methods of forming metal nitride materials
US8784676B2 (en) 2012-02-03 2014-07-22 Lam Research Corporation Waferless auto conditioning
US8721833B2 (en) 2012-02-05 2014-05-13 Tokyo Electron Limited Variable capacitance chamber component incorporating ferroelectric materials and methods of manufacturing and using thereof
US9238865B2 (en) 2012-02-06 2016-01-19 Asm Ip Holding B.V. Multiple vapor sources for vapor deposition
USD698904S1 (en) 2012-02-08 2014-02-04 Asm Ip Holding B.V. Vacuum flange ring
US8728955B2 (en) 2012-02-14 2014-05-20 Novellus Systems, Inc. Method of plasma activated deposition of a conformal film on a substrate surface
KR101928356B1 (en) 2012-02-16 2018-12-12 엘지이노텍 주식회사 Apparatus for manufacturing semiconductor
US8686386B2 (en) 2012-02-17 2014-04-01 Sandisk 3D Llc Nonvolatile memory device using a varistor as a current limiter element
FI123320B (en) 2012-02-17 2013-02-28 Beneq Oy Nozzle and nozzle head
JP5912637B2 (en) 2012-02-17 2016-04-27 東京エレクトロン株式会社 Manufacturing method of semiconductor device
KR20140129231A (en) 2012-02-21 2014-11-06 어플라이드 머티어리얼스, 인코포레이티드 Atomic layer deposition lithography
US20130224964A1 (en) 2012-02-28 2013-08-29 Asm Ip Holding B.V. Method for Forming Dielectric Film Containing Si-C bonds by Atomic Layer Deposition Using Precursor Containing Si-C-Si bond
CN103295867B (en) 2012-02-29 2016-12-28 细美事有限公司 Plasma boundary limiter unit and for processing the equipment of substrate
US9291063B2 (en) 2012-02-29 2016-03-22 Siemens Energy, Inc. Mid-section of a can-annular gas turbine engine with an improved rotation of air flow from the compressor to the turbine
US9162209B2 (en) 2012-03-01 2015-10-20 Novellus Systems, Inc. Sequential cascading of reaction volumes as a chemical reuse strategy
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8846536B2 (en) 2012-03-05 2014-09-30 Novellus Systems, Inc. Flowable oxide film with tunable wet etch rate
JP6159536B2 (en) 2012-03-05 2017-07-05 株式会社日立国際電気 Substrate processing apparatus, maintenance method and transfer method for substrate processing apparatus, and program
US8785285B2 (en) 2012-03-08 2014-07-22 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
US11626279B2 (en) 2012-03-09 2023-04-11 Versum Materials Us, Llc Compositions and methods for making silicon containing films
EP2823082B1 (en) 2012-03-09 2024-05-15 Versum Materials US, LLC Barrier materials for display devices
JPWO2013137115A1 (en) 2012-03-15 2015-08-03 東京エレクトロン株式会社 Film forming method and film forming apparatus
US8912101B2 (en) 2012-03-15 2014-12-16 Asm Ip Holding B.V. Method for forming Si-containing film using two precursors by ALD
US8902428B2 (en) 2012-03-15 2014-12-02 Applied Materials, Inc. Process and apparatus for measuring the crystal fraction of crystalline silicon casted mono wafers
JP5547763B2 (en) 2012-03-16 2014-07-16 三井造船株式会社 Plasma generating method, thin film forming method using the method, and plasma generating apparatus
USD715410S1 (en) 2012-03-21 2014-10-14 Blucher Metal A/S Roof drain
US9057388B2 (en) 2012-03-21 2015-06-16 International Business Machines Corporation Vacuum trap
SG11201405417YA (en) 2012-03-23 2014-10-30 Picosun Oy Atomic layer deposition method and apparatuses
US9682398B2 (en) 2012-03-30 2017-06-20 Applied Materials, Inc. Substrate processing system having susceptorless substrate support with enhanced substrate heating control
US9082684B2 (en) 2012-04-02 2015-07-14 Applied Materials, Inc. Method of epitaxial doped germanium tin alloy formation
US9982340B2 (en) 2012-04-04 2018-05-29 Taiwan Semiconductor Manufacturing Co. Ltd. Shower head apparatus and method for controlling plasma or gas distribution
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
GB201206096D0 (en) 2012-04-05 2012-05-16 Dyson Technology Ltd Atomic layer deposition
US9460912B2 (en) 2012-04-12 2016-10-04 Air Products And Chemicals, Inc. High temperature atomic layer deposition of silicon oxide thin films
US9698386B2 (en) 2012-04-13 2017-07-04 Oti Lumionics Inc. Functionalization of a substrate
US8853070B2 (en) 2012-04-13 2014-10-07 Oti Lumionics Inc. Functionalization of a substrate
US9484233B2 (en) 2012-04-13 2016-11-01 Novellus Systems, Inc. Carousel reactor for multi-station, sequential processing systems
US9249013B2 (en) 2012-04-16 2016-02-02 Brewer Science Inc. Silicon hardmask layer for directed self-assembly
US20130269612A1 (en) 2012-04-16 2013-10-17 Hermes-Epitek Corporation Gas Treatment Apparatus with Surrounding Spray Curtains
US8535767B1 (en) 2012-04-18 2013-09-17 Asm Ip Holding B.V. Method for repairing damage of dielectric film by hydrocarbon restoration and hydrocarbon depletion using UV irradiation
US10679883B2 (en) 2012-04-19 2020-06-09 Intevac, Inc. Wafer plate and mask arrangement for substrate fabrication
SG10201608512QA (en) 2012-04-19 2016-12-29 Intevac Inc Dual-mask arrangement for solar cell fabrication
US20130280891A1 (en) 2012-04-20 2013-10-24 Yihwan Kim Method and apparatus for germanium tin alloy formation by thermal cvd
US8937800B2 (en) 2012-04-24 2015-01-20 Applied Materials, Inc. Electrostatic chuck with advanced RF and temperature uniformity
TWI554636B (en) 2012-04-25 2016-10-21 應用材料股份有限公司 Methods of fabricating dielectric films from metal amidinate precursors
US8741723B2 (en) 2012-04-25 2014-06-03 Globalfoundries Inc. Methods of forming self-aligned contacts for a semiconductor device
US10062600B2 (en) 2012-04-26 2018-08-28 Intevac, Inc. System and method for bi-facial processing of substrates
JP6231078B2 (en) 2012-04-26 2017-11-15 インテヴァック インコーポレイテッド System configuration for vacuum process
US8647439B2 (en) 2012-04-26 2014-02-11 Applied Materials, Inc. Method of epitaxial germanium tin alloy surface preparation
US20130288485A1 (en) 2012-04-30 2013-10-31 Applied Materials, Inc. Densification for flowable films
TWI622664B (en) 2012-05-02 2018-05-01 Asm智慧財產控股公司 Phase-stabilized thin films, structures and devices including the thin films, and methods of forming same
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
JP2013235912A (en) 2012-05-08 2013-11-21 Tokyo Electron Ltd Method for etching substrate to be processed and plasma etching device
TWI522490B (en) 2012-05-10 2016-02-21 應用材料股份有限公司 Method of depositing a film on a substrate using microwave plasma chemical vapor deposition
US20130302520A1 (en) 2012-05-11 2013-11-14 Kai-An Wang Co-evaporation system comprising vapor pre-mixer
US8853826B2 (en) 2012-05-14 2014-10-07 Taiwan Semiconductor Manufacturing Company, Ltd. Methods and apparatus for bipolar junction transistors and resistors
SG195501A1 (en) 2012-05-18 2013-12-30 Novellus Systems Inc Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US20130312663A1 (en) 2012-05-22 2013-11-28 Applied Microstructures, Inc. Vapor Delivery Apparatus
US8846543B2 (en) 2012-05-24 2014-09-30 Jinhong Tong Methods of atomic layer deposition of hafnium oxide / erbium oxide bi-layer as advanced gate dielectrics
US9984906B2 (en) 2012-05-25 2018-05-29 Tokyo Electron Limited Plasma processing device and plasma processing method
TW201410688A (en) 2012-05-25 2014-03-16 Air Liquide Zirconium-containing precursors for vapor deposition
US8785215B2 (en) 2012-05-31 2014-07-22 Asm Ip Holding B.V. Method for repairing damage of dielectric film by cyclic processes
US20130320429A1 (en) 2012-05-31 2013-12-05 Asm Ip Holding B.V. Processes and structures for dopant profile control in epitaxial trench fill
US9978585B2 (en) 2012-06-01 2018-05-22 Versum Materials Us, Llc Organoaminodisilane precursors and methods for depositing films comprising same
US8900886B2 (en) 2012-06-01 2014-12-02 Taiwan Semiconductor Manufacturing Co., Ltd. System and method of monitoring and controlling atomic layer deposition of tungsten
US9337018B2 (en) 2012-06-01 2016-05-10 Air Products And Chemicals, Inc. Methods for depositing films with organoaminodisilane precursors
JP5920242B2 (en) 2012-06-02 2016-05-18 東京エレクトロン株式会社 Film forming method and film forming apparatus
US20150099065A1 (en) 2012-06-07 2015-04-09 Soitec Gas injection components for deposition systems, deposition systems including such components, and related methods
TWI565825B (en) 2012-06-07 2017-01-11 索泰克公司 Gas injection components for deposition systems and related methods
US20130330911A1 (en) 2012-06-08 2013-12-12 Yi-Chiau Huang Method of semiconductor film stabilization
USD723330S1 (en) 2012-06-11 2015-03-03 Barry Dean York Debris mask and basin
US8722546B2 (en) 2012-06-11 2014-05-13 Asm Ip Holding B.V. Method for forming silicon-containing dielectric film by cyclic deposition with side wall coverage control
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US9984866B2 (en) 2012-06-12 2018-05-29 Component Re-Engineering Company, Inc. Multiple zone heater
US8728938B2 (en) 2012-06-13 2014-05-20 Ostendo Technologies, Inc. Method for substrate pretreatment to achieve high-quality III-nitride epitaxy
US20130337653A1 (en) 2012-06-15 2013-12-19 Asm Ip Holding B.V. Semiconductor processing apparatus with compact free radical source
KR20150023016A (en) 2012-06-15 2015-03-04 피코순 오와이 Coating a substrate web by atomic layer deposition
US20130337172A1 (en) 2012-06-19 2013-12-19 Synos Technology, Inc. Reactor in deposition device with multi-staged purging structure
DE102012210332A1 (en) 2012-06-19 2013-12-19 Osram Opto Semiconductors Gmbh ALD COATING LINE
US10103329B2 (en) 2012-06-22 2018-10-16 Nec Corporation Switching element and method for manufacturing switching element
US8962078B2 (en) 2012-06-22 2015-02-24 Tokyo Electron Limited Method for depositing dielectric films
JP2014007289A (en) 2012-06-25 2014-01-16 Tokyo Electron Ltd Gas supply device and film forming device
CN103515222A (en) 2012-06-25 2014-01-15 中芯国际集成电路制造(上海)有限公司 Top metal-layer groove etching method
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US10233541B2 (en) 2012-06-29 2019-03-19 Applied Materials, Inc. Deposition of films containing alkaline earth metals
US10535735B2 (en) 2012-06-29 2020-01-14 Intel Corporation Contact resistance reduced P-MOS transistors employing Ge-rich contact layer
TWD157605S (en) 2012-07-04 2013-12-01 中磊電子股份有限公司 Communication device having multi-module assembly used as femtocell
US9145612B2 (en) 2012-07-06 2015-09-29 Applied Materials, Inc. Deposition of N-metal films comprising aluminum alloys
US9023737B2 (en) 2012-07-11 2015-05-05 Asm Ip Holding B.V. Method for forming conformal, homogeneous dielectric film by cyclic deposition and heat treatment
US9630284B2 (en) 2012-07-12 2017-04-25 Lincoln Global, Inc. Configurable welding table and force indicating clamp
AU2013289866B2 (en) 2012-07-13 2015-04-02 Gallium Enterprises Pty Ltd Apparatus and method for film formation
US8784950B2 (en) 2012-07-16 2014-07-22 Asm Ip Holding B.V. Method for forming aluminum oxide film using Al compound containing alkyl group and alkoxy or alkylamine group
US9243325B2 (en) 2012-07-18 2016-01-26 Rohm And Haas Electronic Materials Llc Vapor delivery device, methods of manufacture and methods of use thereof
KR20150036122A (en) 2012-07-20 2015-04-07 레르 리키드 쏘시에떼 아노님 뿌르 레뜌드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 Organosilane precursors for ald/cvd silicon-containing film applications
US10170279B2 (en) 2012-07-20 2019-01-01 Applied Materials, Inc. Multiple coil inductively coupled plasma source with offset frequencies and double-walled shielding
US9928987B2 (en) 2012-07-20 2018-03-27 Applied Materials, Inc. Inductively coupled plasma source with symmetrical RF feed
US20140023794A1 (en) 2012-07-23 2014-01-23 Maitreyee Mahajani Method And Apparatus For Low Temperature ALD Deposition
JP5947138B2 (en) 2012-07-25 2016-07-06 東京エレクトロン株式会社 Deposition equipment
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9911676B2 (en) 2012-07-27 2018-03-06 Asm Ip Holding B.V. System and method for gas-phase passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
CN103578906B (en) 2012-07-31 2016-04-27 细美事有限公司 For the treatment of the device of substrate
US20140034632A1 (en) 2012-08-01 2014-02-06 Heng Pan Apparatus and method for selective oxidation at lower temperature using remote plasma source
US8911826B2 (en) 2012-08-02 2014-12-16 Asm Ip Holding B.V. Method of parallel shift operation of multiple reactors
TWM446412U (en) 2012-08-06 2013-02-01 Boogang Semiconductor Co Ltd Exhaust ring easy for clean
CA2879994C (en) 2012-08-07 2023-03-21 Massachusetts Institute Of Technology Anti-dengue virus antibodies and uses thereof
US9514932B2 (en) 2012-08-08 2016-12-06 Applied Materials, Inc. Flowable carbon for semiconductor processing
US8664627B1 (en) 2012-08-08 2014-03-04 Asm Ip Holding B.V. Method for supplying gas with flow rate gradient over substrate
US8912070B2 (en) 2012-08-16 2014-12-16 The Institute of Microelectronics Chinese Academy of Science Method for manufacturing semiconductor device
CN104619881A (en) 2012-08-17 2015-05-13 株式会社Ihi Method for manufacturing heat resistant composite material and manufacturing device
US9370757B2 (en) 2012-08-21 2016-06-21 Uop Llc Pyrolytic reactor
US9707530B2 (en) 2012-08-21 2017-07-18 Uop Llc Methane conversion apparatus and process using a supersonic flow reactor
WO2014116304A2 (en) 2012-08-23 2014-07-31 Applied Materials, Inc. Method and hardware for cleaning uv chambers
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
USD693200S1 (en) 2012-08-28 2013-11-12 Lee Valley Tools, Ltd. Bench stop
JP2014049529A (en) 2012-08-30 2014-03-17 Tokyo Electron Ltd Plasma processing apparatus and method of cleaning oxide film of metal
US8859368B2 (en) 2012-09-04 2014-10-14 Globalfoundries Inc. Semiconductor device incorporating a multi-function layer into gate stacks
US9171715B2 (en) 2012-09-05 2015-10-27 Asm Ip Holding B.V. Atomic layer deposition of GeO2
US8742668B2 (en) 2012-09-05 2014-06-03 Asm Ip Holdings B.V. Method for stabilizing plasma ignition
US8651788B1 (en) 2012-09-06 2014-02-18 Horst Budde Variable-length, adjustable spacer
CN104603914B (en) 2012-09-07 2017-07-14 应用材料公司 The integrated processing of porous dielectric, polymer-coated substrate and epoxides in multi-chamber vacuum system
KR20140033911A (en) 2012-09-11 2014-03-19 에이에스엠 아이피 홀딩 비.브이. Deposition apparatus and method of depositing film
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
JP5882167B2 (en) 2012-09-13 2016-03-09 東京エレクトロン株式会社 Heat treatment equipment
US20140077240A1 (en) 2012-09-17 2014-03-20 Radek Roucka Iv material photonic device on dbr
JP6022274B2 (en) 2012-09-18 2016-11-09 株式会社日立国際電気 Semiconductor device manufacturing method, substrate processing apparatus, and program
CA2895670A1 (en) 2012-09-19 2014-03-27 Apjet, Inc. Atmospheric-pressure plasma processing apparatus and method
JP6136613B2 (en) 2012-09-21 2017-05-31 東京エレクトロン株式会社 Plasma processing method
US20140099794A1 (en) 2012-09-21 2014-04-10 Applied Materials, Inc. Radical chemistry modulation and control using multiple flow pathways
US8921207B2 (en) 2012-09-24 2014-12-30 Asm Ip Holding B.V., Inc. Tin precursors for vapor deposition and deposition processes
US9076674B2 (en) 2012-09-25 2015-07-07 Intermolecular, Inc. Method and apparatus for improving particle performance
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
JP6042160B2 (en) 2012-10-03 2016-12-14 東京エレクトロン株式会社 Film forming method and film forming apparatus
US20140099798A1 (en) 2012-10-05 2014-04-10 Asm Ip Holding B.V. UV-Curing Apparatus Provided With Wavelength-Tuned Excimer Lamp and Method of Processing Semiconductor Substrate Using Same
US9353441B2 (en) 2012-10-05 2016-05-31 Asm Ip Holding B.V. Heating/cooling pedestal for semiconductor-processing apparatus
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
JP2014086472A (en) 2012-10-19 2014-05-12 Sinfonia Technology Co Ltd Clamp device and workpiece conveyer robot
US9064948B2 (en) 2012-10-22 2015-06-23 Globalfoundries Inc. Methods of forming a semiconductor device with low-k spacers and the resulting device
US9230815B2 (en) 2012-10-26 2016-01-05 Appled Materials, Inc. Methods for depositing fluorine/carbon-free conformal tungsten
US9018639B2 (en) 2012-10-26 2015-04-28 Dow Corning Corporation Flat SiC semiconductor substrate
WO2014070600A1 (en) 2012-10-29 2014-05-08 Matheson Tri-Gas, Inc. Methods for selective and conformal epitaxy of highly doped si-containing materials for three dimensional structures
CN103794458B (en) 2012-10-29 2016-12-21 中微半导体设备(上海)有限公司 For the parts within plasma process chamber and manufacture method
US8939781B2 (en) 2012-10-31 2015-01-27 International Business Machines Corporation Implementing reconfigurable power connector for multiple wiring configurations
JP5960028B2 (en) 2012-10-31 2016-08-02 東京エレクトロン株式会社 Heat treatment equipment
US20140116335A1 (en) 2012-10-31 2014-05-01 Asm Ip Holding B.V. UV Irradiation Apparatus with Cleaning Mechanism and Method for Cleaning UV Irradiation Apparatus
US9330899B2 (en) 2012-11-01 2016-05-03 Asm Ip Holding B.V. Method of depositing thin film
US8821985B2 (en) 2012-11-02 2014-09-02 Intermolecular, Inc. Method and apparatus for high-K gate performance improvement and combinatorial processing
US9105587B2 (en) 2012-11-08 2015-08-11 Micron Technology, Inc. Methods of forming semiconductor structures with sulfur dioxide etch chemistries
SG2013083654A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Methods for depositing films on sensitive substrates
US8784951B2 (en) 2012-11-16 2014-07-22 Asm Ip Holding B.V. Method for forming insulation film using non-halide precursor having four or more silicons
US20150291830A1 (en) 2012-11-16 2015-10-15 Liquipel Ip Llc Apparatus and methods for plasma enhanced chemical vapor deposition of polymer coatings
US20140141674A1 (en) 2012-11-16 2014-05-22 Liquipel IP, LLC Apparatus and methods for plasma enhanced chemical vapor deposition of dielectric/polymer coatings
USD693782S1 (en) 2012-11-19 2013-11-19 Epicrew Corporation Lid for epitaxial growing device
KR102116469B1 (en) 2012-11-20 2020-05-29 삼성디스플레이 주식회사 Display device with touch panel
US9190486B2 (en) 2012-11-20 2015-11-17 Globalfoundries Inc. Integrated circuits and methods for fabricating integrated circuits with reduced parasitic capacitance
US20140145332A1 (en) 2012-11-26 2014-05-29 Globalfoundries Inc. Methods of forming graphene liners and/or cap layers on copper-based conductive structures
WO2014083400A1 (en) 2012-11-27 2014-06-05 Soitec Deposition systems having interchangeable gas injectors and related methods
US8973524B2 (en) 2012-11-27 2015-03-10 Intermolecular, Inc. Combinatorial spin deposition
US9146551B2 (en) 2012-11-29 2015-09-29 Asm Ip Holding B.V. Scheduler for processing system
CN102983093B (en) 2012-12-03 2016-04-20 安徽三安光电有限公司 A kind of graphite carrier for LED epitaxial wafer processing procedure
KR102046976B1 (en) 2012-12-04 2019-12-02 삼성전자주식회사 Semiconductor memory device and method for fabricating the same
US9362092B2 (en) 2012-12-07 2016-06-07 LGS Innovations LLC Gas dispersion disc assembly
JP6071514B2 (en) 2012-12-12 2017-02-01 東京エレクトロン株式会社 Electrostatic chuck reforming method and plasma processing apparatus
US9123577B2 (en) 2012-12-12 2015-09-01 Sandisk Technologies Inc. Air gap isolation in non-volatile memory using sacrificial films
US9023438B2 (en) 2012-12-17 2015-05-05 Intermolecular, Inc. Methods and apparatus for combinatorial PECVD or PEALD
US10240230B2 (en) 2012-12-18 2019-03-26 Seastar Chemicals Inc. Process and method for in-situ dry cleaning of thin film deposition reactors and thin film layers
JP6017396B2 (en) 2012-12-18 2016-11-02 東京エレクトロン株式会社 Thin film forming method and thin film forming apparatus
US9064857B2 (en) 2012-12-19 2015-06-23 Taiwan Semiconductor Manufacturing Company, Ltd. N metal for FinFET
KR101950349B1 (en) 2012-12-26 2019-02-20 에스케이하이닉스 주식회사 Method for gapfilling void―free polysilicon and mehto for fabricating semiconductor device using the same
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
JP6134740B2 (en) 2012-12-27 2017-05-24 住友化学株式会社 Oxime production method
GB201223473D0 (en) 2012-12-28 2013-02-13 Faradion Ltd Metal-containing compounds
US20140182053A1 (en) 2012-12-29 2014-07-03 Alexander Yeh Industry Co., Ltd. Pullable drain plug
EP2750167A1 (en) 2012-12-31 2014-07-02 Imec Method for tuning the effective work function of a gate structure in a semiconductor device
US10361097B2 (en) 2012-12-31 2019-07-23 Globalwafers Co., Ltd. Apparatus for stressing semiconductor substrates
US9090972B2 (en) 2012-12-31 2015-07-28 Lam Research Corporation Gas supply systems for substrate processing chambers and methods therefor
US20140187045A1 (en) 2013-01-02 2014-07-03 Applied Materials, Inc. Silicon nitride gapfill implementing high density plasma
KR20140089793A (en) 2013-01-07 2014-07-16 에스케이하이닉스 주식회사 Semiconductor device and method of manufacturing the same
CN103014846A (en) 2013-01-14 2013-04-03 东莞市中镓半导体科技有限公司 Concentric-ring sprayer structure for material vapor phase epitaxy
US10358718B2 (en) 2013-01-16 2019-07-23 Universiteit Gent Methods for obtaining hydrophilic fluoropolymers
US8853039B2 (en) 2013-01-17 2014-10-07 Taiwan Semiconductor Manufacturing Company, Ltd. Defect reduction for formation of epitaxial layer in source and drain regions
KR102097109B1 (en) 2013-01-21 2020-04-10 에이에스엠 아이피 홀딩 비.브이. Deposition apparatus
US10557190B2 (en) 2013-01-24 2020-02-11 Tokyo Electron Limited Substrate processing apparatus and susceptor
KR20140095738A (en) 2013-01-25 2014-08-04 삼성전자주식회사 Transistors and methods of manufacturing the same
US9018093B2 (en) 2013-01-25 2015-04-28 Asm Ip Holding B.V. Method for forming layer constituted by repeated stacked layers
US9314854B2 (en) 2013-01-30 2016-04-19 Lam Research Corporation Ductile mode drilling methods for brittle components of plasma processing apparatuses
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
JP5335155B1 (en) 2013-02-04 2013-11-06 善郎 水野 Thermometer management system
US9399228B2 (en) 2013-02-06 2016-07-26 Novellus Systems, Inc. Method and apparatus for purging and plasma suppression in a process chamber
EP2765218A1 (en) 2013-02-07 2014-08-13 Nederlandse Organisatie voor toegepast-natuurwetenschappelijk Onderzoek TNO Method and apparatus for depositing atomic layers on a substrate
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9184045B2 (en) 2013-02-08 2015-11-10 Taiwan Semiconductor Manufacturing Co., Ltd. Bottom-up PEALD process
US9758866B2 (en) 2013-02-13 2017-09-12 Wayne State University Synthesis and characterization of first row transition metal complexes containing α-imino alkoxides as precursors for deposition of metal films
KR20140102782A (en) 2013-02-14 2014-08-25 삼성전자주식회사 Blade for transferring wafer and wafer transferring apparatus having the same
TWI624560B (en) 2013-02-18 2018-05-21 應用材料股份有限公司 Gas distribution plate for atomic layer deposition and atomic layer deposition system
US8932923B2 (en) 2013-02-19 2015-01-13 Globalfoundries Inc. Semiconductor gate structure for threshold voltage modulation and method of making same
TW201437423A (en) 2013-02-21 2014-10-01 Applied Materials Inc Apparatus and methods for injector to substrate gap control
US8623770B1 (en) 2013-02-21 2014-01-07 HGST Netherlands B.V. Method for sidewall spacer line doubling using atomic layer deposition of a titanium oxide
US20140234466A1 (en) 2013-02-21 2014-08-21 HGST Netherlands B.V. Imprint mold and method for making using sidewall spacer line doubling
FR3002241B1 (en) 2013-02-21 2015-11-20 Altatech Semiconductor CHEMICAL VAPOR DEPOSITION DEVICE
JP5934665B2 (en) 2013-02-22 2016-06-15 東京エレクトロン株式会社 Film forming method, program, computer storage medium, and film forming system
JP5717888B2 (en) 2013-02-25 2015-05-13 東京エレクトロン株式会社 Plasma processing equipment
US9304396B2 (en) 2013-02-25 2016-04-05 Lam Research Corporation PECVD films for EUV lithography
TWI615497B (en) 2013-02-28 2018-02-21 應用材料股份有限公司 Metal amide deposition precursors and their stabilization with an inert ampoule liner
US9449795B2 (en) 2013-02-28 2016-09-20 Novellus Systems, Inc. Ceramic showerhead with embedded RF electrode for capacitively coupled plasma reactor
USD743357S1 (en) 2013-03-01 2015-11-17 Asm Ip Holding B.V. Susceptor
US8790743B1 (en) 2013-03-04 2014-07-29 Asm Ip Holding B.V. Method for controlling cyclic plasma-assisted process
TWD164568S (en) 2013-03-05 2014-12-01 東京威力科創股份有限公司 Probe card case
USD751555S1 (en) 2013-03-05 2016-03-15 Japan Electronic Materials Corp. Probe card case
US9593410B2 (en) 2013-03-05 2017-03-14 Applied Materials, Inc. Methods and apparatus for stable substrate processing with multiple RF power supplies
US9633889B2 (en) 2013-03-06 2017-04-25 Applied Materials, Inc. Substrate support with integrated vacuum and edge purge conduits
USD723153S1 (en) 2013-03-08 2015-02-24 Olen Borkholder Recess ceiling fan bezel
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
JP2016520707A (en) 2013-03-08 2016-07-14 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Chamber component with protective coating suitable for protection against fluorine plasma
USD702188S1 (en) 2013-03-08 2014-04-08 Asm Ip Holding B.V. Thermocouple
US20150218700A1 (en) 2013-03-08 2015-08-06 Applied Materials, Inc. Chamber component with protective coating suitable for protection against flourine plasma
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US8933528B2 (en) 2013-03-11 2015-01-13 International Business Machines Corporation Semiconductor fin isolation by a well trapping fin portion
US9312222B2 (en) 2013-03-12 2016-04-12 Taiwan Semiconductor Manufacturing Co., Ltd. Patterning approach for improved via landing profile
US9543147B2 (en) 2013-03-12 2017-01-10 Taiwan Semiconductor Manufacturing Company, Ltd. Photoresist and method of manufacture
KR101317942B1 (en) 2013-03-13 2013-10-16 (주)테키스트 Edge ring cooling module for semi-conductor manufacture chuck
US20140264444A1 (en) 2013-03-13 2014-09-18 International Business Machines Corporation Stress-enhancing selective epitaxial deposition of embedded source and drain regions
US20140262028A1 (en) 2013-03-13 2014-09-18 Intermolecular, Inc. Non-Contact Wet-Process Cell Confining Liquid to a Region of a Solid Surface by Differential Pressure
US9309978B2 (en) 2013-03-14 2016-04-12 Dresser-Rand Company Low head to stem ratio poppet valve
US20140272341A1 (en) 2013-03-14 2014-09-18 Applied Materials, Inc. Thermal treated sandwich structure layer to improve adhesive strength
US9824881B2 (en) 2013-03-14 2017-11-21 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9252392B2 (en) 2013-03-14 2016-02-02 Applied Materials, Inc. Thin film encapsulation-thin ultra high barrier layer for OLED application
WO2014159427A1 (en) 2013-03-14 2014-10-02 Applied Materials, Inc Resist hardening and development processes for semiconductor device manufacturing
US8846550B1 (en) 2013-03-14 2014-09-30 Asm Ip Holding B.V. Silane or borane treatment of metal thin films
US20140273534A1 (en) 2013-03-14 2014-09-18 Tokyo Electron Limited Integration of absorption based heating bake methods into a photolithography track system
US8841182B1 (en) 2013-03-14 2014-09-23 Asm Ip Holding B.V. Silane and borane treatments for titanium carbide films
US20140273531A1 (en) 2013-03-14 2014-09-18 Asm Ip Holding B.V. Si PRECURSORS FOR DEPOSITION OF SiN AT LOW TEMPERATURES
US9556507B2 (en) 2013-03-14 2017-01-31 Applied Materials, Inc. Yttria-based material coated chemical vapor deposition chamber heater
US9991153B2 (en) 2013-03-14 2018-06-05 Applied Materials, Inc. Substrate support bushing
US9564348B2 (en) 2013-03-15 2017-02-07 Applied Materials, Inc. Shutter blade and robot blade with CTE compensation
US9666702B2 (en) 2013-03-15 2017-05-30 Matthew H. Kim Advanced heterojunction devices and methods of manufacturing advanced heterojunction devices
KR102146501B1 (en) 2013-03-15 2020-08-20 어플라이드 머티어리얼스, 인코포레이티드 Apparatus and method for tuning a plasma profile using a tuning electrode in a processing chamber
US9721784B2 (en) 2013-03-15 2017-08-01 Applied Materials, Inc. Ultra-conformal carbon film deposition
US8984962B2 (en) 2013-03-15 2015-03-24 H. Aaron Christmann Rotatable torque-measuring apparatus and method
US9355876B2 (en) 2013-03-15 2016-05-31 Applied Materials, Inc. Process load lock apparatus, lift assemblies, electronic device processing systems, and methods of processing substrates in load lock locations
US20140273530A1 (en) 2013-03-15 2014-09-18 Victor Nguyen Post-Deposition Treatment Methods For Silicon Nitride
CA2906193A1 (en) 2013-03-15 2014-09-18 Prime Group Alliance, Llc Opposed piston internal combustion engine with inviscid layer sealing
TWI627305B (en) 2013-03-15 2018-06-21 應用材料股份有限公司 Atmospheric lid with rigid plate for carousel processing chambers
WO2014140672A1 (en) 2013-03-15 2014-09-18 L'air Liquide, Societe Anonyme Pour I'etude Et I'exploitation Des Procedes Georges Claude Bis(alkylimido)-bis(alkylamido)molybdenum molecules for deposition of molybdenum-containing films
JP5864637B2 (en) 2013-03-19 2016-02-17 株式会社日立国際電気 Semiconductor device manufacturing method, substrate processing apparatus, program, and recording medium
JP6096547B2 (en) 2013-03-21 2017-03-15 東京エレクトロン株式会社 Plasma processing apparatus and shower plate
JP5386046B1 (en) 2013-03-27 2014-01-15 エピクルー株式会社 Susceptor support and epitaxial growth apparatus provided with this susceptor support
USD734377S1 (en) 2013-03-28 2015-07-14 Hirata Corporation Top cover of a load lock chamber
JP6115244B2 (en) 2013-03-28 2017-04-19 東京エレクトロン株式会社 Deposition equipment
JP6107327B2 (en) 2013-03-29 2017-04-05 東京エレクトロン株式会社 Film forming apparatus, gas supply apparatus, and film forming method
JP6134191B2 (en) 2013-04-07 2017-05-24 村川 惠美 Rotary semi-batch ALD equipment
KR101390474B1 (en) 2013-04-08 2014-05-07 주식회사 유진테크 Apparatus for processing substrate
US9142437B2 (en) 2013-04-10 2015-09-22 Globalfoundries Inc. System for separately handling different size FOUPs
US8864202B1 (en) 2013-04-12 2014-10-21 Varian Semiconductor Equipment Associates, Inc. Spring retained end effector contact pad
FR3004712B1 (en) 2013-04-19 2015-05-08 Herakles PROCESS FOR MANUFACTURING COMPOSITE MATERIAL WITH CARBIDE MATRIX
JP2014216647A (en) 2013-04-29 2014-11-17 エーエスエムアイピー ホールディング ビー.ブイ. Method for manufacturing resistive random access memory having metal-doped resistive switching layer
US8956939B2 (en) 2013-04-29 2015-02-17 Asm Ip Holding B.V. Method of making a resistive random access memory device
CN106169415B (en) 2013-05-03 2020-02-14 应用材料公司 Optical tunable hard mask for multiple patterning applications
JP6068255B2 (en) 2013-05-13 2017-01-25 大陽日酸株式会社 Vapor phase growth apparatus and member conveying method of vapor phase growth apparatus
USD766849S1 (en) 2013-05-15 2016-09-20 Ebara Corporation Substrate retaining ring
US9252024B2 (en) 2013-05-17 2016-02-02 Applied Materials, Inc. Deposition chambers with UV treatment and methods of use
JP2014229680A (en) 2013-05-21 2014-12-08 ピーエスフォー ルクスコ エスエイアールエルPS4 Luxco S.a.r.l. Semiconductor device and manufacturing method of the same
US9299837B2 (en) 2013-05-22 2016-03-29 Globalfoundries Inc. Integrated circuit having MOSFET with embedded stressor and method to fabricate same
US9365924B2 (en) 2013-05-23 2016-06-14 Asm Ip Holding B.V. Method for forming film by plasma-assisted deposition using two-frequency combined pulsed RF power
US9142393B2 (en) 2013-05-23 2015-09-22 Asm Ip Holding B.V. Method for cleaning reaction chamber using pre-cleaning process
US8900467B1 (en) 2013-05-25 2014-12-02 HGST Netherlands B.V. Method for making a chemical contrast pattern using block copolymers and sequential infiltration synthesis
USD726365S1 (en) 2013-05-29 2015-04-07 Sis Resources Ltd. Mouthpiece plug for electronic cigarette
EP3004745A4 (en) 2013-05-30 2017-02-08 Knowles Capital Formation, Inc. Wireless culinary probe calibration method and system
US9552979B2 (en) 2013-05-31 2017-01-24 Asm Ip Holding B.V. Cyclic aluminum nitride deposition in a batch reactor
US9605736B1 (en) 2013-05-31 2017-03-28 Rct Systems, Inc. High temperature electromagnetic actuator
TWI609991B (en) 2013-06-05 2018-01-01 維克儀器公司 Improved wafer carrier having thermal uniformity-enhancing features
US8895395B1 (en) 2013-06-06 2014-11-25 International Business Machines Corporation Reduced resistance SiGe FinFET devices and method of forming same
US9117657B2 (en) 2013-06-07 2015-08-25 Asm Ip Holding B.V. Method for filling recesses using pre-treatment with hydrocarbon-containing gas
US9245740B2 (en) 2013-06-07 2016-01-26 Dnf Co., Ltd. Amino-silyl amine compound, method for preparing the same and silicon-containing thin-film using the same
CN104233226B (en) 2013-06-09 2017-06-06 北京北方微电子基地设备工艺研究中心有限责任公司 A kind of atomic layer deposition apparatus
US9123510B2 (en) 2013-06-12 2015-09-01 ASM IP Holding, B.V. Method for controlling in-plane uniformity of substrate processed by plasma-assisted process
KR101718869B1 (en) 2013-06-14 2017-04-04 비코 에이엘디 인코포레이티드 Performing atomic layer deposition on large substrate using scanning reactors
US20140367043A1 (en) 2013-06-17 2014-12-18 Applied Materials, Inc. Method for fast and repeatable plasma ignition and tuning in plasma chambers
USD794185S1 (en) 2013-06-17 2017-08-08 Q-Med Ab Syringe part
CN104244620B (en) 2013-06-19 2017-05-31 上海微电子装备有限公司 A kind of intensive assembling cabinet of large-scale semiconductor equipment
DE112013007003T5 (en) 2013-06-20 2016-01-07 Intel Corporation Non-planar semiconductor device with doped sub-rib region and method of making the same
WO2014210328A1 (en) 2013-06-26 2014-12-31 Applied Materials, Inc. Methods of depositing a metal alloy film
CN105408983B (en) 2013-06-26 2018-06-22 北京七星华创电子股份有限公司 A kind of vertical irrotationality processing chamber housing
US20150004798A1 (en) 2013-06-28 2015-01-01 Lam Research Corporation Chemical deposition chamber having gas seal
SG11201510292VA (en) 2013-07-02 2016-01-28 Ultratech Inc Formation of heteroepitaxial layers with rapid thermal processing to remove lattice dislocations
US9677176B2 (en) 2013-07-03 2017-06-13 Novellus Systems, Inc. Multi-plenum, dual-temperature showerhead
US9490149B2 (en) 2013-07-03 2016-11-08 Lam Research Corporation Chemical deposition apparatus having conductance control
USD705745S1 (en) 2013-07-08 2014-05-27 Witricity Corporation Printed resonator coil
US20150010381A1 (en) 2013-07-08 2015-01-08 United Microelectronics Corp. Wafer processing chamber and method for transferring wafer in the same
JP5861676B2 (en) 2013-07-08 2016-02-16 株式会社安川電機 Adsorption structure, robot hand and robot
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9099423B2 (en) 2013-07-12 2015-08-04 Asm Ip Holding B.V. Doped semiconductor films and processing
US8940646B1 (en) 2013-07-12 2015-01-27 Lam Research Corporation Sequential precursor dosing in an ALD multi-station/batch reactor
US20150020848A1 (en) 2013-07-19 2015-01-22 Lam Research Corporation Systems and Methods for In-Situ Wafer Edge and Backside Plasma Cleaning
JP6116425B2 (en) 2013-07-19 2017-04-19 大陽日酸株式会社 Method for forming metal thin film
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
JP6087236B2 (en) 2013-07-24 2017-03-01 東京エレクトロン株式会社 Deposition method
US20150030766A1 (en) 2013-07-25 2015-01-29 Novellus Systems, Inc. Pedestal bottom clean for improved fluorine utilization and integrated symmetric foreline
US9994954B2 (en) 2013-07-26 2018-06-12 Versum Materials Us, Llc Volatile dihydropyrazinly and dihydropyrazine metal complexes
KR20160036661A (en) 2013-07-26 2016-04-04 프레지던트 앤드 펠로우즈 오브 하바드 칼리지 Metal amides of cyclic amines
CN104342637B (en) 2013-07-26 2017-02-15 北京北方微电子基地设备工艺研究中心有限责任公司 Atomic layer deposition equipment
GB201313850D0 (en) 2013-08-02 2013-09-18 Johnson Matthey Plc Getter composition
US9099393B2 (en) 2013-08-05 2015-08-04 International Business Machines Corporation Enabling enhanced reliability and mobility for replacement gate planar and FinFET structures
USD784276S1 (en) 2013-08-06 2017-04-18 Applied Materials, Inc. Susceptor assembly
US8986562B2 (en) 2013-08-07 2015-03-24 Ultratech, Inc. Methods of laser processing photoresist in a gaseous environment
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US8900999B1 (en) 2013-08-16 2014-12-02 Applied Materials, Inc. Low temperature high pressure high H2/WF6 ratio W process for 3D NAND application
WO2015026230A1 (en) 2013-08-19 2015-02-26 Asm Ip Holding B.V. Twin-assembly of diverging semiconductor processing systems
JP6689020B2 (en) 2013-08-21 2020-04-28 東京エレクトロン株式会社 Plasma processing device
US9209033B2 (en) 2013-08-21 2015-12-08 Tel Epion Inc. GCIB etching method for adjusting fin height of finFET devices
US9190263B2 (en) 2013-08-22 2015-11-17 Asm Ip Holding B.V. Method for forming SiOCH film using organoaminosilane annealing
US9310684B2 (en) 2013-08-22 2016-04-12 Inpria Corporation Organometallic solution based high resolution patterning compositions
GB2517697A (en) 2013-08-27 2015-03-04 Ibm Compound semiconductor structure
US9136108B2 (en) 2013-09-04 2015-09-15 Asm Ip Holding B.V. Method for restoring porous surface of dielectric layer by UV light-assisted ALD
US9484199B2 (en) 2013-09-06 2016-11-01 Applied Materials, Inc. PECVD microcrystalline silicon germanium (SiGe)
JP6338462B2 (en) 2013-09-11 2018-06-06 東京エレクトロン株式会社 Plasma processing equipment
USD716742S1 (en) 2013-09-13 2014-11-04 Asm Ip Holding B.V. Substrate supporter for semiconductor deposition apparatus
USD724553S1 (en) 2013-09-13 2015-03-17 Asm Ip Holding B.V. Substrate supporter for semiconductor deposition apparatus
US10312127B2 (en) 2013-09-16 2019-06-04 Applied Materials, Inc. Compliant robot blade for defect reduction
US9284642B2 (en) 2013-09-19 2016-03-15 Asm Ip Holding B.V. Method for forming oxide film by plasma-assisted processing
US10453675B2 (en) 2013-09-20 2019-10-22 Versum Materials Us, Llc Organoaminosilane precursors and methods for depositing films comprising same
US9378971B1 (en) 2014-12-04 2016-06-28 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US8969169B1 (en) 2013-09-20 2015-03-03 Intermolecular, Inc. DRAM MIM capacitor using non-noble electrodes
US8900951B1 (en) 2013-09-24 2014-12-02 International Business Machines Corporation Gate-all-around nanowire MOSFET and method of formation
WO2015047832A1 (en) 2013-09-26 2015-04-02 Veeco Ald Inc. Printing of colored pattern using atommic layer deposition
WO2015048303A1 (en) 2013-09-26 2015-04-02 Applied Materials, Inc Pneumatic end effector apparatus, substrate transportation systems, and methods for transporting substrates
US9018103B2 (en) 2013-09-26 2015-04-28 Lam Research Corporation High aspect ratio etch with combination mask
CN105849221B (en) 2013-09-27 2019-06-18 乔治洛德方法研究和开发液化空气有限公司 The trimethylsilyl amine and three-dimethylamino silane ylamine compounds that amine replaces
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
USD756929S1 (en) 2013-09-30 2016-05-24 Danfoss A/S Electrical connector for refrigeration valve
TWI649803B (en) 2013-09-30 2019-02-01 蘭姆研究公司 Gapfill of variable aspect ratio features with a composite peald and pecvd method
CN105493248B (en) 2013-09-30 2018-04-10 株式会社日立国际电气 Manufacture method, lining processor, lining treatment system and the recording medium of semiconductor devices
US9905415B2 (en) 2013-10-03 2018-02-27 Versum Materials Us, Llc Methods for depositing silicon nitride films
US9396986B2 (en) 2013-10-04 2016-07-19 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanism of forming a trench structure
JP6267080B2 (en) 2013-10-07 2018-01-24 東京エレクトロン株式会社 Method and apparatus for forming silicon nitride film
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
JP2016536452A (en) 2013-10-15 2016-11-24 ビーコ・エーエルディー インコーポレイテッド Fast atomic layer deposition process using seed precursors
US9034717B2 (en) 2013-10-16 2015-05-19 Taiwan Semiconductor Manufacturing Company Limited Semiconductor-on-insulator structure and method of fabricating the same
US9576790B2 (en) 2013-10-16 2017-02-21 Asm Ip Holding B.V. Deposition of boron and carbon containing materials
US10214817B2 (en) 2013-10-16 2019-02-26 The Board Of Trustees Of The University Of Illinois Multi-metal films, alternating film multilayers, formation methods and deposition system
KR101557016B1 (en) 2013-10-17 2015-10-05 주식회사 유진테크 Apparatus for processing substrate
US20150111374A1 (en) 2013-10-18 2015-04-23 International Business Machines Corporation Surface treatment in a dep-etch-dep process
JP5847783B2 (en) 2013-10-21 2016-01-27 株式会社日立国際電気 Semiconductor device manufacturing method, substrate processing apparatus, program, and recording medium
US9145607B2 (en) 2013-10-22 2015-09-29 Lam Research Corporation Tandem source activation for cyclical deposition of films
US20150118863A1 (en) 2013-10-25 2015-04-30 Lam Research Corporation Methods and apparatus for forming flowable dielectric films having low porosity
JP6596737B2 (en) 2013-10-28 2019-10-30 メルク パテント ゲゼルシャフト ミット ベシュレンクテル ハフツング Metal complexes containing amidoimine ligands
US9343308B2 (en) 2013-10-28 2016-05-17 Asm Ip Holding B.V. Method for trimming carbon-containing film at reduced trimming rate
KR20150050638A (en) 2013-10-29 2015-05-11 에이에스엠 아이피 홀딩 비.브이. Deposition apparatus
US9029272B1 (en) 2013-10-31 2015-05-12 Asm Ip Holding B.V. Method for treating SiOCH film with hydrogen plasma
TW201522696A (en) 2013-11-01 2015-06-16 Applied Materials Inc Low temperature silicon nitride films using remote plasma CVD technology
US20150126036A1 (en) 2013-11-05 2015-05-07 Tokyo Electron Limited Controlling etch rate drift and particles during plasma processing
US10443127B2 (en) 2013-11-05 2019-10-15 Taiwan Semiconductor Manufacturing Company Limited System and method for supplying a precursor for an atomic layer deposition (ALD) process
US20150125628A1 (en) 2013-11-06 2015-05-07 Asm Ip Holding B.V. Method of depositing thin film
CN104630735B (en) 2013-11-06 2017-12-19 北京北方华创微电子装备有限公司 Device for monitoring temperature and plasma processing device
KR20150052996A (en) 2013-11-07 2015-05-15 삼성디스플레이 주식회사 Substrate transferring apparatus and thin film deposition apparatus having the same
US9330937B2 (en) 2013-11-13 2016-05-03 Intermolecular, Inc. Etching of semiconductor structures that include titanium-based layers
USD739222S1 (en) 2013-11-13 2015-09-22 Jeff Chadbourne Two-piece magnetic clamp
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US11764037B2 (en) 2013-11-21 2023-09-19 Entegris, Inc. Surface coating for chamber components used in plasma systems
US9745658B2 (en) 2013-11-25 2017-08-29 Lam Research Corporation Chamber undercoat preparation method for low temperature ALD films
KR20150060086A (en) 2013-11-25 2015-06-03 주식회사 테라세미콘 Cluster-batch type system for processing substrate
KR101539298B1 (en) 2013-11-25 2015-07-29 주식회사 엘지실트론 Apparatus for Growing Epitaxial Wafer
TWI588286B (en) 2013-11-26 2017-06-21 烏翠泰克股份有限公司 Method, cycle and device of improved plasma enhanced ald
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
KR20150061179A (en) 2013-11-26 2015-06-04 에스케이하이닉스 주식회사 Deposition using plasma enhanced vapor phase method
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9355882B2 (en) 2013-12-04 2016-05-31 Taiwan Semiconductor Manufacturing Co., Ltd. Transfer module for bowed wafers
KR20150064993A (en) 2013-12-04 2015-06-12 삼성전자주식회사 A semiconductor manufacturing apparatus
US9620382B2 (en) 2013-12-06 2017-04-11 University Of Maryland, College Park Reactor for plasma-based atomic layer etching of materials
TW201525173A (en) 2013-12-09 2015-07-01 Applied Materials Inc Methods of selective layer deposition
JP2015115461A (en) 2013-12-11 2015-06-22 大日本印刷株式会社 Defect correction method of microstructure and manufacturing method
US9401273B2 (en) 2013-12-11 2016-07-26 Asm Ip Holding B.V. Atomic layer deposition of silicon carbon nitride based materials
TWI635552B (en) 2013-12-13 2018-09-11 昕芙旎雅股份有限公司 Equipment front-end module (EFEM)
JP5859586B2 (en) 2013-12-27 2016-02-10 株式会社日立国際電気 Substrate processing system, semiconductor device manufacturing method, and recording medium
KR102102787B1 (en) 2013-12-17 2020-04-22 삼성전자주식회사 Substrate treating apparatus and blocker plate assembly
WO2015095394A1 (en) 2013-12-17 2015-06-25 Texas Instruments Incorporated Elongated contacts using litho-freeze-litho-etch process
US10431489B2 (en) 2013-12-17 2019-10-01 Applied Materials, Inc. Substrate support apparatus having reduced substrate particle generation
US9478419B2 (en) 2013-12-18 2016-10-25 Asm Ip Holding B.V. Sulfur-containing thin films
US9245742B2 (en) 2013-12-18 2016-01-26 Asm Ip Holding B.V. Sulfur-containing thin films
US9362385B2 (en) 2013-12-18 2016-06-07 Taiwan Semiconductor Manufacturing Company Ltd. Method for tuning threshold voltage of semiconductor device with metal gate structure
EP3084033B1 (en) 2013-12-18 2023-05-10 IMEC vzw Method of producing transition metal dichalcogenide layer and materials
US20150176124A1 (en) 2013-12-19 2015-06-25 Intermolecular, Inc. Methods for Rapid Generation of ALD Saturation Curves Using Segmented Spatial ALD
JP6230900B2 (en) 2013-12-19 2017-11-15 東京エレクトロン株式会社 Substrate processing equipment
US20150179640A1 (en) 2013-12-19 2015-06-25 Globalfoundries Inc. Common fabrication of different semiconductor devices with different threshold voltages
US9353440B2 (en) 2013-12-20 2016-05-31 Applied Materials, Inc. Dual-direction chemical delivery system for ALD/CVD chambers
KR20150073251A (en) 2013-12-20 2015-07-01 에스케이하이닉스 주식회사 Semiconductor device and method of manufacturing the same
KR102146705B1 (en) 2013-12-23 2020-08-21 삼성전자주식회사 Wiring structure in a semiconductor device and method for forming the same
US9698035B2 (en) 2013-12-23 2017-07-04 Lam Research Corporation Microstructures for improved wafer handling
US20150175467A1 (en) 2013-12-23 2015-06-25 Infineon Technologies Austria Ag Mold, method for producing a mold, and method for forming a mold article
US9406547B2 (en) 2013-12-24 2016-08-02 Intel Corporation Techniques for trench isolation using flowable dielectric materials
US9159561B2 (en) 2013-12-26 2015-10-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method for overcoming broken line and photoresist scum issues in tri-layer photoresist patterning
US20150184287A1 (en) 2013-12-26 2015-07-02 Intermolecular, Inc. Systems and Methods for Parallel Combinatorial Vapor Deposition Processing
TWI650832B (en) 2013-12-26 2019-02-11 維克儀器公司 Wafer carrier having thermal cover for chemical vapor deposition systems
JP6247095B2 (en) 2013-12-27 2017-12-13 株式会社日立国際電気 Semiconductor device manufacturing method, substrate processing apparatus, and program
TWI654336B (en) 2013-12-30 2019-03-21 美商蘭姆研究公司 Plasma enhanced atomic layer deposition with pulsed plasma exposure
CN104752351B (en) 2013-12-30 2019-03-29 中芯国际集成电路制造(上海)有限公司 The forming method of semiconductor devices
US9219006B2 (en) 2014-01-13 2015-12-22 Applied Materials, Inc. Flowable carbon film by FCVD hardware using remote plasma PECVD
TWI681565B (en) 2014-01-15 2020-01-01 美國密西根州立大學 Non-destructive wafer recycling for epitaxial lift-off thin-film device using a superlattice epitaxial layer
US9328416B2 (en) 2014-01-17 2016-05-03 Lam Research Corporation Method for the reduction of defectivity in vapor deposited films
BR112016016264A2 (en) 2014-01-17 2017-08-08 Koninklijke Philips Nv HEATING SYSTEM, REACTOR FOR PROCESSING TABLETS, AND METHOD OF HEATING A HEATING SURFACE OF AN OBJECT TO A PROCESSING TEMPERATURE OF AT LEAST 100 °C
US9677172B2 (en) 2014-01-21 2017-06-13 Applied Materials, Inc. Methods for forming a cobalt-ruthenium liner layer for interconnect structures
TWI633200B (en) 2014-01-23 2018-08-21 烏翠泰克股份有限公司 Vapor delivery system
JP6324739B2 (en) 2014-01-27 2018-05-16 株式会社Kelk Semiconductor wafer temperature control device and semiconductor wafer temperature control method
JP6208588B2 (en) 2014-01-28 2017-10-04 東京エレクトロン株式会社 Support mechanism and substrate processing apparatus
JP5805227B2 (en) 2014-01-28 2015-11-04 東京エレクトロン株式会社 Plasma processing equipment
US9722017B2 (en) 2014-01-28 2017-08-01 Mitsubishi Electric Corporation Silicon carbide semiconductor device
KR102155181B1 (en) 2014-01-28 2020-09-11 삼성전자주식회사 Semiconductor devices and methods of manufacturing the same
US9502218B2 (en) 2014-01-31 2016-11-22 Applied Materials, Inc. RPS assisted RF plasma source for semiconductor processing
US9778561B2 (en) 2014-01-31 2017-10-03 Lam Research Corporation Vacuum-integrated hardmask processes and apparatus
CN106104775B (en) 2014-01-31 2019-05-21 应用材料公司 Chamber coating
USD720838S1 (en) 2014-02-04 2015-01-06 Asm Ip Holding B.V. Shower plate
USD724701S1 (en) 2014-02-04 2015-03-17 ASM IP Holding, B.V. Shower plate
US9370863B2 (en) 2014-02-04 2016-06-21 Asm Ip Holding B.V. Anti-slip end-effector for transporting workpiece
USD732145S1 (en) 2014-02-04 2015-06-16 Asm Ip Holding B.V. Shower plate
TWI686499B (en) 2014-02-04 2020-03-01 荷蘭商Asm Ip控股公司 Selective deposition of metals, metal oxides, and dielectrics
USD732644S1 (en) 2014-02-04 2015-06-23 Asm Ip Holding B.V. Top plate
USD725168S1 (en) 2014-02-04 2015-03-24 Asm Ip Holding B.V. Heater block
USD726884S1 (en) 2014-02-04 2015-04-14 Asm Ip Holding B.V. Heater block
US9214340B2 (en) 2014-02-05 2015-12-15 Applied Materials, Inc. Apparatus and method of forming an indium gallium zinc oxide layer
US8993457B1 (en) 2014-02-06 2015-03-31 Cypress Semiconductor Corporation Method of fabricating a charge-trapping gate stack using a CMOS process flow
WO2015119794A1 (en) 2014-02-06 2015-08-13 Veeco Ald Inc. Spatial deposition of material using short-distance reciprocating motions
US9416447B2 (en) 2014-02-07 2016-08-16 HGST Netherlands B.V. Method for line density multiplication using block copolymers and sequential infiltration synthesis
US11158526B2 (en) 2014-02-07 2021-10-26 Applied Materials, Inc. Temperature controlled substrate support assembly
US9281211B2 (en) 2014-02-10 2016-03-08 International Business Machines Corporation Nanoscale interconnect structure
US9721947B2 (en) 2014-02-12 2017-08-01 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacturing
USD733257S1 (en) 2014-02-14 2015-06-30 Hansgrohe Se Overhead shower
JP6249815B2 (en) 2014-02-17 2017-12-20 株式会社Ihi Manufacturing method and manufacturing apparatus for heat-resistant composite material
CN203721699U (en) 2014-02-20 2014-07-16 北京七星华创电子股份有限公司 Disk-like object holding device and disk-like object rotating platform <
JP6396699B2 (en) 2014-02-24 2018-09-26 東京エレクトロン株式会社 Etching method
US9916995B2 (en) 2014-02-24 2018-03-13 Lam Research Corporation Compact substrate processing tool with multi-station processing and pre-processing and/or post-processing stations
JP6303592B2 (en) 2014-02-25 2018-04-04 東京エレクトロン株式会社 Substrate processing equipment
US9362180B2 (en) 2014-02-25 2016-06-07 Globalfoundries Inc. Integrated circuit having multiple threshold voltages
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
KR102233577B1 (en) 2014-02-25 2021-03-30 삼성전자주식회사 Method for forming patterns of a semiconductor device
US9576952B2 (en) 2014-02-25 2017-02-21 Globalfoundries Inc. Integrated circuits with varying gate structures and fabrication methods
US9425078B2 (en) 2014-02-26 2016-08-23 Lam Research Corporation Inhibitor plasma mediated atomic layer deposition for seamless feature fill
JP5926753B2 (en) 2014-02-26 2016-05-25 東京エレクトロン株式会社 Substrate processing method, program, computer storage medium, and substrate processing system
CN108155133B (en) 2014-02-27 2022-04-15 斯克林集团公司 Substrate processing apparatus
CN104603545A (en) 2014-02-27 2015-05-06 深圳市生活智能科技有限公司 Air purification structure and air purification system
JP6278751B2 (en) 2014-03-04 2018-02-14 東京エレクトロン株式会社 Transport method and substrate processing apparatus
US9472410B2 (en) 2014-03-05 2016-10-18 Applied Materials, Inc. Pixelated capacitance controlled ESC
US20150255324A1 (en) 2014-03-06 2015-09-10 Applied Materials, Inc. Seamless gap-fill with spatial atomic layer deposition
KR20150104817A (en) 2014-03-06 2015-09-16 에스케이하이닉스 주식회사 Semiconductor device and method of manufacturing the same
JP2015173230A (en) 2014-03-12 2015-10-01 株式会社東芝 Semiconductor device and manufacturing method of semiconductor device
US10109534B2 (en) 2014-03-14 2018-10-23 Applied Materials, Inc. Multi-threshold voltage (Vt) workfunction metal by selective atomic layer deposition (ALD)
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
JP6379550B2 (en) 2014-03-18 2018-08-29 東京エレクトロン株式会社 Deposition equipment
US9299557B2 (en) 2014-03-19 2016-03-29 Asm Ip Holding B.V. Plasma pre-clean module and process
KR102308587B1 (en) 2014-03-19 2021-10-01 가부시키가이샤 스크린 홀딩스 Substrate processing apparatus and substrate processing method
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US20150267295A1 (en) 2014-03-19 2015-09-24 Asm Ip Holding B.V. Removable substrate tray and assembly and reactor including same
JP5944429B2 (en) 2014-03-20 2016-07-05 株式会社日立国際電気 Substrate processing apparatus, semiconductor device manufacturing method, program, and recording medium
JP6275822B2 (en) 2014-03-20 2018-02-07 株式会社東芝 Non-aqueous electrolyte battery active material, non-aqueous electrolyte battery electrode, non-aqueous electrolyte secondary battery, battery pack, and method for producing non-aqueous electrolyte battery active material
JP6306151B2 (en) 2014-03-20 2018-04-04 株式会社日立国際電気 Substrate processing apparatus, heat insulating structure, and method for manufacturing semiconductor device
JP6270575B2 (en) 2014-03-24 2018-01-31 株式会社日立国際電気 Reaction tube, substrate processing apparatus, and semiconductor device manufacturing method
JP6304592B2 (en) 2014-03-25 2018-04-04 株式会社Screenホールディングス Substrate processing method and substrate processing apparatus
US20150275355A1 (en) 2014-03-26 2015-10-01 Air Products And Chemicals, Inc. Compositions and methods for the deposition of silicon oxide films
US9583337B2 (en) 2014-03-26 2017-02-28 Ultratech, Inc. Oxygen radical enhanced atomic-layer deposition using ozone plasma
JP5941491B2 (en) 2014-03-26 2016-06-29 株式会社日立国際電気 Substrate processing apparatus, semiconductor device manufacturing method, and program
JP6204570B2 (en) 2014-03-28 2017-09-27 株式会社日立国際電気 Substrate processing apparatus, semiconductor device manufacturing method, and recording medium
US9637823B2 (en) 2014-03-31 2017-05-02 Asm Ip Holding B.V. Plasma atomic layer deposition
JP6254036B2 (en) 2014-03-31 2017-12-27 三菱重工業株式会社 Three-dimensional laminating apparatus and three-dimensional laminating method
JP6147693B2 (en) 2014-03-31 2017-06-14 株式会社日立国際電気 Semiconductor device manufacturing method, substrate processing apparatus, and program
US20150280051A1 (en) 2014-04-01 2015-10-01 Tsmc Solar Ltd. Diffuser head apparatus and method of gas distribution
US9343350B2 (en) 2014-04-03 2016-05-17 Asm Ip Holding B.V. Anti-slip end effector for transporting workpiece using van der waals force
US9663857B2 (en) 2014-04-07 2017-05-30 Asm Ip Holding B.V. Method for stabilizing reaction chamber pressure
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
KR102094470B1 (en) 2014-04-08 2020-03-27 삼성전자주식회사 Semiconductor Device and Method of Fabricating the Same
US10047435B2 (en) 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US20150311043A1 (en) 2014-04-25 2015-10-29 Applied Materials, Inc. Chamber component with fluorinated thin film coating
US9976211B2 (en) 2014-04-25 2018-05-22 Applied Materials, Inc. Plasma erosion resistant thin film coating for high temperature application
US9184054B1 (en) 2014-04-25 2015-11-10 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US9343294B2 (en) 2014-04-28 2016-05-17 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure having air gap and method of forming the same
US9464352B2 (en) 2014-05-02 2016-10-11 Asm Ip Holding B.V. Low-oxidation plasma-assisted process
JP6324800B2 (en) 2014-05-07 2018-05-16 東京エレクトロン株式会社 Film forming method and film forming apparatus
WO2015170330A1 (en) 2014-05-08 2015-11-12 Stratasys Ltd. Method and apparatus for 3d printing by selective sintering
US9917295B2 (en) 2014-05-13 2018-03-13 Uchicago Argonne, Llc Methods for using atomic layer deposition to produce a film for solid state electrolytes and protective electrode coatings for lithium batteries
TWI518751B (en) 2014-05-14 2016-01-21 國立清華大學 A carrier channel with gradient distributing element concentration
US9343343B2 (en) 2014-05-19 2016-05-17 Asm Ip Holding B.V. Method for reducing particle generation at bevel portion of substrate
US9257557B2 (en) 2014-05-20 2016-02-09 Globalfoundries Inc. Semiconductor structure with self-aligned wells and multiple channel materials
US9577192B2 (en) 2014-05-21 2017-02-21 Sony Semiconductor Solutions Corporation Method for forming a metal cap in a semiconductor memory device
KR20230116078A (en) 2014-05-21 2023-08-03 어플라이드 머티어리얼스, 인코포레이티드 Thermal processing susceptor
US9881788B2 (en) 2014-05-22 2018-01-30 Lam Research Corporation Back side deposition apparatus and applications
USD733262S1 (en) 2014-05-22 2015-06-30 Young Boung Kang Disposer of connection member for kitchen sink bowl
JP2016005900A (en) 2014-05-27 2016-01-14 パナソニックIpマネジメント株式会社 Gas barrier film, film substrate with gas barrier film, and electronic device with the gas barrier film
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
KR102162733B1 (en) 2014-05-29 2020-10-07 에스케이하이닉스 주식회사 Dual work function bruied gate type transistor, method for manufacturing the same and electronic device having the same
US20150348755A1 (en) 2014-05-29 2015-12-03 Charm Engineering Co., Ltd. Gas distribution apparatus and substrate processing apparatus including same
WO2015182699A1 (en) 2014-05-30 2015-12-03 株式会社 荏原製作所 Gas-evacuation system
BR112016027345B1 (en) 2014-05-30 2021-08-03 Eltek S.P.A LEVEL SENSOR FOR DETECTION OF THE LEVEL OF A MEDIUM CONTAINED IN A RESERVOIR, METHOD FOR CONTROLLING A LEVEL AND RESERVOIR SENSOR
JP6301203B2 (en) 2014-06-02 2018-03-28 株式会社ディスコ Chip manufacturing method
JP6225837B2 (en) 2014-06-04 2017-11-08 東京エレクトロン株式会社 Film forming apparatus, film forming method, storage medium
EP2953162A1 (en) 2014-06-06 2015-12-09 IMEC vzw Method for manufacturing a semiconductor device comprising transistors each having a different effective work function
US9773683B2 (en) 2014-06-09 2017-09-26 American Air Liquide, Inc. Atomic layer or cyclic plasma etching chemistries and processes
US10998228B2 (en) 2014-06-12 2021-05-04 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned interconnect with protection layer
USD743513S1 (en) 2014-06-13 2015-11-17 Asm Ip Holding B.V. Seal ring
DE102014108352A1 (en) 2014-06-13 2015-12-17 Forschungszentrum Jülich GmbH Method for depositing a crystal layer at low temperatures, in particular a photoluminescent IV-IV layer on an IV substrate, and an optoelectronic component having such a layer
US9978632B2 (en) 2014-06-13 2018-05-22 Applied Materials, Inc. Direct lift process apparatus
JP6225842B2 (en) 2014-06-16 2017-11-08 東京エレクトロン株式会社 Film forming apparatus, film forming method, storage medium
KR102195003B1 (en) 2014-06-18 2020-12-24 삼성전자주식회사 Semiconductor diodes, variable resistance memory devices and methods of manufacturing variable resistance memory devices
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
USD753629S1 (en) 2014-06-19 2016-04-12 Yamaha Corporation Speaker
CN104022121B (en) 2014-06-23 2017-05-03 中国科学院微电子研究所 Three-dimensional semiconductor device and method for manufacturing the same
US20150367253A1 (en) 2014-06-24 2015-12-24 Us Synthetic Corporation Photoluminescent thin-layer chromatography plate and methods for making same
US20150380296A1 (en) 2014-06-25 2015-12-31 Lam Research Corporation Cleaning of carbon-based contaminants in metal interconnects for interconnect capping applications
MY188387A (en) 2014-06-26 2021-12-07 Intel Corp Non-planar semiconductor device having omega-fin with doped sub-fin region and method to fabricate same
US9825191B2 (en) 2014-06-27 2017-11-21 Sunpower Corporation Passivation of light-receiving surfaces of solar cells with high energy gap (EG) materials
US9911579B2 (en) 2014-07-03 2018-03-06 Applied Materials, Inc. Showerhead having a detachable high resistivity gas distribution plate
JP6629312B2 (en) 2014-07-03 2020-01-15 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Method and apparatus for selective deposition
USD736348S1 (en) 2014-07-07 2015-08-11 Jiangmen Triumph Rain Showers Co., LTD Spray head for a shower
JP5837962B1 (en) 2014-07-08 2015-12-24 株式会社日立国際電気 Substrate processing apparatus, semiconductor device manufacturing method, and gas rectifier
US9349620B2 (en) 2014-07-09 2016-05-24 Asm Ip Holdings B.V. Apparatus and method for pre-baking substrate upstream of process chamber
KR20170030581A (en) 2014-07-10 2017-03-17 어플라이드 머티어리얼스, 인코포레이티드 Design of susceptor in chemical vapor deposition reactor
US9617637B2 (en) 2014-07-15 2017-04-11 Lam Research Corporation Systems and methods for improving deposition rate uniformity and reducing defects in substrate processing systems
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
KR102262887B1 (en) 2014-07-21 2021-06-08 삼성전자주식회사 Semiconductor device and method for fabricating the same
US10192717B2 (en) 2014-07-21 2019-01-29 Applied Materials, Inc. Conditioning remote plasma source for enhanced performance having repeatable etch and deposition rates
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
FR3024051A1 (en) 2014-07-28 2016-01-29 Total Raffinage Chimie CERAMIC MATERIAL PLATE ROOM FOR FLUID CATALYTIC CRACKING UNIT
US9617638B2 (en) 2014-07-30 2017-04-11 Lam Research Corporation Methods and apparatuses for showerhead backside parasitic plasma suppression in a secondary purge enabled ALD system
US9548188B2 (en) 2014-07-30 2017-01-17 Lam Research Corporation Method of conditioning vacuum chamber of semiconductor substrate processing apparatus
US9970108B2 (en) 2014-08-01 2018-05-15 Lam Research Corporation Systems and methods for vapor delivery in a substrate processing system
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US10176996B2 (en) 2014-08-06 2019-01-08 Globalfoundries Inc. Replacement metal gate and fabrication process with reduced lithography steps
USD751176S1 (en) 2014-08-07 2016-03-08 Hansgrohe Se Overhead shower
CN104197411B (en) 2014-08-08 2017-07-28 珠海格力电器股份有限公司 Indoor unit of air conditioner and air conditioner
TWI656232B (en) 2014-08-14 2019-04-11 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司 Molybdenum compositions and their use to form molybdenum oxide films
US9252238B1 (en) 2014-08-18 2016-02-02 Lam Research Corporation Semiconductor structures with coplanar recessed gate layers and fabrication methods
KR20160021958A (en) 2014-08-18 2016-02-29 삼성전자주식회사 Plasma treating apparatus and substrate treating apparatus
US9349637B2 (en) 2014-08-21 2016-05-24 Lam Research Corporation Method for void-free cobalt gap fill
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
USD782419S1 (en) 2014-08-22 2017-03-28 Christopher C. Willette Female keyed lamp plug
CN104201108B (en) 2014-08-27 2017-11-07 上海集成电路研发中心有限公司 The manufacture method of SiGe source /drain region
US9318319B2 (en) 2014-08-27 2016-04-19 Ultratech, Inc. Radical-enhanced atomic layer deposition using CF4 to enhance oxygen radical generation
US9520294B2 (en) 2014-08-29 2016-12-13 Applied Materials, Inc. Atomic layer etch process using an electron beam
KR102400424B1 (en) 2014-09-05 2022-05-19 로제 가부시키가이샤 Loading port and loading port atmoshpere substitution method
US9410742B2 (en) 2014-09-08 2016-08-09 Tokyo Electron Limited High capacity magnetic annealing system and method of operating
US10224222B2 (en) 2014-09-09 2019-03-05 Asm Ip Holding B.V. Assembly of liner and flange for vertical furnace as well as a vertical process furnace
USD742202S1 (en) 2014-09-11 2015-11-03 Thomas Jason Cyphers Sign frame key
TW201613231A (en) 2014-09-16 2016-04-01 Huaquan Energy Geometry and insulation components of motor mechanism
USD764196S1 (en) 2014-09-17 2016-08-23 Sheryl Handler Stool
US9576792B2 (en) 2014-09-17 2017-02-21 Asm Ip Holding B.V. Deposition of SiN
US9214333B1 (en) 2014-09-24 2015-12-15 Lam Research Corporation Methods and apparatuses for uniform reduction of the in-feature wet etch rate of a silicon nitride film formed by ALD
JP6222880B2 (en) 2014-09-24 2017-11-01 株式会社日立国際電気 Semiconductor device manufacturing method, substrate processing apparatus, semiconductor device, and program
EP3198651A4 (en) 2014-09-24 2018-05-02 Intel Corporation Scaled tfet transistor formed using nanowire with surface termination
US9478414B2 (en) 2014-09-26 2016-10-25 Asm Ip Holding B.V. Method for hydrophobization of surface of silicon-containing film by ALD
JP6257000B2 (en) 2014-09-30 2018-01-10 株式会社日立国際電気 Substrate processing apparatus, semiconductor device manufacturing method, and reaction tube
US9362107B2 (en) 2014-09-30 2016-06-07 Applied Materials, Inc. Flowable low-k dielectric gapfill treatment
US9331093B2 (en) 2014-10-03 2016-05-03 Sandisk Technologies Inc. Three dimensional NAND device with silicon germanium heterostructure channel
US10192770B2 (en) 2014-10-03 2019-01-29 Applied Materials, Inc. Spring-loaded pins for susceptor assembly and processing methods using same
US9558946B2 (en) 2014-10-03 2017-01-31 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs and methods of forming FinFETs
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
ES2703911T3 (en) 2014-10-13 2019-03-13 Heraeus Deutschland Gmbh & Co Kg Copper-colored paint
USD759137S1 (en) 2014-10-14 2016-06-14 Victor Equipment Company Consumables adapter for a welding torch
US9530787B2 (en) 2014-10-20 2016-12-27 Sandisk Technologies Llc Batch contacts for multiple electrically conductive layers
US10460949B2 (en) 2014-10-20 2019-10-29 Tokyo Electron Limited Substrate processing apparatus, substrate processing method and storage medium
JP2016086099A (en) 2014-10-27 2016-05-19 東京エレクトロン株式会社 Plasma processing apparatus
CN107546108A (en) 2014-10-30 2018-01-05 应用材料公司 The method of thin epitaxy film is grown at low temperature
CN104307264A (en) 2014-10-31 2015-01-28 苏州博菡环保科技有限公司 Air purifier
KR101535573B1 (en) 2014-11-04 2015-07-13 연세대학교 산학협력단 Method for synthesis of transition metal chalcogenide
KR102268187B1 (en) 2014-11-10 2021-06-24 삼성전자주식회사 Magnetic memory device and method of manufacturing the same
US9305836B1 (en) 2014-11-10 2016-04-05 International Business Machines Corporation Air gap semiconductor structure with selective cap bilayer
US10269614B2 (en) 2014-11-12 2019-04-23 Applied Materials, Inc. Susceptor design to reduce edge thermal peak
KR102300403B1 (en) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. Method of depositing thin film
JP2016098406A (en) 2014-11-21 2016-05-30 東京エレクトロン株式会社 Film deposition method of molybdenum film
US9914995B2 (en) 2014-11-21 2018-03-13 Applied Materials, Inc. Alcohol assisted ALD film deposition
US9589790B2 (en) 2014-11-24 2017-03-07 Lam Research Corporation Method of depositing ammonia free and chlorine free conformal silicon nitride film
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
JP6354539B2 (en) 2014-11-25 2018-07-11 東京エレクトロン株式会社 Substrate processing apparatus, substrate processing method, and storage medium
JP6086892B2 (en) 2014-11-25 2017-03-01 株式会社日立国際電気 Semiconductor device manufacturing method, substrate processing apparatus, and program
US9837281B2 (en) 2014-11-26 2017-12-05 Asm Ip Holding B.V. Cyclic doped aluminum nitride deposition
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9885112B2 (en) 2014-12-02 2018-02-06 Asm Ip Holdings B.V. Film forming apparatus
US9620377B2 (en) 2014-12-04 2017-04-11 Lab Research Corporation Technique to deposit metal-containing sidewall passivation for high aspect ratio cylinder etch
US9406683B2 (en) 2014-12-04 2016-08-02 International Business Machines Corporation Wet bottling process for small diameter deep trench capacitors
US9384998B2 (en) 2014-12-04 2016-07-05 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9997373B2 (en) 2014-12-04 2018-06-12 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9142764B1 (en) 2014-12-08 2015-09-22 Intermolecular, Inc. Methods of forming embedded resistors for resistive random access memory cells
KR102307633B1 (en) 2014-12-10 2021-10-06 삼성전자주식회사 Semiconductor device and method for manufacturing the same
US9951421B2 (en) 2014-12-10 2018-04-24 Lam Research Corporation Inlet for effective mixing and purging
KR20160070359A (en) 2014-12-10 2016-06-20 삼성전자주식회사 Gas injector and wafer processing apparatus havin the same
JP6459462B2 (en) 2014-12-11 2019-01-30 東京エレクトロン株式会社 Leak determination method, substrate processing apparatus, and storage medium
US20160168699A1 (en) 2014-12-12 2016-06-16 Asm Ip Holding B.V. Method for depositing metal-containing film using particle-reduction step
US10062564B2 (en) 2014-12-15 2018-08-28 Tokyo Electron Limited Method of selective gas phase film deposition on a substrate by modifying the surface using hydrogen plasma
US9820289B1 (en) 2014-12-18 2017-11-14 Sprint Spectrum L.P. Method and system for managing quantity of carriers in air interface connection based on type of content
JP6404111B2 (en) 2014-12-18 2018-10-10 東京エレクトロン株式会社 Plasma processing equipment
CN105762068A (en) 2014-12-19 2016-07-13 联华电子股份有限公司 Semiconductor element and manufacture method thereof
US10332781B2 (en) 2014-12-19 2019-06-25 Globalwafers Co., Ltd. Systems and methods for performing epitaxial smoothing processes on semiconductor structures
KR102263121B1 (en) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. Semiconductor device and manufacuring method thereof
US9396961B2 (en) 2014-12-22 2016-07-19 Lam Research Corporation Integrated etch/clean for dielectric etch applications
CN104498895B (en) 2014-12-23 2017-02-22 国家纳米科学中心 Ultrathin silicon oxynitride film material and preparation method and application thereof
JP6322131B2 (en) 2014-12-24 2018-05-09 東京エレクトロン株式会社 Silicon film forming method and film forming apparatus
US9515072B2 (en) 2014-12-26 2016-12-06 Taiwan Semiconductor Manufacturing Company Ltd. FinFET structure and method for manufacturing thereof
US9474163B2 (en) 2014-12-30 2016-10-18 Asm Ip Holding B.V. Germanium oxide pre-clean module and process
US9425041B2 (en) 2015-01-06 2016-08-23 Lam Research Corporation Isotropic atomic layer etch for silicon oxides using no activation
US9324846B1 (en) 2015-01-08 2016-04-26 Globalfoundries Inc. Field plate in heterojunction bipolar transistor with improved break-down voltage
USD753269S1 (en) 2015-01-09 2016-04-05 Asm Ip Holding B.V. Top plate
US9576811B2 (en) 2015-01-12 2017-02-21 Lam Research Corporation Integrating atomic scale processes: ALD (atomic layer deposition) and ALE (atomic layer etch)
JP6752797B2 (en) 2015-01-12 2020-09-09 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Support assembly for discoloration control on the back side of the board
US9396956B1 (en) 2015-01-16 2016-07-19 Asm Ip Holding B.V. Method of plasma-enhanced atomic layer etching
US10658222B2 (en) 2015-01-16 2020-05-19 Lam Research Corporation Moveable edge coupling ring for edge process control during semiconductor wafer processing
US9496040B2 (en) 2015-01-22 2016-11-15 Sandisk Technologies Llc Adaptive multi-page programming methods and apparatus for non-volatile memory
TW201639063A (en) 2015-01-22 2016-11-01 應用材料股份有限公司 Batch heating and cooling chamber or loadlock
US9764986B2 (en) 2015-01-22 2017-09-19 Kennametal Inc. Low temperature CVD coatings and applications thereof
JP6470057B2 (en) 2015-01-29 2019-02-13 株式会社Kokusai Electric Semiconductor device manufacturing method, substrate processing apparatus, and program
KR102185458B1 (en) 2015-02-03 2020-12-03 에이에스엠 아이피 홀딩 비.브이. Selective deposition
CN204629865U (en) 2015-02-03 2015-09-09 宁波永茂电器厂 Two unit mobile type cold blast engine
US9928994B2 (en) 2015-02-03 2018-03-27 Lam Research Corporation Methods for decreasing carbon-hydrogen content of amorphous carbon hardmask films
US9520295B2 (en) 2015-02-03 2016-12-13 Lam Research Corporation Metal doping of amorphous carbon and silicon films used as hardmasks in substrate processing systems
JP6398761B2 (en) 2015-02-04 2018-10-03 東京エレクトロン株式会社 Substrate processing equipment
US9736920B2 (en) 2015-02-06 2017-08-15 Mks Instruments, Inc. Apparatus and method for plasma ignition with a self-resonating device
US9963782B2 (en) 2015-02-12 2018-05-08 Asm Ip Holding B.V. Semiconductor manufacturing apparatus
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
WO2016131024A1 (en) 2015-02-13 2016-08-18 Entegris, Inc. Coatings for enhancement of properties and performance of substrate articles and apparatus
US9275834B1 (en) 2015-02-20 2016-03-01 Applied Materials, Inc. Selective titanium nitride etch
US10228291B2 (en) 2015-02-25 2019-03-12 Kokusai Electric Corporation Substrate processing apparatus, and thermocouple
USD765882S1 (en) 2015-02-25 2016-09-06 Aluvision, N.V. Frame element
US10052044B2 (en) 2015-03-06 2018-08-21 Ethicon Llc Time dependent evaluation of sensor data to determine stability, creep, and viscoelastic elements of measures
US9808246B2 (en) 2015-03-06 2017-11-07 Ethicon Endo-Surgery, Llc Method of operating a powered surgical instrument
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
IL237775B (en) 2015-03-16 2019-03-31 Redler Tech Ltd Automatic, highly reliable, fully redundant electornic circuit breaker that includes means for preventing short-circuit overcurrent
JP6477075B2 (en) 2015-03-17 2019-03-06 東京エレクトロン株式会社 Raw material gas supply apparatus and film forming apparatus
JP6706626B2 (en) 2015-03-18 2020-06-10 インテグリス・インコーポレーテッド Articles coated with fluorinated annealed film
USD761325S1 (en) 2015-03-19 2016-07-12 Issam N. Abed Rear crankshaft seal housing
JP2016178223A (en) 2015-03-20 2016-10-06 ルネサスエレクトロニクス株式会社 Method of manufacturing semiconductor device
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
KR101967272B1 (en) 2015-03-26 2019-04-09 무라다기카이가부시끼가이샤 Supporting device and supporting method of article
JP6358143B2 (en) 2015-03-26 2018-07-18 株式会社ダイフク Semiconductor container storage equipment
JP5961297B1 (en) 2015-03-26 2016-08-02 株式会社日立国際電気 Substrate processing apparatus, semiconductor device manufacturing method, and program
US9828672B2 (en) 2015-03-26 2017-11-28 Lam Research Corporation Minimizing radical recombination using ALD silicon oxide surface coating with intermittent restoration plasma
JP6458595B2 (en) 2015-03-27 2019-01-30 東京エレクトロン株式会社 Film forming apparatus, film forming method, and storage medium
US11124876B2 (en) 2015-03-30 2021-09-21 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US9777025B2 (en) 2015-03-30 2017-10-03 L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US10246772B2 (en) 2015-04-01 2019-04-02 Applied Materials, Inc. Plasma enhanced chemical vapor deposition of films for improved vertical etch performance in 3D NAND memory devices
USD759193S1 (en) 2015-04-01 2016-06-14 Cummins Emission Solutions, Inc. Water deflector
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
KR102376982B1 (en) 2015-04-14 2022-03-21 삼성전자주식회사 Remote plasma generator for reducing particles by using ceramic
USD801942S1 (en) 2015-04-16 2017-11-07 Applied Materials, Inc. Target profile for a physical vapor deposition chamber target
US20160307904A1 (en) 2015-04-16 2016-10-20 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Niobium-containing film forming compositions and vapor deposition of niobium-containing films
KR20160124992A (en) 2015-04-20 2016-10-31 삼성전자주식회사 apparatus for manufacturing a substrate and ceramic film coating method of the same
US20160314964A1 (en) 2015-04-21 2016-10-27 Lam Research Corporation Gap fill using carbon-based films
USD797067S1 (en) 2015-04-21 2017-09-12 Applied Materials, Inc. Target profile for a physical vapor deposition chamber target
US9343297B1 (en) 2015-04-22 2016-05-17 Asm Ip Holding B.V. Method for forming multi-element thin film constituted by at least five elements by PEALD
US9865459B2 (en) 2015-04-22 2018-01-09 Applied Materials, Inc. Plasma treatment to improve adhesion between hardmask film and silicon oxide film
US11384432B2 (en) 2015-04-22 2022-07-12 Applied Materials, Inc. Atomic layer deposition chamber with funnel-shaped gas dispersion channel and gas distribution plate
TWI615917B (en) 2015-04-27 2018-02-21 Sumco股份有限公司 Susceptor and epitaxial growth device
US10190701B2 (en) 2015-05-07 2019-01-29 Applied Materials, Inc. Corrosion control for chamber components
WO2016182811A1 (en) 2015-05-11 2016-11-17 The University Of North Carolina At Chapel Hill Fluidic devices with nanoscale manifolds for molecular transport, related systems and methods of analysis
US10177024B2 (en) 2015-05-12 2019-01-08 Lam Research Corporation High temperature substrate pedestal module and components thereof
US10935889B2 (en) 2015-05-13 2021-03-02 Tokyo Electron Limited Extreme ultra-violet sensitivity reduction using shrink and growth method
JP1544542S (en) 2015-05-14 2019-02-18
US10170320B2 (en) 2015-05-18 2019-01-01 Lam Research Corporation Feature fill with multi-stage nucleation inhibition
KR20180011119A (en) 2015-05-22 2018-01-31 어플라이드 머티어리얼스, 인코포레이티드 Multi-zone electrostatic chuck capable of tuning in azimuth direction
JP1547057S (en) 2015-05-28 2016-04-04
US9941111B2 (en) 2015-05-29 2018-04-10 Infineon Technologies Ag Method for processing a semiconductor layer, method for processing a silicon substrate, and method for processing a silicon layer
US9428833B1 (en) 2015-05-29 2016-08-30 Lam Research Corporation Method and apparatus for backside deposition reduction by control of wafer support to achieve edge seal
US9711350B2 (en) 2015-06-03 2017-07-18 Asm Ip Holding B.V. Methods for semiconductor passivation by nitridation
US9449843B1 (en) 2015-06-09 2016-09-20 Applied Materials, Inc. Selectively etching metals and metal nitrides conformally
JP1545222S (en) 2015-06-10 2016-03-07
US9646883B2 (en) 2015-06-12 2017-05-09 International Business Machines Corporation Chemoepitaxy etch trim using a self aligned hard mask for metal line to via
KR20180008907A (en) 2015-06-12 2018-01-24 어플라이드 머티어리얼스, 인코포레이티드 Injector for semiconductor epitaxial growth
US10053774B2 (en) 2015-06-12 2018-08-21 Asm Ip Holding B.V. Reactor system for sublimation of pre-clean byproducts and method thereof
CN106328702B (en) 2015-06-15 2020-03-06 联华电子股份有限公司 Method for filling gaps of semiconductor element and semiconductor element formed by method
US9647071B2 (en) 2015-06-15 2017-05-09 Taiwan Semiconductor Manufacturing Company, Ltd. FINFET structures and methods of forming the same
US9711396B2 (en) 2015-06-16 2017-07-18 Asm Ip Holding B.V. Method for forming metal chalcogenide thin films on a semiconductor device
USD798248S1 (en) 2015-06-18 2017-09-26 Applied Materials, Inc. Target profile for a physical vapor deposition chamber target
US10438795B2 (en) 2015-06-22 2019-10-08 Veeco Instruments, Inc. Self-centering wafer carrier system for chemical vapor deposition
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
WO2017004050A1 (en) 2015-06-29 2017-01-05 Applied Materials, Inc. Temperature controlled substrate processing
TWM512254U (en) 2015-07-02 2015-11-11 Jjs Comm Co Ltd Insulation pad structure for coaxial cable adapting head
KR102417934B1 (en) 2015-07-07 2022-07-07 에이에스엠 아이피 홀딩 비.브이. Thin Film Deposition Apparatus
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10174437B2 (en) 2015-07-09 2019-01-08 Applied Materials, Inc. Wafer electroplating chuck assembly
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
JP6578243B2 (en) 2015-07-17 2019-09-18 株式会社Kokusai Electric Gas supply nozzle, substrate processing apparatus, semiconductor device manufacturing method and program
US20170025291A1 (en) 2015-07-22 2017-01-26 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-chamber furnace for batch processing
US10745808B2 (en) 2015-07-24 2020-08-18 Versum Materials Us, Llc Methods for depositing Group 13 metal or metalloid nitride films
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
JP6529371B2 (en) 2015-07-27 2019-06-12 東京エレクトロン株式会社 Etching method and etching apparatus
US9793097B2 (en) 2015-07-27 2017-10-17 Lam Research Corporation Time varying segmented pressure control
FR3039531A1 (en) 2015-07-28 2017-02-03 Nexdot
JP6502779B2 (en) 2015-07-29 2019-04-17 東京エレクトロン株式会社 Method of inspecting leak of valve of gas supply system
WO2017018834A1 (en) 2015-07-29 2017-02-02 한국표준과학연구원 Method for manufacturing two-dimensional transition metal dichalcogenide thin film
JP6560924B2 (en) 2015-07-29 2019-08-14 株式会社Kokusai Electric Substrate processing apparatus, semiconductor device manufacturing method, and program
KR102420087B1 (en) 2015-07-31 2022-07-12 삼성전자주식회사 Method of fabricating a semiconductor device
US20170032992A1 (en) 2015-07-31 2017-02-02 Infineon Technologies Ag Substrate carrier, a method and a processing device
EP4108803A1 (en) 2015-07-31 2022-12-28 Versum Materials US, LLC Compositions and methods for depositing silicon nitride films
US20170040146A1 (en) 2015-08-03 2017-02-09 Lam Research Corporation Plasma etching device with plasma etch resistant coating
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US10566185B2 (en) 2015-08-05 2020-02-18 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
JP1549880S (en) 2015-08-06 2016-05-23
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US10950477B2 (en) 2015-08-07 2021-03-16 Applied Materials, Inc. Ceramic heater and esc with enhanced wafer edge performance
US10738381B2 (en) 2015-08-13 2020-08-11 Asm Ip Holding B.V. Thin film deposition apparatus
KR102417930B1 (en) 2015-08-13 2022-07-06 에이에스엠 아이피 홀딩 비.브이. Deposition Apparatus and Deposition System having the same
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US20170051402A1 (en) 2015-08-17 2017-02-23 Asm Ip Holding B.V. Susceptor and substrate processing apparatus
US20170051405A1 (en) 2015-08-18 2017-02-23 Asm Ip Holding B.V. Method for forming sin or sicn film in trenches by peald
JP1550115S (en) 2015-08-18 2016-05-23
JP1549882S (en) 2015-08-18 2016-05-23
US9449987B1 (en) 2015-08-21 2016-09-20 Sandisk Technologies Llc Three dimensional memory device with epitaxial semiconductor pedestal for peripheral transistors
US9978610B2 (en) 2015-08-21 2018-05-22 Lam Research Corporation Pulsing RF power in etch process to enhance tungsten gapfill performance
US10410857B2 (en) 2015-08-24 2019-09-10 Asm Ip Holding B.V. Formation of SiN thin films
US9523148B1 (en) 2015-08-25 2016-12-20 Asm Ip Holdings B.V. Process for deposition of titanium oxynitride for use in integrated circuit fabrication
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US10256131B2 (en) 2015-08-27 2019-04-09 Sumitomo Osaka Cement Co., Ltd. Electrostatic chuck device
US9711360B2 (en) 2015-08-27 2017-07-18 Applied Materials, Inc. Methods to improve in-film particle performance of amorphous boron-carbon hardmask process in PECVD system
KR102420015B1 (en) 2015-08-28 2022-07-12 삼성전자주식회사 Shower head of Combinatorial Spatial Atomic Layer Deposition apparatus
US10121671B2 (en) 2015-08-28 2018-11-06 Applied Materials, Inc. Methods of depositing metal films using metal oxyhalide precursors
US9455177B1 (en) 2015-08-31 2016-09-27 Dow Global Technologies Llc Contact hole formation methods
US11514096B2 (en) 2015-09-01 2022-11-29 Panjiva, Inc. Natural language processing for entity resolution
US9673042B2 (en) 2015-09-01 2017-06-06 Applied Materials, Inc. Methods and apparatus for in-situ cleaning of copper surfaces and deposition and removal of self-assembled monolayers
WO2017037927A1 (en) 2015-09-03 2017-03-09 株式会社日立国際電気 Substrate processing device, recording medium, and method for manufacturing semiconductor device
JP1546345S (en) 2015-09-04 2016-03-22
JP6448502B2 (en) 2015-09-09 2019-01-09 株式会社Kokusai Electric Semiconductor device manufacturing method, substrate processing apparatus, and program
CN117265512A (en) 2015-09-11 2023-12-22 弗萨姆材料美国有限责任公司 Method for depositing conformal metal or metalloid silicon nitride films and resulting films
US9601693B1 (en) 2015-09-24 2017-03-21 Lam Research Corporation Method for encapsulating a chalcogenide material
US10373809B2 (en) 2015-09-25 2019-08-06 Applied Materials Inc. Grooved backing plate for standing wave compensation
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
JP2017069313A (en) 2015-09-29 2017-04-06 株式会社日立国際電気 Method for manufacturing semiconductor device, apparatus for processing substrate, gas-supply system and program
JP6163524B2 (en) 2015-09-30 2017-07-12 株式会社日立国際電気 Semiconductor device manufacturing method, substrate processing apparatus, and program
KR102395997B1 (en) 2015-09-30 2022-05-10 삼성전자주식회사 Magnetoresistive random access device and method of manufacturing the same
WO2017058988A1 (en) 2015-10-02 2017-04-06 Corning Incorporated Methods for treating a glass surface to reduce particle adhesion
US9853101B2 (en) 2015-10-07 2017-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Strained nanowire CMOS device and method of forming
US10695794B2 (en) 2015-10-09 2020-06-30 Asm Ip Holding B.V. Vapor phase deposition of organic films
TWI692047B (en) 2015-10-09 2020-04-21 美商應用材料股份有限公司 Diode laser for wafer heating for epi processes
US10228618B2 (en) 2015-10-13 2019-03-12 Inpria Corporation Organotin oxide hydroxide patterning compositions, precursors, and patterning
USD810705S1 (en) 2016-04-01 2018-02-20 Veeco Instruments Inc. Self-centering wafer carrier for chemical vapor deposition
USD819580S1 (en) 2016-04-01 2018-06-05 Veeco Instruments, Inc. Self-centering wafer carrier for chemical vapor deposition
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US9941425B2 (en) 2015-10-16 2018-04-10 Asm Ip Holdings B.V. Photoactive devices and materials
TWI740848B (en) 2015-10-16 2021-10-01 荷蘭商Asm智慧財產控股公司 Implementing atomic layer deposition for gate dielectrics
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
JP6464990B2 (en) 2015-10-21 2019-02-06 東京エレクトロン株式会社 Vertical heat treatment equipment
US10358721B2 (en) 2015-10-22 2019-07-23 Asm Ip Holding B.V. Semiconductor manufacturing system including deposition apparatus
KR102424720B1 (en) 2015-10-22 2022-07-25 삼성전자주식회사 Vertical memory devices and methods of manufacturing the same
CN108140555B (en) 2015-10-22 2024-03-15 应用材料公司 Method for depositing flowable films comprising SiO and SiN
WO2017070634A1 (en) 2015-10-23 2017-04-27 Applied Materials, Inc. Methods for spatial metal atomic layer deposition
CN105253917B (en) 2015-10-28 2017-07-28 昆明理工大学 A kind of preparation method of chemical vapor deposition rhenium metal presoma
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
USD800782S1 (en) 2015-11-09 2017-10-24 Eaton Corporation Drive plate
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9786492B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
USD787458S1 (en) 2015-11-18 2017-05-23 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US9996004B2 (en) 2015-11-20 2018-06-12 Lam Research Corporation EUV photopatterning of vapor-deposited metal oxide-containing hardmasks
WO2017091345A1 (en) 2015-11-25 2017-06-01 Applied Materials, Inc. New materials for tensile stress and low contact resistance and method of forming
CN108369919B (en) 2015-11-27 2022-10-25 株式会社国际电气 Substrate processing apparatus
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US20170167023A1 (en) 2015-12-09 2017-06-15 Lam Research Corporation Silicon or silicon carbide gas injector for substrate processing systems
JP6613864B2 (en) 2015-12-14 2019-12-04 Tdk株式会社 Mini-environment device
US10332767B2 (en) 2015-12-17 2019-06-25 Asm Ip Holding B.V. Substrate transport device and substrate processing apparatus
US20170178899A1 (en) 2015-12-18 2017-06-22 Lam Research Corporation Directional deposition on patterned structures
KR102423818B1 (en) 2015-12-18 2022-07-21 삼성전자주식회사 A electrostatic chuck assembly, a semiconductor manufacturing apparatus having the same, and a temperature mesuring method for electrostatic chuck
WO2017105515A1 (en) 2015-12-18 2017-06-22 Intel Corporation Stacked transistors
TWI716511B (en) 2015-12-19 2021-01-21 美商應用材料股份有限公司 Conformal amorphous silicon as nucleation layer for w ald process
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US10087547B2 (en) 2015-12-21 2018-10-02 The Regents Of The University Of California Growth of single crystal III-V semiconductors on amorphous substrates
CH711990A2 (en) 2015-12-22 2017-06-30 Interglass Tech Ag Vacuum coating system for coating lenses.
AT518081B1 (en) 2015-12-22 2017-07-15 Sico Tech Gmbh Injector made of silicon for the semiconductor industry
US9633838B2 (en) 2015-12-28 2017-04-25 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Vapor deposition of silicon-containing films using penta-substituted disilanes
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US20170191685A1 (en) 2015-12-30 2017-07-06 Lam Research Corporation Self-sustained in-situ thermal control apparatus
US10415137B2 (en) 2016-01-01 2019-09-17 Applied Materials, Inc. Non-metallic thermal CVD/ALD Gas Injector and Purge Systems
TWD178699S (en) 2016-01-08 2016-10-01 ASM知識產權私人控股有&#x9 Gas dispersing plate for semiconductor manufacturing apparatus
TWD178698S (en) 2016-01-08 2016-10-01 ASM知識產權私人控股有&#x9 Outer wall of reactor for semiconductor manufacturing apparatus
TWD178425S (en) 2016-01-08 2016-09-21 ASM知識產權私人控股有&#x9 Electrode plate for semiconductor manufacturing apparatus
US9412648B1 (en) 2016-01-11 2016-08-09 Taiwan Semiconductor Manufacturing Company, Ltd. Via patterning using multiple photo multiple etch
US10923381B2 (en) 2016-01-19 2021-02-16 Sumitomo Osaka Cement Co., Ltd. Electrostatic chuck device
DE102016100963A1 (en) 2016-01-21 2017-07-27 Knorr-Bremse Systeme für Schienenfahrzeuge GmbH Air supply system
US20170213960A1 (en) 2016-01-26 2017-07-27 Arm Ltd. Fabrication and operation of correlated electron material devices
KR20170090194A (en) 2016-01-28 2017-08-07 삼성전자주식회사 Semiconductor Manufacturing Apparatus Having a Plurality of Gas Exhausting Pipes and Gas Sensors
US10153351B2 (en) 2016-01-29 2018-12-11 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and a method for fabricating the same
US9496225B1 (en) 2016-02-08 2016-11-15 International Business Machines Corporation Recessed metal liner contact with copper fill
US10865477B2 (en) 2016-02-08 2020-12-15 Illinois Tool Works Inc. Method and system for the localized deposit of metal on a surface
US9570302B1 (en) 2016-02-10 2017-02-14 Taiwan Semiconductor Manufacturing Co., Ltd. Method of patterning a material layer
US10364497B2 (en) 2016-02-11 2019-07-30 Intermolecular, Inc. Vapor based site-isolated processing systems and methods
CA2920646A1 (en) 2016-02-12 2017-08-12 Seastar Chemicals Inc. Organometallic compound and method
JP6538582B2 (en) 2016-02-15 2019-07-03 株式会社Kokusai Electric Substrate processing apparatus, method of manufacturing semiconductor device, and program
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
WO2017143246A1 (en) 2016-02-19 2017-08-24 Sigma-Aldrich Co., Llc Deposition of molybdenum thin films using a molybdenum carbonyl precursor
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9666528B1 (en) 2016-02-23 2017-05-30 International Business Machines Corporation BEOL vertical fuse formed over air gap
US9748145B1 (en) 2016-02-29 2017-08-29 Globalfoundries Inc. Semiconductor devices with varying threshold voltage and fabrication methods thereof
USD855089S1 (en) 2016-02-29 2019-07-30 Moldman Systems Llc Mixer assembly
JP6240695B2 (en) 2016-03-02 2017-11-29 株式会社日立国際電気 Substrate processing apparatus, semiconductor device manufacturing method, and program
US10018920B2 (en) 2016-03-04 2018-07-10 Taiwan Semiconductor Manufacturing Co., Ltd. Lithography patterning with a gas phase resist
US10073342B2 (en) 2016-03-04 2018-09-11 Micron Technology, Inc. Method of forming patterns
US11114332B2 (en) 2016-03-07 2021-09-07 Globalwafers Co., Ltd. Semiconductor on insulator structure comprising a plasma nitride layer and method of manufacture thereof
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
WO2017160649A1 (en) 2016-03-13 2017-09-21 Applied Materials, Inc. Methods and apparatus for selective dry etch
TWI722132B (en) 2016-03-13 2021-03-21 美商應用材料股份有限公司 Selective deposition of silicon nitride films for spacer applications
CN205448240U (en) 2016-03-15 2016-08-10 核工业理化工程研究院华核新技术开发公司 Portable self -loopa nuclear level air purifier of high -efficient type
US10134672B2 (en) 2016-03-15 2018-11-20 Toshiba Memory Corporation Semiconductor memory device having a stepped structure and contact wirings formed thereon
KR20170107323A (en) 2016-03-15 2017-09-25 연세대학교 산학협력단 Transition metal dichalcogenides alloy and manufacturing the same
KR102632725B1 (en) 2016-03-17 2024-02-05 에이에스엠 아이피 홀딩 비.브이. Substrate support plate, thin film deposition apparatus including the same, and thin film deposition method
JP6690496B2 (en) 2016-03-17 2020-04-28 東京エレクトロン株式会社 Film forming method and film forming apparatus
KR101758892B1 (en) 2016-03-18 2017-07-17 정예호 Mini vacuum cleaner for high-efficiency low-noiser
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9837355B2 (en) 2016-03-22 2017-12-05 International Business Machines Corporation Method for maximizing air gap in back end of the line interconnect through via landing modification
JP6576277B2 (en) 2016-03-23 2019-09-18 東京エレクトロン株式会社 Formation method of nitride film
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
USD807494S1 (en) 2016-03-24 2018-01-09 Lg Electronics Inc. Cover for air purifier with humidifier
KR102329531B1 (en) 2016-03-28 2021-11-23 주식회사 히타치하이테크 Plasma treatment method and plasma treatment device
JP6566904B2 (en) 2016-03-29 2019-08-28 東京エレクトロン株式会社 Substrate processing equipment
US9850161B2 (en) 2016-03-29 2017-12-26 Applied Materials, Inc. Fluoride glazes from fluorine ion treatment
US10573540B2 (en) 2016-03-30 2020-02-25 Shibaura Mechatronics Corporation Substrate processing apparatus and substrate processing method
US20190058043A1 (en) 2016-03-30 2019-02-21 Intel Corporation Transistor gate-channel arrangements
JP6608753B2 (en) 2016-03-31 2019-11-20 株式会社ノリタケカンパニーリミテド PdRu alloy electrode material and manufacturing method thereof
CN108884567A (en) 2016-04-01 2018-11-23 3M创新有限公司 roll-to-roll atomic layer deposition apparatus and method
USD793526S1 (en) 2016-04-08 2017-08-01 Applied Materials, Inc. Showerhead for a semiconductor processing chamber
JP6095825B2 (en) 2016-04-08 2017-03-15 株式会社日立国際電気 Substrate processing apparatus and semiconductor device manufacturing method
USD794753S1 (en) 2016-04-08 2017-08-15 Applied Materials, Inc. Showerhead for a semiconductor processing chamber
US10049913B2 (en) 2016-04-12 2018-08-14 Tokyo Electron Limited Methods for SiO2 filling of fine recessed features and selective SiO2 deposition on catalytic surfaces
US10224238B2 (en) 2016-04-12 2019-03-05 Apple Inc. Electrical components having metal traces with protected sidewalls
US10388492B2 (en) 2016-04-14 2019-08-20 Fm Industries, Inc. Coated semiconductor processing members having chlorine and fluorine plasma erosion resistance and complex oxide coatings therefor
US10204782B2 (en) 2016-04-18 2019-02-12 Imec Vzw Combined anneal and selective deposition process
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10438860B2 (en) 2016-04-22 2019-10-08 Applied Materials, Inc. Dynamic wafer leveling/tilting/swiveling steps for use during a chemical vapor deposition process
CN109314039B (en) 2016-04-22 2023-10-24 应用材料公司 Substrate support pedestal with plasma confinement feature
US11326253B2 (en) 2016-04-27 2022-05-10 Applied Materials, Inc. Atomic layer deposition of protective coatings for semiconductor process chamber components
KR101820237B1 (en) 2016-04-29 2018-01-19 한양대학교 산학협력단 Pressurized manufacturing method for metal monolayer, Structure for metal monolayer, Pressurized manufacturing apparatus for metal monolayer
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102378021B1 (en) 2016-05-06 2022-03-23 에이에스엠 아이피 홀딩 비.브이. Formation of SiOC thin films
US10115586B2 (en) 2016-05-08 2018-10-30 Tokyo Electron Limited Method for depositing a planarization layer using polymerization chemical vapor deposition
KR102592471B1 (en) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. Method of forming metal interconnection and method of fabricating semiconductor device using the same
US9680268B1 (en) 2016-05-18 2017-06-13 Itt Manufacturing Enterprises Llc Genderless electrical connectors
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
USD849662S1 (en) 2016-05-21 2019-05-28 Worthington Industries, Inc. Cylinder support system
US9987747B2 (en) 2016-05-24 2018-06-05 Semes Co., Ltd. Stocker for receiving cassettes and method of teaching a stocker robot disposed therein
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
KR102523717B1 (en) 2016-05-29 2023-04-19 도쿄엘렉트론가부시키가이샤 Selective silicon nitride etch method
US10373820B2 (en) 2016-06-01 2019-08-06 Asm Ip Holding B.V. Deposition of organic films
JP6880076B2 (en) 2016-06-03 2021-06-02 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Board distance monitoring
KR102326377B1 (en) 2016-06-07 2021-11-15 가부시키가이샤 코쿠사이 엘렉트릭 Substrate processing apparatus, method of manufacturing semiconductor device and program
CN106011785B (en) 2016-06-07 2018-10-16 上海纳米技术及应用国家工程研究中心有限公司 A kind of atomic layer deposition preparation high uniformity Nb doping TiO2The method of transparent conductive film
JP2017220011A (en) 2016-06-07 2017-12-14 株式会社神戸製鋼所 Laminated film, display device, and input device
US10014212B2 (en) 2016-06-08 2018-07-03 Asm Ip Holding B.V. Selective deposition of metallic films
US10354873B2 (en) 2016-06-08 2019-07-16 Tokyo Electron Limited Organic mandrel protection process
US10002958B2 (en) 2016-06-08 2018-06-19 The United States Of America, As Represented By The Secretary Of The Navy Diamond on III-nitride device
WO2017218561A1 (en) 2016-06-13 2017-12-21 Gvd Coproraton Methods for plasma depositing polymers comprising cyclic siloxanes and related compositions and articles
JP6585551B2 (en) 2016-06-15 2019-10-02 株式会社Kokusai Electric Semiconductor device manufacturing method, substrate processing apparatus, and program
USD785766S1 (en) 2016-06-15 2017-05-02 Asm Ip Holding B.V. Shower plate
US9850573B1 (en) 2016-06-23 2017-12-26 Applied Materials, Inc. Non-line of sight deposition of erbium based plasma resistant ceramic coating
US20170372919A1 (en) 2016-06-25 2017-12-28 Applied Materials, Inc. Flowable Amorphous Silicon Films For Gapfill Applications
US10217863B2 (en) 2016-06-28 2019-02-26 International Business Machines Corporation Fabrication of a vertical fin field effect transistor with an asymmetric gate structure
US9824893B1 (en) 2016-06-28 2017-11-21 Lam Research Corporation Tin oxide thin film spacers in semiconductor device manufacturing
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
KR20220078725A (en) 2016-06-30 2022-06-10 가부시키가이샤 코쿠사이 엘렉트릭 Substrate processing device, method for manufacturing semiconductor device, and recording medium
US20160314962A1 (en) 2016-06-30 2016-10-27 American Air Liquide, Inc. Cyclic organoaminosilane precursors for forming silicon-containing films and methods of using the same
US20160315168A1 (en) 2016-06-30 2016-10-27 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Process for forming gate insulators for tft structures
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
JP6695975B2 (en) 2016-07-05 2020-05-20 株式会社Kokusai Electric Substrate processing apparatus, gas nozzle, and method for manufacturing semiconductor device
USD829306S1 (en) 2016-07-06 2018-09-25 Asm Ip Holding B.V. Shower plate
KR102576702B1 (en) 2016-07-06 2023-09-08 삼성전자주식회사 Deposition process monitoring system, and method for controlling deposition process and method for fabricating semiconductor device using the system
US9812319B1 (en) 2016-07-06 2017-11-07 Asm Ip Holding B.V. Method for forming film filled in trench without seam or void
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
EP3267187B1 (en) 2016-07-08 2020-04-15 Volvo Car Corporation Silicon carbide based field effect gas sensor for high temperature applications
USD793352S1 (en) 2016-07-11 2017-08-01 Asm Ip Holding B.V. Getter plate
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
JP6793243B2 (en) 2016-07-14 2020-12-02 インテグリス・インコーポレーテッド CVD Mo deposition by using MoOCl4
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
WO2018017684A1 (en) 2016-07-19 2018-01-25 Applied Materials, Inc. Deposition of flowable silicon-containing films
US9799736B1 (en) 2016-07-20 2017-10-24 International Business Machines Corporation High acceptor level doping in silicon germanium
JP6616258B2 (en) 2016-07-26 2019-12-04 株式会社Kokusai Electric Substrate processing apparatus, lid cover, and semiconductor device manufacturing method
US20180033614A1 (en) 2016-07-27 2018-02-01 Versum Materials Us, Llc Compositions and Methods Using Same for Carbon Doped Silicon Containing Films
KR102354490B1 (en) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (en) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and method of operating the same
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
EP3282037B1 (en) 2016-08-09 2022-12-07 IMEC vzw Formation of a transition metal nitride
US10347547B2 (en) 2016-08-09 2019-07-09 Lam Research Corporation Suppressing interfacial reactions by varying the wafer temperature throughout deposition
US9865456B1 (en) 2016-08-12 2018-01-09 Micron Technology, Inc. Methods of forming silicon nitride by atomic layer deposition and methods of forming semiconductor structures
US10573522B2 (en) 2016-08-16 2020-02-25 Lam Research Corporation Method for preventing line bending during metal fill process
KR102429608B1 (en) 2016-08-17 2022-08-04 삼성전자주식회사 Semiconductor device and method for fabricating the same
KR102613349B1 (en) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. Exhaust apparatus and substrate processing apparatus and thin film fabricating method using the same
US10468244B2 (en) 2016-08-30 2019-11-05 Versum Materials Us, Llc Precursors and flowable CVD methods for making low-K films to fill surface features
US10229851B2 (en) 2016-08-30 2019-03-12 International Business Machines Corporation Self-forming barrier for use in air gap formation
CA2974387A1 (en) 2016-08-30 2018-02-28 Rolls-Royce Corporation Swirled flow chemical vapor deposition
TW202216444A (en) 2016-08-30 2022-05-01 美商康寧公司 Siloxane plasma polymers for sheet bonding
US10273575B2 (en) 2016-08-31 2019-04-30 Kennametal Inc. Composite refractory coatings and applications thereof
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10269714B2 (en) 2016-09-06 2019-04-23 International Business Machines Corporation Low resistance contacts including intermetallic alloy of nickel, platinum, titanium, aluminum and type IV semiconductor elements
US9865455B1 (en) 2016-09-07 2018-01-09 Lam Research Corporation Nitride film formed by plasma-enhanced and thermal atomic layer deposition process
AU201711335S (en) 2016-09-08 2017-03-29 Battlemax Pty Ltd Suction Cover
JP6710130B2 (en) 2016-09-13 2020-06-17 東京エレクトロン株式会社 Substrate processing equipment
JP6456893B2 (en) 2016-09-26 2019-01-23 株式会社Kokusai Electric Semiconductor device manufacturing method, recording medium, and substrate processing apparatus
JP6710134B2 (en) 2016-09-27 2020-06-17 東京エレクトロン株式会社 Gas introduction mechanism and processing device
JP6270952B1 (en) 2016-09-28 2018-01-31 株式会社日立国際電気 Substrate processing apparatus, semiconductor device manufacturing method, and recording medium.
KR102600998B1 (en) 2016-09-28 2023-11-13 삼성전자주식회사 Semiconductor devices
JP6550029B2 (en) 2016-09-28 2019-07-24 株式会社Kokusai Electric Substrate processing apparatus, nozzle base and method of manufacturing semiconductor device
US9847221B1 (en) 2016-09-29 2017-12-19 Lam Research Corporation Low temperature formation of high quality silicon oxide films in semiconductor device manufacturing
US10876205B2 (en) 2016-09-30 2020-12-29 Asm Ip Holding B.V. Reactant vaporizer and related systems and methods
US11926894B2 (en) 2016-09-30 2024-03-12 Asm Ip Holding B.V. Reactant vaporizer and related systems and methods
US9997606B2 (en) 2016-09-30 2018-06-12 International Business Machines Corporation Fully depleted SOI device for reducing parasitic back gate capacitance
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
FR3057102A1 (en) 2016-10-05 2018-04-06 Stmicroelectronics Sa GAS EPITAXY DEPOSITION METHOD
US9824884B1 (en) 2016-10-06 2017-11-21 Lam Research Corporation Method for depositing metals free ald silicon nitride films using halide-based precursors
US9842835B1 (en) 2016-10-10 2017-12-12 International Business Machines Corporation High density nanosheet diodes
US10573549B2 (en) 2016-12-01 2020-02-25 Lam Research Corporation Pad raising mechanism in wafer positioning pedestal for semiconductor processing
TWM563652U (en) 2016-10-13 2018-07-11 美商應用材料股份有限公司 Chamber components for use in plasma processing apparatuses and apparatuses comprising the same
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US20170044664A1 (en) 2016-10-28 2017-02-16 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Hafnium-containing film forming compositions for vapor deposition of hafnium-containing films
CN206145834U (en) 2016-11-01 2017-05-03 深圳信息职业技术学院 Movable air purification device
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR101840378B1 (en) 2016-11-09 2018-03-21 한국화학연구원 Catalyst for olefin metathesis and method for preparing thereof
JP6737139B2 (en) 2016-11-14 2020-08-05 東京エレクトロン株式会社 Gas injector and vertical heat treatment equipment
US10134579B2 (en) 2016-11-14 2018-11-20 Lam Research Corporation Method for high modulus ALD SiO2 spacer
KR102546317B1 (en) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Gas supply unit and substrate processing apparatus including the same
KR102147174B1 (en) 2016-11-18 2020-08-28 가부시키가이샤 코쿠사이 엘렉트릭 Substrate processing apparatus, reaction tube structure and method of manufacturing semiconductor device
JP6804270B2 (en) 2016-11-21 2020-12-23 東京エレクトロン株式会社 Substrate processing equipment and substrate processing method
US20180148832A1 (en) 2016-11-25 2018-05-31 Applied Materials, Inc. Methods for depositing flowable carbon films using hot wire chemical vapor deposition
US9991277B1 (en) 2016-11-28 2018-06-05 Sandisk Technologies Llc Three-dimensional memory device with discrete self-aligned charge storage elements and method of making thereof
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US10186420B2 (en) 2016-11-29 2019-01-22 Asm Ip Holding B.V. Formation of silicon-containing thin films
US10619242B2 (en) 2016-12-02 2020-04-14 Asm Ip Holding B.V. Atomic layer deposition of rhenium containing thin films
US11761084B2 (en) 2016-12-02 2023-09-19 Asm Ip Holding B.V. Substrate processing apparatus and method of processing substrate
JP6824717B2 (en) 2016-12-09 2021-02-03 東京エレクトロン株式会社 Method of forming a SiC film
US10192734B2 (en) 2016-12-11 2019-01-29 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploration des Procédés Georges Claude Short inorganic trisilylamine-based polysilazanes for thin film deposition
WO2018111251A1 (en) 2016-12-14 2018-06-21 Intel Corporation Transistor source/drain amorphous interlayer arrangements
KR20180068582A (en) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10604841B2 (en) 2016-12-14 2020-03-31 Lam Research Corporation Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
US10801106B2 (en) 2016-12-15 2020-10-13 Asm Ip Holding B.V. Shower plate structure for exhausting deposition inhibiting gas
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
USD834686S1 (en) 2016-12-15 2018-11-27 Asm Ip Holding B.V. Shower plate
US10591078B2 (en) 2016-12-15 2020-03-17 The Boeing Company Fluid flow control device
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
CN108227412A (en) 2016-12-15 2018-06-29 Imec 非营利协会 Photolithographic mask layer
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
JP2020502790A (en) 2016-12-15 2020-01-23 アーエスエム・イーぺー・ホールディング・ベスローテン・フェンノートシャップ Semiconductor processing equipment
US9960033B1 (en) 2016-12-16 2018-05-01 Asm Ip Holding B.V. Method of depositing and etching Si-containing film
KR20180070971A (en) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US20180174801A1 (en) 2016-12-21 2018-06-21 Ulvac Technologies, Inc. Apparatuses and methods for surface treatment
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
JP6862821B2 (en) 2016-12-26 2021-04-21 東京エレクトロン株式会社 Film forming equipment, film forming method and heat insulating member
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US20180187303A1 (en) 2016-12-30 2018-07-05 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Lanthanide precursors and deposition of lanthanide-containing films using the same
US10049426B2 (en) 2017-01-03 2018-08-14 Qualcomm Incorporated Draw call visibility stream
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
WO2018136652A1 (en) 2017-01-18 2018-07-26 Tokyo Electron Limited Method of preferential silicon nitride etching using sulfur hexafluoride
US10186400B2 (en) 2017-01-20 2019-01-22 Applied Materials, Inc. Multi-layer plasma resistant coating by atomic layer deposition
JP1584241S (en) 2017-01-31 2017-08-21
JP1584906S (en) 2017-01-31 2017-08-28
US10822458B2 (en) 2017-02-08 2020-11-03 Versum Materials Us, Llc Organoamino-functionalized linear and cyclic oligosiloxanes for deposition of silicon-containing films
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
JP2018133471A (en) 2017-02-16 2018-08-23 漢民科技股▲分▼有限公司 Vapor deposition apparatus
CN106895521A (en) 2017-03-01 2017-06-27 大连葆光节能空调设备厂 Constant temperature, constant humidity, permanent net quiet indoor air stream
CA176724S (en) 2017-03-02 2018-07-03 Ebm Papst Landshut Gmbh Engine cap
JP2018148143A (en) 2017-03-08 2018-09-20 株式会社東芝 Shower plate, processor, and discharge method
JP6949515B2 (en) 2017-03-15 2021-10-13 ソニーセミコンダクタソリューションズ株式会社 Camera modules, their manufacturing methods, and electronic devices
US11081337B2 (en) 2017-03-15 2021-08-03 Versum Materials U.S., LLC Formulation for deposition of silicon doped hafnium oxide as ferroelectric materials
US10975469B2 (en) 2017-03-17 2021-04-13 Applied Materials, Inc. Plasma resistant coating of porous body by atomic layer deposition
US9911595B1 (en) 2017-03-17 2018-03-06 Lam Research Corporation Selective growth of silicon nitride
JP6703496B2 (en) 2017-03-27 2020-06-03 株式会社Kokusai Electric Substrate processing apparatus, semiconductor device manufacturing method, and program
JP6807792B2 (en) 2017-03-27 2021-01-06 東京エレクトロン株式会社 Plasma generation method, plasma processing method using this, and plasma processing equipment
US11942526B2 (en) 2017-03-28 2024-03-26 Intel Corporation Integrated circuit contact structures
US10629415B2 (en) 2017-03-28 2020-04-21 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrate
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10460932B2 (en) 2017-03-31 2019-10-29 Asm Ip Holding B.V. Semiconductor device with amorphous silicon filled gaps and methods for forming
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
JP7224335B2 (en) 2017-04-10 2023-02-17 ラム リサーチ コーポレーション Low resistance film containing molybdenum
US10017856B1 (en) 2017-04-17 2018-07-10 Applied Materials, Inc. Flowable gapfill using solvents
US9984869B1 (en) 2017-04-17 2018-05-29 Asm Ip Holding B.V. Method of plasma-assisted cyclic deposition using ramp-down flow of reactant gas
US10242879B2 (en) 2017-04-20 2019-03-26 Lam Research Corporation Methods and apparatus for forming smooth and conformal cobalt film by atomic layer deposition
KR102457289B1 (en) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10319582B2 (en) 2017-04-27 2019-06-11 Lam Research Corporation Methods and apparatus for depositing silicon oxide on metal layers
US10157785B2 (en) 2017-05-01 2018-12-18 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US11501965B2 (en) 2017-05-05 2022-11-15 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of metal oxide thin films
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10563303B2 (en) 2017-05-10 2020-02-18 Applied Materials, Inc. Metal oxy-flouride films based on oxidation of metal flourides
US20180331117A1 (en) 2017-05-12 2018-11-15 Sandisk Technologies Llc Multilevel memory stack structure with tapered inter-tier joint region and methods of making thereof
US20180325414A1 (en) 2017-05-12 2018-11-15 Tech4Imaging Llc Electro-magneto volume tomography system and methodology for non-invasive volume tomography
WO2018213018A1 (en) 2017-05-16 2018-11-22 Asm Ip Holding B.V. Selective peald of oxide on dielectric
US10153195B1 (en) 2017-05-18 2018-12-11 Micron Technology, Inc. Semiconductor constructions comprising dielectric material
CN108933097B (en) 2017-05-23 2023-06-23 东京毅力科创株式会社 Vacuum conveying assembly and substrate processing device
KR102417931B1 (en) 2017-05-30 2022-07-06 에이에스엠 아이피 홀딩 비.브이. Substrate supporting device and substrate processing apparatus including the same
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10458553B1 (en) * 2017-06-05 2019-10-29 Vistadeltek, Llc Control plate for a high conductive valve
CN110709633B (en) * 2017-06-05 2022-04-22 威斯塔德尔特有限责任公司 Control plate for high conductance valve
US11248708B2 (en) * 2017-06-05 2022-02-15 Illinois Tool Works Inc. Control plate for a high conductance valve
KR102604084B1 (en) 2017-06-08 2023-11-17 어플라이드 머티어리얼스, 인코포레이티드 High-density low temperature carbon films for hardmask and other patterning applications
US10246777B2 (en) 2017-06-12 2019-04-02 Asm Ip Holding B.V. Heater block having continuous concavity
KR102474876B1 (en) 2017-06-15 2022-12-07 삼성전자주식회사 Tungsten precursor and Method of forming a tungsten-containing layer using the same
CN109112500B (en) 2017-06-22 2022-01-28 肯纳金属公司 CVD composite refractory coating and application thereof
CN116377420A (en) 2017-06-23 2023-07-04 默克专利有限公司 Atomic layer deposition method for selective film growth
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
WO2019005527A1 (en) 2017-06-29 2019-01-03 Commscope Technologies Llc Inner contact for coaxial cable
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
TWI794238B (en) 2017-07-13 2023-03-01 荷蘭商Asm智慧財產控股公司 Apparatus and method for removal of oxide and carbon from semiconductor films in a single processing chamber
KR20190009245A (en) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. Methods for forming a semiconductor device structure and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
TWM553518U (en) 2017-07-20 2017-12-21 Green Wind Technology Co Ltd Motor insulation structure
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
USD867867S1 (en) 2017-07-31 2019-11-26 Ge Healthcare Bio-Sciences Corp. Tubing clamp
USD859136S1 (en) 2017-07-31 2019-09-10 Ge Healthcare Bio-Sciences Corp. Tubing clamp
JP6925196B2 (en) 2017-07-31 2021-08-25 東京エレクトロン株式会社 Processing equipment and processing method
KR102481410B1 (en) 2017-07-31 2022-12-26 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10361366B2 (en) 2017-08-03 2019-07-23 Tokyo Electron Limited Resistive random accress memory containing a conformal titanium aluminum carbide film and method of making
TWI815813B (en) 2017-08-04 2023-09-21 荷蘭商Asm智慧財產控股公司 Showerhead assembly for distributing a gas within a reaction chamber
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11358113B2 (en) 2017-08-08 2022-06-14 H Quest Vanguard, Inc. Non-thermal micro-plasma conversion of hydrocarbons
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
TWI813430B (en) 2017-08-09 2023-08-21 荷蘭商Asm智慧財產控股公司 Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10763108B2 (en) 2017-08-18 2020-09-01 Lam Research Corporation Geometrically selective deposition of a dielectric film
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US20190067014A1 (en) 2017-08-30 2019-02-28 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor device structures
US20190067003A1 (en) 2017-08-30 2019-02-28 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film on a dielectric surface of a substrate and related semiconductor device structures
US20190067095A1 (en) 2017-08-30 2019-02-28 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (en) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10622236B2 (en) 2017-08-30 2020-04-14 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus and method for handling wafer carrier doors
KR102401446B1 (en) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10106892B1 (en) 2017-08-31 2018-10-23 Globalfoundries Inc. Thermal oxide equivalent low temperature ALD oxide for dual purpose gate oxide and method for producing the same
US20190078206A1 (en) 2017-09-08 2019-03-14 Applied Materials, Inc. Fluorinated rare earth oxide ald coating for chamber productivity enhancement
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US11851756B2 (en) 2017-09-14 2023-12-26 Versum Materials Us, Llc Methods for depositing silicon-containing films
CN107675144A (en) 2017-09-15 2018-02-09 武汉华星光电技术有限公司 Plasma enhanced chemical vapor deposition unit
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102074346B1 (en) 2017-09-19 2020-02-06 서울과학기술대학교 산학협력단 Remote plasma-based atomic layer deposition system
EP3460177B1 (en) 2017-09-21 2021-11-10 AccessESP UK Limited Stress control cones for downhole electrical power system tubing encapsulated power cables
KR102630301B1 (en) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10468501B2 (en) 2017-09-29 2019-11-05 Taiwan Semiconductor Manufacturing Company, Ltd. Gap-filling germanium through selective bottom-up growth
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
KR20190042977A (en) 2017-10-17 2019-04-25 삼성전자주식회사 Method for fabricating semiconductor device
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10468530B2 (en) 2017-11-15 2019-11-05 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure with source/drain multi-layer structure and method for forming the same
US11948810B2 (en) 2017-11-15 2024-04-02 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus for processing substrates or wafers
KR102443047B1 (en) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US10943780B2 (en) 2017-11-19 2021-03-09 Applied Materials, Inc. Methods for ALD of metal oxides on metal surfaces
WO2019099997A1 (en) 2017-11-20 2019-05-23 Lam Research Corporation Self-limiting growth
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (en) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. Equipment with a clean mini-environment
TWI779134B (en) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 A storage device for storing wafer cassettes and a batch furnace assembly
KR102612989B1 (en) 2017-12-01 2023-12-11 어플라이드 머티어리얼스, 인코포레이티드 High etch selectivity amorphous carbon film
US10229985B1 (en) 2017-12-04 2019-03-12 International Business Machines Corporation Vertical field-effect transistor with uniform bottom spacer
US10991573B2 (en) 2017-12-04 2021-04-27 Asm Ip Holding B.V. Uniform deposition of SiOC on dielectric and metal surfaces
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
USD868124S1 (en) * 2017-12-11 2019-11-26 Applied Materials, Inc. Target profile for a physical vapor deposition chamber target
US11037780B2 (en) 2017-12-12 2021-06-15 Asm Ip Holding B.V. Method for manufacturing semiconductor device with helium-containing gas
US10760158B2 (en) 2017-12-15 2020-09-01 Lam Research Corporation Ex situ coating of chamber components for semiconductor processing
US20190189447A1 (en) 2017-12-19 2019-06-20 Lam Research Corporation Method for forming square spacers
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
JP7149068B2 (en) 2017-12-21 2022-10-06 株式会社日立ハイテク Plasma processing apparatus and plasma processing method
US10283565B1 (en) 2017-12-21 2019-05-07 International Business Machines Corporation Resistive memory with a plurality of resistive random access memory cells each comprising a transistor and a resistive element
US10415899B2 (en) 2017-12-28 2019-09-17 Asm Ip Holding B.V. Cooling system, substrate processing system and flow rate adjusting method for cooling medium
US10204788B1 (en) 2018-01-01 2019-02-12 United Microelectronics Corp. Method of forming high dielectric constant dielectric layer by atomic layer deposition
US11149350B2 (en) 2018-01-10 2021-10-19 Asm Ip Holding B.V. Shower plate structure for supplying carrier and dry gas
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (en) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 Deposition method
CN111630203A (en) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 Method for depositing gap filling layer by plasma auxiliary deposition
US10332747B1 (en) 2018-01-24 2019-06-25 Globalfoundries Inc. Selective titanium nitride deposition using oxides of lanthanum masks
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
CN108389798B (en) 2018-01-24 2021-02-02 信利(惠州)智能显示有限公司 Etching method, low-temperature polycrystalline silicon thin film transistor and AMOLED panel
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US20190237325A1 (en) 2018-01-26 2019-08-01 Applied Materials, Inc. Carbon film gapfill for patterning application
WO2019147462A1 (en) 2018-01-26 2019-08-01 Applied Materials, Inc. Treatment methods for silicon nitride thin films
US10332963B1 (en) 2018-01-29 2019-06-25 Globalfoundries Inc. Uniformity tuning of variable-height features formed in trenches
US11098069B2 (en) 2018-01-30 2021-08-24 Versum Materials Us, Llc Organoamino-functionalized cyclic oligosiloxanes for deposition of silicon-containing films
USD880437S1 (en) * 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US20190249303A1 (en) 2018-02-09 2019-08-15 Asm Ip Holding B.V. Chemical precursors and methods for depositing a silicon oxide film on a substrate utilizing chemical precursors
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102657269B1 (en) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. Method for depositing a ruthenium-containing film on a substrate by a cyclic deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (en) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. Substrate processing method and apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11087961B2 (en) 2018-03-02 2021-08-10 Lam Research Corporation Quartz component with protective coating
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (en) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (en) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. Substrate processing method
KR102600229B1 (en) 2018-04-09 2023-11-10 에이에스엠 아이피 홀딩 비.브이. Substrate supporting device, substrate processing apparatus including the same and substrate processing method
JP6519897B2 (en) 2018-04-10 2019-05-29 シンフォニアテクノロジー株式会社 Purge nozzle unit, load port
US10756186B2 (en) 2018-04-12 2020-08-25 Sandisk Technologies Llc Three-dimensional memory device including germanium-containing vertical channels and method of making the same
US11462387B2 (en) 2018-04-17 2022-10-04 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US10782613B2 (en) 2018-04-19 2020-09-22 International Business Machines Corporation Polymerizable self-assembled monolayers for use in atomic layer deposition
US20190330740A1 (en) 2018-04-30 2019-10-31 Asm Ip Holding B.V. Substrate processing apparatus and method
US10580645B2 (en) 2018-04-30 2020-03-03 Asm Ip Holding B.V. Plasma enhanced atomic layer deposition (PEALD) of SiN using silicon-hydrohalide precursors
US11549175B2 (en) 2018-05-03 2023-01-10 Lam Research Corporation Method of depositing tungsten and other metals in 3D NAND structures
US11639547B2 (en) 2018-05-03 2023-05-02 Applied Materials, Inc. Halogen resistant coatings and methods of making and using thereof
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190128558A (en) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US20190348261A1 (en) 2018-05-09 2019-11-14 Asm Ip Holding B.V. Apparatus for use with hydrogen radicals and method of using same
CN110473819B (en) 2018-05-11 2020-12-08 北京北方华创微电子装备有限公司 Door opener, transmission chamber and semiconductor processing equipment
EP3791231A4 (en) 2018-05-11 2022-01-26 Lam Research Corporation Methods for making euv patternable hard masks
KR20190129718A (en) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. Methods for forming a doped metal carbide film on a substrate and related semiconductor device structures
AT520629B1 (en) 2018-05-22 2019-06-15 Sico Tech Gmbh Injector made of silicon for the semiconductor industry
US10665505B2 (en) 2018-05-22 2020-05-26 International Business Machines Corporation Self-aligned gate contact isolation
WO2019222963A1 (en) 2018-05-24 2019-11-28 Yangtze Memory Technologies Co., Ltd. Methods for repairing substrate lattice and selective epitaxy processing
US20190362989A1 (en) 2018-05-25 2019-11-28 Applied Materials, Inc. Substrate manufacturing apparatus and methods with factory interface chamber heating
KR102596988B1 (en) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US20190368040A1 (en) 2018-06-01 2019-12-05 Asm Ip Holding B.V. Infiltration apparatus and methods of infiltrating an infiltrateable material
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
TW202403083A (en) 2018-06-19 2024-01-16 美商應用材料股份有限公司 Gapfill deposition method and diamond-like carbon gapfill material
US10741641B2 (en) 2018-06-20 2020-08-11 International Business Machines Corporation Dielectric isolation and SiGe channel formation for integration in CMOS nanosheet channel devices
KR102568797B1 (en) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing system
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US10483154B1 (en) 2018-06-22 2019-11-19 Globalfoundries Inc. Front-end-of-line device structure and method of forming such a front-end-of-line device structure
TWI819010B (en) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TWI751420B (en) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 Thin-film deposition method
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
JP7264894B2 (en) 2018-06-29 2023-04-25 株式会社半導体エネルギー研究所 semiconductor equipment
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
KR20210027507A (en) 2018-07-26 2021-03-10 램 리써치 코포레이션 Deposition of pure metal film
EP3830860A4 (en) 2018-07-30 2022-04-20 Applied Materials, Inc. Method of selective silicon germanium epitaxy at low temperatures
CN109000352A (en) 2018-08-03 2018-12-14 珠海格力电器股份有限公司 Air duct module, air duct structure with same and air conditioner
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
KR20200019308A (en) 2018-08-13 2020-02-24 삼성디스플레이 주식회사 Organic light emitting diode display device
CN108910843A (en) 2018-08-13 2018-11-30 中国工程物理研究院化工材料研究所 A kind of preparation method of propellant fuel
US20200058469A1 (en) 2018-08-14 2020-02-20 Tokyo Electron Limited Systems and methods of control for plasma processing
US10510871B1 (en) 2018-08-16 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200023196A (en) 2018-08-23 2020-03-04 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and method
JP6896682B2 (en) 2018-09-04 2021-06-30 株式会社Kokusai Electric Manufacturing method of substrate processing equipment and semiconductor equipment
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (en) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. Method for deposition of a thin film
US11501999B2 (en) 2018-09-28 2022-11-15 Taiwan Semiconductor Manufacturing Co., Ltd. Cobalt fill for gate structures
US11282938B2 (en) 2018-09-28 2022-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. Capping layers in metal gates of transistors
CN110970344A (en) 2018-10-01 2020-04-07 Asm Ip控股有限公司 Substrate holding apparatus, system including the same, and method of using the same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US20200109484A1 (en) 2018-10-03 2020-04-09 Asm Ip Holding B.V. Susceptor and susceptor coating method
US20200111669A1 (en) 2018-10-04 2020-04-09 Asm Ip Holding B.V. Method for depositing oxide film by peald using nitrogen
KR102592699B1 (en) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10825828B2 (en) 2018-10-11 2020-11-03 Micron Technology, Inc. Semiconductor devices and systems with channel openings or pillars extending through a tier stack, and methods of formation
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (en) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
KR102605121B1 (en) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
USD864134S1 (en) 2018-10-24 2019-10-22 Asm Ip Holding B.V. Susceptor
US20200131634A1 (en) 2018-10-26 2020-04-30 Asm Ip Holding B.V. High temperature coatings for a preclean and etch apparatus and related methods
US11081584B2 (en) 2018-10-30 2021-08-03 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing semiconductor devices using a capping layer in forming gate electrode and semiconductor devices
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (en) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and substrate processing apparatus including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US11443953B2 (en) 2018-11-13 2022-09-13 Tokyo Electron Limited Method for forming and using stress-tuned silicon oxide films in semiconductor device patterning
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (en) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. A method for cleaning a substrate processing apparatus
US20200181770A1 (en) 2018-12-05 2020-06-11 Asm Ip Holding B.V. Method of forming a structure including silicon nitride on titanium nitride and structure formed using the method
SG11202106002VA (en) 2018-12-05 2021-07-29 Lam Res Corp Void free low stress fill
US10777445B2 (en) 2018-12-06 2020-09-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate transfer method
US11640917B2 (en) 2018-12-07 2023-05-02 Applied Materials, Inc. Ground electrode formed in an electrostatic chuck for a plasma processing chamber
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (en) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー Method and system for forming device structures using selective deposition of gallium nitride - Patents.com
US20200203157A1 (en) 2018-12-20 2020-06-25 Nanya Technology Corporation Method for preparing multiplayer structure
USD914620S1 (en) 2019-01-17 2021-03-30 Asm Ip Holding B.V. Vented susceptor
TWI819180B (en) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
KR20200091543A (en) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. Semiconductor processing device
US10704143B1 (en) 2019-01-25 2020-07-07 Asm Ip Holding B.V. Oxide film forming method
CN111524788B (en) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 Method for topologically selective film formation of silicon oxide
JP2020136678A (en) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー Method for filing concave part formed inside front surface of base material, and device
JP7509548B2 (en) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー Cyclic deposition method and apparatus for filling recesses formed in a substrate surface - Patents.com
KR102626263B1 (en) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. Cyclical deposition method including treatment step and apparatus for same
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020133004A (en) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー Base material processing apparatus and method for processing base material
USD881338S1 (en) 2019-02-26 2020-04-14 Ziyong Chen Filter
KR20200108243A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Structure Including SiOC Layer and Method of Forming Same
KR20200108248A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. STRUCTURE INCLUDING SiOCN LAYER AND METHOD OF FORMING SAME
KR20200108242A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer
JP7502039B2 (en) 2019-03-28 2024-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー Substrate Processing Equipment
JP2020167398A (en) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー Door opener and substrate processing apparatus provided therewith
KR20200116855A (en) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. Method of manufacturing semiconductor device
US20200318237A1 (en) 2019-04-05 2020-10-08 Asm Ip Holding B.V. Methods for forming a boron nitride film by a plasma enhanced atomic layer deposition process
KR20200123380A (en) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. Layer forming method and apparatus
KR20200125453A (en) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system and method of using same
KR20200130121A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Chemical source vessel with dip tube
KR20200130118A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Method for Reforming Amorphous Carbon Polymer Film
KR20200130652A (en) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. Method of depositing material onto a surface and structure formed according to the method
JP2020188255A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
JP2020188254A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
USD935572S1 (en) * 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (en) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. Method of using a gas-phase reactor system including analyzing exhausted gas
KR20200141931A (en) 2019-06-10 2020-12-21 에이에스엠 아이피 홀딩 비.브이. Method for cleaning quartz epitaxial chambers
KR20200143254A (en) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method
US20200395199A1 (en) 2019-06-14 2020-12-17 Asm Ip Holding B.V. Substrate treatment apparatus and method of cleaning inside of chamber
USD944946S1 (en) * 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
KR20210005515A (en) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. Temperature control assembly for substrate processing apparatus and method of using same
KR20210007862A (en) 2019-07-09 2021-01-20 에이에스엠 아이피 홀딩 비.브이. Structure including a photoresist underlayer and method of forming same
JP7499079B2 (en) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー Plasma device using coaxial waveguide and substrate processing method
CN112216646A (en) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 Substrate supporting assembly and substrate processing device comprising same
KR20210010307A (en) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210010820A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Methods of forming silicon germanium structures
KR20210010816A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Radical assist ignition plasma system and method
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (en) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 Method of forming topology-controlled amorphous carbon polymer film
KR20210010817A (en) 2019-07-19 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Method of Forming Topology-Controlled Amorphous Carbon Polymer Film
TW202113936A (en) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
KR20210014577A (en) 2019-07-29 2021-02-09 에이에스엠 아이피 홀딩 비.브이. Method of forming a structure using fluorine removal
CN112309900A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
KR20210015655A (en) 2019-07-30 2021-02-10 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and method
CN112309899A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US20210035842A1 (en) 2019-07-31 2021-02-04 Asm Ip Holding B.V. Cassette lid opening device
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11915960B2 (en) 2019-07-31 2024-02-27 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (en) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. Liquid level sensor for a chemical source vessel
KR20210018761A (en) 2019-08-09 2021-02-18 에이에스엠 아이피 홀딩 비.브이. heater assembly including cooling apparatus and method of using same
KR20210018762A (en) 2019-08-09 2021-02-18 에이에스엠 아이피 홀딩 비.브이. Temperature-controlled chemical delivery system and reactor system including same
KR20210021266A (en) 2019-08-14 2021-02-25 에이에스엠 아이피 홀딩 비.브이. Apparatus and method to process wafers
JP2021031769A (en) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. Production apparatus of mixed gas of film deposition raw material and film deposition apparatus
KR20210024423A (en) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for forming a structure with a hole
USD940837S1 (en) * 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11133416B2 (en) 2019-08-23 2021-09-28 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming semiconductor devices having plural epitaxial layers
KR20210024420A (en) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
KR20210028093A (en) 2019-08-29 2021-03-11 에이에스엠 아이피 홀딩 비.브이. Structures including dielectric layers and methods of forming same
CN112442674A (en) 2019-09-03 2021-03-05 Asm Ip私人控股有限公司 Method and apparatus for depositing chalcogenide films and structures including films
KR20210029090A (en) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. Methods for selective deposition using a sacrificial capping layer
KR20210029663A (en) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US20210071296A1 (en) 2019-09-06 2021-03-11 Asm Ip Holding B.V. Exhaust component cleaning method and substrate processing apparatus including exhaust component
US20210082692A1 (en) 2019-09-17 2021-03-18 Asm Ip Holding B.V. Method of forming a carbon-containing layer and structure including the layer
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (en) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process
JP7489454B2 (en) 2019-10-07 2024-05-23 アプライド マテリアルズ インコーポレイテッド Integrated electrode and ground plane for substrate support - Patents.com
US20220299877A1 (en) 2019-10-08 2022-09-22 Lam Research Corporation Positive tone development of cvd euv resist films
KR20210042810A (en) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. Reactor system including a gas distribution assembly for use with activated species and method of using same
TW202128273A (en) 2019-10-08 2021-08-01 荷蘭商Asm Ip私人控股有限公司 Gas injection system, reactor system, and method of depositing material on surface of substratewithin reaction chamber
CN112635282A (en) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 Substrate processing apparatus having connection plate and substrate processing method
KR20210043460A (en) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. Method of forming a photoresist underlayer and structure including same
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (en) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (en) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for selectively etching films
KR20210050453A (en) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (en) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. Structures with doped semiconductor layers and methods and systems for forming same
KR20210057664A (en) 2019-11-11 2021-05-21 에이에스엠 아이피 홀딩 비.브이. Method of forming a structure including silicone oxide
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (en) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
CN112951697A (en) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 Substrate processing apparatus
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885693A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
JP2021090042A (en) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. Substrate processing apparatus and substrate processing method
CN112992637A (en) 2019-12-02 2021-06-18 Asm Ip私人控股有限公司 Substrate supporting plate, substrate processing apparatus including the same, and substrate processing method
KR20210070898A (en) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210072697A (en) 2019-12-06 2021-06-17 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus, bevel mask and substrate processing method
CN112981372B (en) 2019-12-12 2024-02-13 Asm Ip私人控股有限公司 Substrate support plate, substrate processing apparatus including the same, and substrate processing method
CN112992667A (en) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 Method of forming vanadium nitride layer and structure including vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (en) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 Gas supply assembly and valve plate assembly
KR20210089080A (en) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. Filter system for a reactor system
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202132605A (en) 2020-01-10 2021-09-01 美商應用材料股份有限公司 Catalyst enhanced seamless ruthenium gap fill
KR20210093163A (en) 2020-01-16 2021-07-27 에이에스엠 아이피 홀딩 비.브이. Method of forming high aspect ratio features
KR20210093758A (en) 2020-01-17 2021-07-28 에이에스엠 아이피 홀딩 비.브이. Substrate treatment apparatus and substrate treatment method for monitoring integrated value
KR102675856B1 (en) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. Method of forming thin film and method of modifying surface of thin film
KR20210094462A (en) 2020-01-20 2021-07-29 에이에스엠 아이피 홀딩 비.브이. Method for deposition of silicon nitride layer using pretreatment, structure formed using the method, and system for performing the method
KR20210095798A (en) 2020-01-23 2021-08-03 에이에스엠 아이피 홀딩 비.브이. Systems and Methods for Stabilizing reaction chamber pressure
USD926942S1 (en) * 2020-01-28 2021-08-03 Universal Flow Monitors, Inc. Flow control plate
TW202131985A (en) 2020-01-29 2021-09-01 荷蘭商Asm Ip私人控股有限公司 Contaminant trap system, and baffle plate stack
TW202130846A (en) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 Method of forming structures including a vanadium or indium layer
KR20210100010A (en) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. Method and apparatus for transmittance measurements of large articles
KR20210100535A (en) 2020-02-05 2021-08-17 에이에스엠 아이피 홀딩 비.브이. Method of forming a structure including carbon material, structure formed using the method, and system for forming the structure
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
KR20210103407A (en) 2020-02-12 2021-08-23 에이에스엠 아이피 홀딩 비.브이. Reactor system with multi-directional reaction chamber
KR20210103953A (en) 2020-02-13 2021-08-24 에이에스엠 아이피 홀딩 비.브이. Gas distribution assembly and method of using same
KR20210103956A (en) 2020-02-13 2021-08-24 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus including light receiving device and calibration method of light receiving device
KR20210105289A (en) 2020-02-14 2021-08-26 에이에스엠 아이피 홀딩 비.브이. Method of forming dielectric material layers using pulsed plasma power, structures and devices including the layers, and systems for forming the layers
TW202146715A (en) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method for growing phosphorous-doped silicon layer and system of the same
US20210265158A1 (en) 2020-02-25 2021-08-26 Asm Ip Holding B.V. Method of forming low-k material layer, structure including the layer, and system for forming same
TW202203344A (en) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 System dedicated for parts cleaning
KR20210113043A (en) 2020-03-04 2021-09-15 에이에스엠 아이피 홀딩 비.브이. Alignment fixture for a reactor system
KR20210116249A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. lockout tagout assembly and system and method of using same
JP2023515751A (en) 2020-03-11 2023-04-14 アプライド マテリアルズ インコーポレイテッド Gap-filling method using catalyst deposition
KR20210116240A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. Substrate handling device with adjustable joints
CN113394086A (en) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 Method for producing a layer structure having a target topological profile
CN113394067A (en) 2020-03-13 2021-09-14 Asm Ip私人控股有限公司 Substrate processing apparatus
US20210292902A1 (en) 2020-03-17 2021-09-23 Asm Ip Holding B.V. Method of depositing epitaxial material, structure formed using the method, and system for performing the method
USD941372S1 (en) * 2020-03-20 2022-01-18 Applied Materials, Inc. Process shield for a substrate processing chamber
USD941371S1 (en) * 2020-03-20 2022-01-18 Applied Materials, Inc. Process shield for a substrate processing chamber
USD937329S1 (en) * 2020-03-23 2021-11-30 Applied Materials, Inc. Sputter target for a physical vapor deposition chamber
KR20210124042A (en) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. Thin film forming method
TW202146689A (en) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 Method for forming barrier layer and method for manufacturing semiconductor device
TW202145344A (en) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 Apparatus and methods for selectively etching silcon oxide films
KR20210127087A (en) 2020-04-10 2021-10-21 에이에스엠 아이피 홀딩 비.브이. Gas supplying unit and substrate processing apparatus including the same
KR20210127620A (en) 2020-04-13 2021-10-22 에이에스엠 아이피 홀딩 비.브이. method of forming a nitrogen-containing carbon film and system for performing the method
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210128343A (en) 2020-04-15 2021-10-26 에이에스엠 아이피 홀딩 비.브이. Method of forming chromium nitride layer and structure including the chromium nitride layer
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202140846A (en) 2020-04-17 2021-11-01 荷蘭商Asm Ip私人控股有限公司 Injector, and vertical furnace
KR20210130646A (en) 2020-04-21 2021-11-01 에이에스엠 아이피 홀딩 비.브이. Method for processing a substrate
USD933726S1 (en) * 2020-07-31 2021-10-19 Applied Materials, Inc. Deposition ring for a semiconductor processing chamber
USD947914S1 (en) * 2020-11-23 2022-04-05 Applied Materials, Inc. Base plate for a processing chamber substrate support
USD940765S1 (en) * 2020-12-02 2022-01-11 Applied Materials, Inc. Target profile for a physical vapor deposition chamber target
USD976478S1 (en) * 2021-05-24 2023-01-24 The Urban Electric Company Mounting plate

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
USD796458S1 (en) 2016-01-08 2017-09-05 Asm Ip Holding B.V. Gas flow control plate for semiconductor manufacturing apparatus

Also Published As

Publication number Publication date
USD990441S1 (en) 2023-06-27

Similar Documents

Publication Publication Date Title
TWD206922S (en) Air conditioner
TWD214790S (en) Air mask
TWD213373S (en) Air purifier
TWD213374S (en) Air purifier
TWD222932S (en) Remote control
TWD214630S (en) Air purifier
TWD227576S (en) Gas flow control plate
TWD213372S (en) Air purifier
TWD222060S (en) Diffuser
TWD209741S (en) A bladeless fan
TWD202711S (en) Air conditioner flap
TWD228226S (en) Air conditioner
TWD228225S (en) Air conditioner
TWD221907S (en) Air purifier
TWD217671S (en) Air purifier
TWD220609S (en) Air conditioner
TWD216034S (en) Outdoor unit for air conditioner
TWD228049S (en) Air purifier
TWD225465S (en) Combined humidifier and air purifier
TWD227255S (en) Air purifier
TWD227256S (en) Air purifier
TWD227254S (en) Air purifier
TWD228821S (en) Bladeless fan
TWD208647S (en) Air mask
TWD209814S (en) Air mask