JP5324026B2 - Plasma processing apparatus and plasma processing apparatus control method - Google Patents

Plasma processing apparatus and plasma processing apparatus control method Download PDF

Info

Publication number
JP5324026B2
JP5324026B2 JP2006010144A JP2006010144A JP5324026B2 JP 5324026 B2 JP5324026 B2 JP 5324026B2 JP 2006010144 A JP2006010144 A JP 2006010144A JP 2006010144 A JP2006010144 A JP 2006010144A JP 5324026 B2 JP5324026 B2 JP 5324026B2
Authority
JP
Japan
Prior art keywords
chamber
plasma processing
plasma
baffle plate
mounting table
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2006010144A
Other languages
Japanese (ja)
Other versions
JP2007194361A (en
Inventor
信介 岡
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2006010144A priority Critical patent/JP5324026B2/en
Priority to CNB2006101645216A priority patent/CN100454498C/en
Priority to CNA2008101790740A priority patent/CN101423928A/en
Priority to KR1020070005795A priority patent/KR100854808B1/en
Priority to TW096101932A priority patent/TW200731357A/en
Publication of JP2007194361A publication Critical patent/JP2007194361A/en
Application granted granted Critical
Publication of JP5324026B2 publication Critical patent/JP5324026B2/en
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32633Baffles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder

Abstract

A method for fabricating a semiconductor device is provided to improve the production yield of the semiconductor device by allowing a moving speed of an etchant nozzle to be dependent on a film thickness distribution. An insulating film(11) for forming sidewall insulating films of a gate electrode is deposited on a main surface of a semiconductor wafer(1). Then, the treatment for equalizing the film thickness distribution of the insulating film is performed. In this treatment, the semiconductor wafer is fixed onto a spin stage(32) of an etching apparatus(31) and rotated. An etchant(37) is supplied from an etchant nozzle(36) onto the main surface of the rotated semiconductor wafer while the etchant nozzle is moved from the peripheral side of the main surface of the semiconductor wafer to its central side. The moving speed of the etchant nozzle is controlled depending on the film thickness distribution of the insulating film so that the moving speed in a region with a larger rate of film thickness of the insulating film in a radial direction of the semiconductor wafer is smaller than that in a region with a smaller rate of film thickness.

Description

本発明は,被処理体をプラズマ処理するプラズマ処理装置およびプラズマ処理装置の制御方法に関する。特に,本発明は,チャンバ内壁の被膜の形成に関する。   The present invention relates to a plasma processing apparatus for plasma processing an object to be processed and a control method for the plasma processing apparatus. In particular, the present invention relates to the formation of a coating on the inner wall of the chamber.

従来から,チャンバ内に供給された処理ガスをプラズマ化させて,基板をプラズマ処理する種々のプラズマ処理装置が開発されている。このうち,マイクロ波プラズマCVD装置は,マイクロ波のパワーによって処理ガスを電離および解離させることにより処理ガスをプラズマ化させ,基板上に膜を形成する。   2. Description of the Related Art Various plasma processing apparatuses have been developed in which a processing gas supplied into a chamber is turned into plasma and a substrate is plasma processed. Among these, the microwave plasma CVD apparatus ionizes and dissociates the processing gas with the power of the microwave, thereby converting the processing gas into plasma and forming a film on the substrate.

このプラズマ化の過程では,たとえばSiO2等のSiOx膜を形成する場合,一般に,SiH4ガスが処理ガスとして用いられる。成膜にSiH4ガスを用いた場合,チャンバの内壁等にはSiOx膜が付着する。このSiOx膜は,基板の成膜時に加熱され,ロードロック室へ/から搬送される際に冷却される。このようにして加熱と冷却とが繰り返されると,チャンバ内壁の堆積物とチャンバを構成する部材との熱膨張率の差から堆積物とチャンバ壁部との間に歪みが生じる。この結果,堆積物は,ある程度の厚さになるとチャンバ壁部から剥離し,パーティクルとして基板上に落下し,成膜中の薄膜に混入して膜質を劣化させる。 In this plasma process, for example, when a SiO x film such as SiO 2 is formed, SiH 4 gas is generally used as a processing gas. When SiH 4 gas is used for film formation, a SiO x film adheres to the inner wall of the chamber. This SiO x film is heated when the substrate is formed, and cooled when being transferred to / from the load lock chamber. When heating and cooling are repeated in this manner, distortion occurs between the deposit and the chamber wall due to the difference in thermal expansion coefficient between the deposit on the inner wall of the chamber and the member constituting the chamber. As a result, when the deposit reaches a certain thickness, the deposit peels off from the chamber wall, falls as particles on the substrate, and mixes with the thin film during film formation to deteriorate the film quality.

このようなパーティクルの発生を抑制するために,その堆積物が所定の厚さになるとチャンバをクリーニングし,チャンバ内壁等に付着したSiOx膜を除去する必要がある。このため,マイクロ波プラズマCVD装置は,クリーニング時,成膜時の処理ガスの代わりにクリーニングガスであるフッ素(F)系ガス(たとえばCF)を供給してプラズマを生成する。生成されたプラズマ中のFラジカルは,チャンバの内壁に付着したSiOx膜にアタックする。この結果,SiOx膜のうちSiは,SiFx(SiF,SiF,SiF,SiF4)ガスとなってチャンバ外に排出される。SiOx膜のうち残されたOxは,Cと反応してCOやCOのガスとしてチャンバ外に排出される。 In order to suppress the generation of such particles, it is necessary to clean the chamber when the deposit reaches a predetermined thickness, and to remove the SiO x film adhering to the inner wall of the chamber. For this reason, the microwave plasma CVD apparatus supplies a fluorine (F) -based gas (for example, CF 4 ), which is a cleaning gas, instead of a processing gas at the time of cleaning and generates plasma. F radicals in the generated plasma attack the SiOx film attached to the inner wall of the chamber. As a result, Si in the SiOx film is discharged out of the chamber as SiFx (SiF 1 , SiF 2 , SiF 3 , SiF 4 ) gas. The remaining Ox in the SiOx film reacts with C and is discharged out of the chamber as CO or CO 2 gas.

ところが,このように,プラズマCVD装置のクリーニングにはF系ガスのプラズマが用いられており,しかもチャンバ本体はAl,天井部はAl23にて形成されている。このような状況で,チャンバ内のFイオンがAl23にアタックすると,Al−O間の結合が切れて,部分的にAl−F等の膜が生じる。ここで,Al−Fの結合エネルギーは159kcal/molであり,Al−Oの結合エネルギーが120kcal/molであるAl23と同様に結合状態が安定している。この結果,クリーニングの際,チャンバ本体のAlおよび天井部のAl23がフッ化され,チャンバ内壁や天井部が部分的にAlFになる場合がある。また,クリーニング時に生成されたSiFやFは結合状態が安定しているので,その一部がチャンバ外に排出されず,チャンバ内壁に物理的に吸着する場合もある。 However, as described above, plasma of an F-based gas is used for cleaning the plasma CVD apparatus, and the chamber body is made of Al and the ceiling portion is made of Al 2 O 3 . In such a situation, when F ions in the chamber attack Al 2 O 3 , the bond between Al—O is broken and a film of Al—F or the like is partially generated. Here, the binding energy of Al—F is 159 kcal / mol, and the binding state is stable as in Al 2 O 3 where the binding energy of Al—O is 120 kcal / mol. As a result, during cleaning, Al in the chamber body and Al 2 O 3 in the ceiling portion may be fluorinated, and the chamber inner wall and ceiling portion may partially become AlF. In addition, since the combined state of SiF 4 and F 2 generated during cleaning is stable, some of them may not be discharged out of the chamber and may be physically adsorbed on the inner wall of the chamber.

部分的にフッ化されたAlFは,成膜時のイオンの作用によりAl−F結合が切れることによってFとなり,チャンバ内に放出される場合がある。また,チャンバ内壁に吸着したSiFやFは,吸着エネルギーが小さいので脱離しやすい。これにより,チャンバ内に存在することとなったF系残留物が脱離し,成膜中の薄膜に混入するという問題が生じる。 Partially fluorinated AlF may be released into the chamber as F due to the breakage of the Al-F bond by the action of ions during film formation. In addition, SiF 4 and F 2 adsorbed on the inner wall of the chamber are easily desorbed because the adsorption energy is small. As a result, there arises a problem that the F-based residue existing in the chamber is desorbed and mixed into the thin film being formed.

これに加え,通常,成膜時の製品の歩留まりを上げ,安定的に製品を製造するためには,処理室内へのラジカルの供給,処理室内での薄膜の生成および処理室外へのガスの排気という一連の循環を被処理体を成膜する前に定常状態にしておく必要がある。すなわち,成膜前からプロセス条件を成膜時と同じ条件に設定することにより,プロセス時に生じるラジカルがチャンバ内壁などで消耗されることなく,安定した成膜を行う必要がある。   In addition, in order to increase the yield of products during film formation and to manufacture products stably, the supply of radicals into the processing chamber, the formation of thin films in the processing chamber, and the exhaust of gases outside the processing chamber are usually performed. It is necessary to make a series of circulations in a steady state before depositing the object to be processed. That is, by setting the process conditions to be the same as those at the time of film formation before film formation, it is necessary to perform stable film formation without causing radicals generated during the process to be consumed on the inner wall of the chamber.

以上に説明したように,チャンバ内壁に存在するAl−F等からのFの脱離やチャンバ内壁からのSiFやFの脱離が膜質低下の発生原因となるという問題を解消するとともに,成膜前からプロセス条件を成膜時と同じ条件に設定するという観点から,クリーニング後であって成膜前(すなわち,いわゆるプリコート膜形成時),成膜時に供給されるガスと同じガスをプラズマ化させて,そのプラズマによりチャンバ内壁表面を被膜する(すなわち,いわゆるプリコート膜を形成する)技術が従来から広く知られている(たとえば,特許文献1を参照。)。
特開平11−340149
As described above, the problem that F desorption from Al-F and the like existing on the inner wall of the chamber and desorption of SiF 4 and F 2 from the inner wall of the chamber cause the deterioration of the film quality is solved. From the viewpoint of setting the process conditions to the same as those at the time of film formation before film formation, the same gas as that supplied at the time of film formation after cleaning and before film formation (that is, at the time of so-called precoat film formation) is plasma. Thus, a technique for coating the inner wall surface of the chamber with the plasma (that is, forming a so-called precoat film) has been widely known (see, for example, Patent Document 1).
JP-A-11-340149

ところで,プラズマ処理装置には,一般的に,チャンバ内の成膜に寄与するラジカル(以下,デポラジカルと称呼する。)の流れを好ましい状態に整えるためにバッフル板が設けられている。このバッフル板のコンダクタンスは,成膜時に基板に良好なプラズマ処理を施すために小さく(すなわち,ガスが流れにくく)設定されている。よって,成膜時,処理室と排気室とは,バッフル板により仕切られており,各室の圧力差は大きい(図4の(A:すき間なし)を参照)。これにより,上述したプリコート膜形成時においても,処理室と排気室との圧力差は大きいままとなる。   Incidentally, a baffle plate is generally provided in a plasma processing apparatus in order to adjust the flow of radicals (hereinafter referred to as deposition radicals) that contribute to film formation in a chamber to a preferable state. The conductance of the baffle plate is set to be small (that is, it is difficult for gas to flow) in order to perform good plasma processing on the substrate during film formation. Therefore, at the time of film formation, the processing chamber and the exhaust chamber are partitioned by the baffle plate, and the pressure difference between the chambers is large (see (A: no gap) in FIG. 4). Thereby, even when the precoat film is formed, the pressure difference between the processing chamber and the exhaust chamber remains large.

一方,チャンバの内壁に形成される膜の成膜速度DR(Deposition Rate)は,次の式(1)にて表される。
DR=k×P・・・(1)
ここで,kは比例定数,Pは圧力である。
On the other hand, the deposition rate DR (Deposition Rate) of the film formed on the inner wall of the chamber is expressed by the following equation (1).
DR = k × P (1)
Here, k is a proportionality constant and P is a pressure.

図4(A)によれば,処理室の圧力P1は排気室の圧力P2より高いため,処理室の成膜速度DR1は排気室の成膜速度DR2より速くなる。この結果,処理室の内壁表面に形成されるプリコート膜は排気室の内壁表面に形成されるプリコート膜より厚くなる。   According to FIG. 4A, since the processing chamber pressure P1 is higher than the exhaust chamber pressure P2, the deposition rate DR1 of the processing chamber is faster than the deposition rate DR2 of the exhaust chamber. As a result, the precoat film formed on the inner wall surface of the processing chamber is thicker than the precoat film formed on the inner wall surface of the exhaust chamber.

さらに,実際には,ガスは処理室に供給され,プリコート膜を形成するために処理室にて優先的に使用されるため,排気室側に流れるガス(ラジカル)の残留量は少なくなる。これを考慮すると,処理室と排気室とのプリコート膜の差は式(1)から導かれる理論値よりさらに大きくなると考えられる。   Further, in practice, the gas is supplied to the processing chamber and preferentially used in the processing chamber to form the precoat film, so that the residual amount of gas (radical) flowing to the exhaust chamber side is reduced. Considering this, the difference in the precoat film between the processing chamber and the exhaust chamber is considered to be larger than the theoretical value derived from the equation (1).

この結果,プリコート膜が,処理室の内壁表面にて膜質低下の原因となるF系残留物の脱離が生じない程度の厚さまで形成された時点では,排気室の内壁表面に形成されたプリコート膜はまだ薄い状態であるため,排気室の内壁表面に存在するF系残留物の脱離を抑制できない。この結果,プロセス処理中に排気室で脱離したF系残留物が処理室まで上昇し,膜質を低下させるという問題が生じていた。   As a result, when the precoat film is formed on the inner wall surface of the processing chamber to a thickness that does not cause desorption of F-based residues that cause film quality deterioration, the precoat film formed on the inner wall surface of the exhaust chamber is formed. Since the membrane is still thin, it is not possible to suppress detachment of F-based residues existing on the inner wall surface of the exhaust chamber. As a result, there has been a problem that the F-based residue desorbed in the exhaust chamber during the process treatment rises to the treatment chamber and degrades the film quality.

一方,プリコート膜が,排気室の内壁表面にてF系残留物が脱離しない程度の厚さまで形成された時点では,処理室の内壁表面のプリコート膜は必要以上に厚くなってしまう。この結果,プロセス時にチャンバ内壁に堆積する堆積物の厚さが,膜剥がれの厚さに早く到達するため,チャンバ内をクリーニングするサイクル(間隔)が短くなり,スループットが低下して生産性が下がるという問題が生じていた。   On the other hand, when the precoat film is formed on the inner wall surface of the exhaust chamber to such a thickness that F-based residues are not desorbed, the precoat film on the inner wall surface of the processing chamber becomes thicker than necessary. As a result, the thickness of the deposit that accumulates on the inner wall of the chamber during the process quickly reaches the thickness of the film peeling, resulting in a shorter cycle (interval) for cleaning the chamber, lowering the throughput and lowering the productivity. There was a problem.

上記課題を解消するために,本発明では,チャンバの内壁をより均一な厚さに被膜するプラズマ処理装置およびプラズマ処理装置の制御方法が提供される。   In order to solve the above problems, the present invention provides a plasma processing apparatus and a plasma processing apparatus control method for coating the inner wall of the chamber with a more uniform thickness.

上記課題を解決するために,本発明のある観点によれば,載置台とバッフル板とにより,被処理体に対してプラズマ処理を施す処理室とガスを排気する排気室とに仕切られたチャンバを有するプラズマ処理装置であって,上記載置台を制御する制御装置を備え、上記チャンバの内壁表面にプリコート膜を形成する際に上記処理室の圧力と上記排気室の圧力とが近づくように、上記制御装置で上記バッフル板に対する上記載置台の位置を変えることにより、上記載置台および上記チャンバ側壁間の開口率を変えるプラズマ処理装置が提供される。 In order to solve the above problems, according to an aspect of the present invention, a chamber partitioned by a mounting table and a baffle plate into a processing chamber for performing plasma processing on an object to be processed and an exhaust chamber for exhausting gas. A plasma processing apparatus having a control device for controlling the mounting table, and when forming a precoat film on the inner wall surface of the chamber, the pressure of the processing chamber and the pressure of the exhaust chamber are close to each other. By changing the position of the mounting table relative to the baffle plate with the control device, a plasma processing apparatus is provided that changes the aperture ratio between the mounting table and the chamber side wall.

これによれば,チャンバの内壁表面にプリコート膜を形成する際に上記処理室の圧力と上記排気室の圧力とが近づくように上記載置台または上記バッフル板の少なくともいずれかが制御される。処理室と排気室との圧力差が小さくなると,式(1)から求められる処理室の成膜速度DR1と排気室の成膜速度DR2との差は小さくなる。これにより,処理室内のデポラジカルを排気室内のデポラジカルの状態とほぼ同じ状態にすることができる。この結果,処理室にて形成されるプリコート膜の膜厚と排気室にて形成されるプリコート膜の膜厚をより等しく,かつ,その膜質を均一に成膜することができる。これにより,プリコート膜形成時間を大幅に短縮することができるだけでなく,チャンバ内をクリーニングするまでのサイクルを長くすることができる。この結果,スループットを向上させ生産性を上げることができる。   According to this, at the time of forming the precoat film on the inner wall surface of the chamber, at least one of the mounting table and the baffle plate is controlled so that the pressure in the processing chamber and the pressure in the exhaust chamber are close to each other. When the pressure difference between the processing chamber and the exhaust chamber is reduced, the difference between the deposition rate DR1 of the processing chamber and the deposition rate DR2 of the exhaust chamber obtained from the equation (1) is reduced. As a result, the deposit radical in the processing chamber can be brought into substantially the same state as the deposit radical in the exhaust chamber. As a result, the film thickness of the precoat film formed in the processing chamber and the film thickness of the precoat film formed in the exhaust chamber can be made more equal, and the film quality can be uniformly formed. Thereby, not only can the precoat film formation time be greatly shortened, but also the cycle until the inside of the chamber is cleaned can be lengthened. As a result, throughput can be improved and productivity can be increased.

このように処理室と排気室との圧力差が小さくなるように載置台を制御する一例としては,上記載置台を昇降させる昇降機構を備え、バッフル板を上記チャンバの内壁に固定し,プリコート膜形成時の載置台およびチャンバ側壁間の開口率が,プロセス時の上記開口率より大きくなるように上記制御装置で上記載置台を昇降させる方法が挙げられる。 As an example of controlling the mounting table so as to reduce the pressure difference between the processing chamber and the exhaust chamber in this way, an elevating mechanism for raising and lowering the mounting table is provided, a baffle plate is fixed to the inner wall of the chamber, and a precoat film There is a method of raising and lowering the mounting table by the control device so that the opening ratio between the mounting table and the chamber side wall at the time of formation is larger than the opening ratio at the time of the process.

これによれば,上記載置台と上記バッフル板との間隔は,プリコート膜形成時とプロセス時とで異なるように調節される。すなわち,プロセス時には,載置台とバッフル板との間隔が小さくなるように載置台を昇降させる。これにより,処理室は,プロセス条件に合致した圧力に保持される。この結果,デポラジカルが処理室内に閉じ込められるため,成膜速度が速く均一性の高い成膜を被処理体に施すことができる。一方,プリコート膜形成時には,載置台とバッフル板との間隔を空けるように載置台を昇降させる。これにより,ガスが処理室から排気室へ流れやすくなって,処理室と排気室との圧力差は小さくなる。この結果,処理室内のデポラジカルを排気室内のデポラジカルの状態とほぼ同じ状態にすることができる。この結果,処理室のプリコート膜および排気室のプリコート膜の膜厚をより等しく,かつ,その膜質を均一に成膜することができる。   According to this, the distance between the mounting table and the baffle plate is adjusted to be different between the precoat film formation and the process. That is, during the process, the mounting table is moved up and down so that the distance between the mounting table and the baffle plate is reduced. As a result, the processing chamber is maintained at a pressure that matches the process conditions. As a result, deposition radicals are confined in the processing chamber, so that the film forming speed is high and the film can be formed with high uniformity. On the other hand, when the precoat film is formed, the mounting table is moved up and down so as to leave a space between the mounting table and the baffle plate. As a result, gas easily flows from the processing chamber to the exhaust chamber, and the pressure difference between the processing chamber and the exhaust chamber is reduced. As a result, the deposition radicals in the processing chamber can be brought into substantially the same state as the deposition radicals in the exhaust chamber. As a result, the film thicknesses of the precoat film in the processing chamber and the precoat film in the exhaust chamber can be made equal, and the film quality can be uniformly formed.

また,処理室と排気室との圧力差が小さくなるように載置台を制御する他の一例としては,上記載置台を昇降させる昇降機構を備え、バッフル板を上記チャンバまたは上記載置台のいずれかに着脱可能に固定し,被処理体をプラズマ処理する際には上記制御装置で上記載置台を昇降させる間に上記バッフル板を上記載置台に固定し,上記チャンバの内壁表面にプリコート膜を形成する際には上記制御装置で上記載置台を昇降させる間に上記バッフル板を上記チャンバに固定することにより,上記チャンバの内壁表面にプリコート膜を形成する際の上記開口率が被処理体をプラズマ処理する際の上記開口率より大きくなるように上記載置台と上記バッフル板との間隔を調節する方法が挙げられる。 In addition, as another example of controlling the mounting table so that the pressure difference between the processing chamber and the exhaust chamber is reduced, the mounting table is provided with a lifting mechanism for moving the mounting table up and down , and the baffle plate is attached to either the chamber or the mounting table. forming a pre-coating film to removably fixed, when the plasma processing a workpiece is fixed to the baffle plate to the mounting table while make lifting the mounting table in the control device, the inner wall surface of the chamber by fixing the baffle plate while causing lifting the mounting table in the chamber in the control device when the plasma the aperture ratio is the object to be processed in forming the pre-coat layer on the inner wall surface of the chamber The method of adjusting the space | interval of the said mounting base and the said baffle board so that it may become larger than the said aperture ratio at the time of processing is mentioned.

これによれば,載置台とバッフル板との位置関係がプラズマ処理の精度に影響を及ぼすことを考慮して,プロセス時には,バッフル板を載置台側に固定させて載置台とともに上昇させることにより,バッフル板をプロセス処理に最適な位置まで移動させることができる。つまり,バッフル板によりラジカルを処理室内により有効に閉じ込めることにより,被処理体への成膜速度を速め,かつ,被処理体に均一な膜を形成することができる。一方,プリコート膜形成時には,バッフル板をチャンバ側に固定させて載置台とバッフル板との間隔を空けることにより,処理室内のデポラジカルを排気室内のデポラジカルの状態とほぼ同じ状態にすることにより,処理室と排気室との成膜速度差を小さくし,これにより,処理室および排気室のプリコート膜の膜厚をより等しく,かつ,その膜質を均一に成膜することができる。   According to this, in consideration of the fact that the positional relationship between the mounting table and the baffle plate affects the accuracy of the plasma processing, the baffle plate is fixed to the mounting table side and raised together with the mounting table during the process. The baffle plate can be moved to the optimum position for processing. That is, by effectively confining radicals in the processing chamber by the baffle plate, it is possible to increase the deposition rate on the object to be processed and to form a uniform film on the object to be processed. On the other hand, when the precoat film is formed, the baffle plate is fixed to the chamber side and the space between the mounting table and the baffle plate is kept, so that the depot radicals in the processing chamber are almost the same as the depo radicals in the exhaust chamber. The difference in film formation rate between the chamber and the exhaust chamber can be reduced, so that the film thicknesses of the precoat films in the processing chamber and the exhaust chamber can be made equal and the film quality can be uniformly formed.

また,本発明の他の観点によれば、載置台とバッフル板とにより,被処理体に対してプラズマ処理を施す処理室とガスを排気する排気室とに仕切られたチャンバを有するプラズマ処理装置であって,上記バッフル板は,1または2以上の貫通孔とその貫通孔の開度を調節する開閉機構とを有し,上記開閉機構を制御する制御装置を備え、上記チャンバの内壁表面にプリコート膜を形成する際に上記処理室の圧力と上記排気室の圧力とが近づくように、上記制御装置で上記バッフル板の開閉機構を制御することにより,上記チャンバの内壁表面にプリコート膜を形成する際の上記貫通孔の開口率が被処理体をプラズマ処理する際の上記開口率より大きくなるように上記1または2以上の貫通孔の開度を調節するプラズマ処理装置が提供される。According to another aspect of the present invention, a plasma processing apparatus having a chamber partitioned by a mounting table and a baffle plate into a processing chamber for performing plasma processing on an object to be processed and an exhaust chamber for exhausting gas. The baffle plate has one or more through holes and an opening / closing mechanism for adjusting the opening degree of the through holes, and includes a control device for controlling the opening / closing mechanism, and is provided on the inner wall surface of the chamber. The precoat film is formed on the inner wall surface of the chamber by controlling the opening / closing mechanism of the baffle plate with the control device so that the pressure in the processing chamber and the pressure in the exhaust chamber approach each other when forming the precoat film. There is provided a plasma processing apparatus that adjusts the opening degree of the one or more through holes so that the aperture ratio of the through holes when performing the treatment is larger than the aperture ratio when performing plasma processing on the workpiece.

これによれば,プロセス時には,バッフル板の貫通孔の開度が小さくなるように開閉機構が制御される。これにより,処理室をプロセス条件に合致した圧力に保持し,処理室内にデポラジカルを閉じ込め,これにより,成膜速度が速く,かつ,均一な膜を形成することができる。一方,プリコート膜形成時には,バッフル板に設けられた貫通孔の開度が大きくなるように開閉機構が制御される。これにより,処理室と排気室との圧力差が小さくなり,処理室内のデポラジカルを排気室内のデポラジカルの状態とほぼ同じ状態にすることができる。この結果,処理室と排気室との成膜速度差が小さくなり,処理室および排気室のプリコート膜の膜厚をより等しく,かつ,その膜質を均一に成膜することができる。   According to this, during the process, the opening / closing mechanism is controlled so that the opening degree of the through hole of the baffle plate is reduced. As a result, the processing chamber is maintained at a pressure that matches the process conditions, and the deposition radicals are confined in the processing chamber, whereby a uniform film can be formed at a high film formation speed. On the other hand, when the precoat film is formed, the opening / closing mechanism is controlled so that the opening degree of the through hole provided in the baffle plate is increased. As a result, the pressure difference between the processing chamber and the exhaust chamber is reduced, and the deposit radicals in the processing chamber can be brought into substantially the same state as the deposit radicals in the exhaust chamber. As a result, the difference in film formation rate between the processing chamber and the exhaust chamber is reduced, the film thicknesses of the precoat films in the processing chamber and the exhaust chamber can be made equal, and the film quality can be uniformly formed.

また,本発明の他の観点によれば,載置台とバッフル板とにより、被処理体に対してプラズマ処理を施す処理室とガスを排気する排気室とに仕切られたチャンバを有するプラズマ処理装置であって、上記チャンバの外部に、上記チャンバの内壁表面へのプリコート膜の形成を促進するラジカルを生成するラジカル生成装置を備え、上記排気室と上記ラジカル生成装置を連結する搬送管を設け、上記チャンバをクリーニングした後、上記ラジカルを、上記搬送管を介して上記排気室に供給するように制御する制御装置を備えるプラズマ処理装置。 According to another aspect of the present invention, a plasma processing apparatus having a chamber partitioned by a mounting table and a baffle plate into a processing chamber for performing plasma processing on an object to be processed and an exhaust chamber for exhausting gas. And the outside of the chamber is provided with a radical generator for generating radicals that promote the formation of a precoat film on the inner wall surface of the chamber, and a transport pipe for connecting the exhaust chamber and the radical generator is provided, A plasma processing apparatus comprising: a control device that controls the radical to be supplied to the exhaust chamber through the transfer pipe after the chamber is cleaned.

一般的に,プリコート膜を形成するためのガスは処理室に供給され,生成されたプラズマ中のデポラジカルは処理室にてプリコート膜を形成するために優先的に使用される。この結果,排気室に流れるガス(デポラジカル)残留量は少なくなる。しかし,本発明では,クリーニング後,別途,排気室にデポラジカルが供給される。これにより,排気室でのプリコート膜の形成が促進される。この結果,処理室および排気室のプリコート膜をほぼ同一な膜厚で,かつ,より均一な膜質に成膜することができる。   In general, a gas for forming a precoat film is supplied to a processing chamber, and the generated deposit radicals in the plasma are preferentially used for forming the precoat film in the processing chamber. As a result, the amount of gas (depot radical) remaining in the exhaust chamber is reduced. However, in the present invention, after the cleaning, the deposit radical is separately supplied to the exhaust chamber. This promotes the formation of the precoat film in the exhaust chamber. As a result, the precoat films in the processing chamber and the exhaust chamber can be formed with substantially the same film thickness and more uniform film quality.

また、上記ラジカル生成装置は、誘電体により形成された処理容器を備えるリモートプラズマであり、上記制御装置によって、上記処理容器に供給されたガスをプラズマ化することにより上記ラジカルが生成されるように制御されてもよい。また,上記制御装置によって、被処理体にプラズマ処理を施す際に供給されるガスと同一のガスを上記リモートプラズマに供給することにより上記ラジカルが生成されるように制御されてもよいAlso, the radical generator is a remote plasma comprising a processing chamber formed by a dielectric, by the control device, so that the radicals are produced by plasma gas supplied to the processing container It may be controlled . Further, by the control device may be controlled such that the radicals are produced by the same gas and gas supplied when performing the plasma treatment to the object to be processed is supplied to the remote plasma.

これによれば,たとえば,プロセスがCVD(Chemical Vapor Deposition:化学蒸着薄膜成膜法)処理の場合,プリコート膜形成時に供給されるガスは,プロセス時に供給されるガスと同一となる。これにより,プリコート膜は基板上に形成される膜と同一膜となる。これによると,成膜前からプロセス条件を成膜時と同じ条件に設定することができる。この結果,プロセス時に生じるラジカルがチャンバ内壁などで消耗されることがないため,より安定した良質な成膜が可能となる。   According to this, for example, when the process is a CVD (Chemical Vapor Deposition) process, the gas supplied when forming the precoat film is the same as the gas supplied during the process. Thereby, the precoat film becomes the same film as the film formed on the substrate. According to this, the process conditions can be set to the same conditions as during film formation before film formation. As a result, radicals generated during the process are not consumed on the inner wall of the chamber, so that stable and high-quality film formation is possible.

上記プラズマ処理装置は、上記制御装置が、スロットに通して誘電体を透過したマイクロ波により上記チャンバ内に供給された処理ガスをプラズマ化させて、被処理体にプラズマ処理を施すように制御するマイクロ波プラズマ処理装置であってもよい。 The plasma processing apparatus, the control apparatus, the microwaves transmitted through the dielectric through a slot by plasma processing gas supplied into the said chamber, is controlled to perform a plasma process on a target object A microwave plasma processing apparatus may be used.

さらに,そのマイクロ波プラズマ処理装置の誘電体は,複数枚の誘電体パーツから構成され、各誘電体パーツには、1または2以上のスロットが設けられ、上記制御装置が、上記1または2以上のスロットに通して各誘電体パーツをそれぞれ透過したマイクロ波によりチャンバ内に供給された処理ガスをプラズマ化させて、被処理体にプラズマ処理を施すように制御してもよい。


Further, the dielectric of the microwave plasma processing apparatus is composed of a plurality of dielectric parts, and each dielectric part is provided with one or two or more slots, and the control device is provided with the one or two or more slots. The processing gas supplied into the chamber may be turned into plasma by microwaves that have passed through the slots and passed through the dielectric parts, and the object to be processed may be controlled to be subjected to plasma processing .


これによれば,各誘電体パーツにスロットがそれぞれ設けられており,しかも従来に比べ,各誘電体パーツの面積は著しく小さくなるため,マイクロ波を各誘電体パーツに透過させることにより各誘電体パーツの表面にて表面波を均一に伝播させることができる。この結果,プロセスウィンドウを広くすることができるとともにプラズマ処理を精度よく安定して行うことができる。また,誘電体窓を小型化,軽量化された各誘電体パーツにより構成することができるため,マイクロ波プラズマ処理装置を容易かつ低コストで製造することができるとともに被処理体の大面積化に対してフレキシブルに対応することができる。   According to this, each dielectric part is provided with a slot, and the area of each dielectric part is significantly smaller than in the prior art. Therefore, by transmitting microwaves to each dielectric part, Surface waves can be propagated uniformly on the surface of the part. As a result, the process window can be widened and plasma processing can be performed accurately and stably. In addition, because the dielectric window can be configured with each dielectric part reduced in size and weight, a microwave plasma processing apparatus can be manufactured easily and at low cost, and the area to be processed can be increased. On the other hand, it can respond flexibly.

また,本発明の他の観点によれば,載置台とバッフル板とにより,被処理体に対してプラズマ処理を施す処理室とガスを排気する排気室とに仕切られたチャンバを有するプラズマ処理装置の制御方法であって,被処理体をプラズマ処理する際,第1の位置まで上記載置台を昇降し,上記チャンバのクリーニング時および上記チャンバの内壁表面へのプリコート膜形成時に,プリコート膜を形成する際の上記載置台と上記バッフル板との間隔を、被処理体をプラズマ処理する際の上記載置台と上記バッフル板との間隔より大きくするための第2の位置まで上記載置台を昇降するプラズマ処理装置の制御方法が提供される。 According to another aspect of the present invention, a plasma processing apparatus having a chamber partitioned by a mounting table and a baffle plate into a processing chamber for performing plasma processing on an object to be processed and an exhaust chamber for exhausting gas. a control method, when performing plasma processing on a processing target, and lifting the on the mounting table to the first position, when the pre-coating film formed on the inner wall surface of the cleaning time and the chambers of the chamber, forming a pre-coating film The upper table is moved up and down to a second position for making the interval between the upper table and the baffle plate larger than the distance between the upper table and the baffle plate when the object to be processed is plasma-treated. A method for controlling a plasma processing apparatus is provided.

これによれば,プロセス時には,載置台を所定の位置まで昇降させることにより,処理室をプロセス条件に合致した圧力に保持し,処理室内にデポラジカルを閉じ込め,これにより,成膜速度が速く,かつ,均一な膜を形成することができる。一方,チャンバのクリーニング時またはクリーニング後には,載置台を昇降することにより載置台とバッフル板とに間隔を空けることにより,処理室と排気室との圧力差を小さくすることができる。これにより,処理室内のデポラジカルを排気室内のデポラジカルの状態とほぼ同じ状態にして,処理室と排気室との成膜速度差を小さくすることによって,処理室および排気室のプリコート膜の膜厚をより等しく,かつ,その膜質を均一に成膜することができる。この結果,プリコート膜形成時間を大幅に短縮することができるだけでなく,チャンバ内をクリーニングするまでのサイクルを長くすることができる。この結果,スループットを向上させ生産性を上げることができる。   According to this, at the time of the process, by raising and lowering the mounting table to a predetermined position, the processing chamber is maintained at a pressure that matches the process conditions, and the deposition radicals are confined in the processing chamber. , A uniform film can be formed. On the other hand, when the chamber is cleaned or after cleaning, the pressure difference between the processing chamber and the exhaust chamber can be reduced by raising and lowering the mounting table to provide a space between the mounting table and the baffle plate. As a result, the film thickness of the precoat film in the processing chamber and the exhaust chamber is reduced by making the deposition radical in the processing chamber substantially the same as the state of the deposition radical in the exhaust chamber and reducing the difference in film formation rate between the processing chamber and the exhaust chamber. More uniform and uniform film quality can be formed. As a result, not only can the precoat film formation time be significantly shortened, but also the cycle until the chamber is cleaned can be lengthened. As a result, throughput can be improved and productivity can be increased.

また,本発明の他の観点によれば,1または2以上の貫通孔およびその貫通孔を開閉する開閉機構を有するバッフル板と載置台とにより被処理体に対してプラズマ処理を施す処理室とガスを排気する排気室とに仕切られたチャンバを有するプラズマ処理装置の制御方法であって,被処理体をプラズマ処理する際,第1の位置まで上記開閉機構を摺動し,上記チャンバのクリーニング時および上記チャンバの内壁表面へのプリコート膜形成時に,プリコート膜を形成する際の上記貫通孔の開度を、被処理体をプラズマ処理する際の上記貫通孔の開度より大きくするための第2の位置まで上記開閉機構を摺動するプラズマ処理装置の制御方法が提供される。 According to another aspect of the present invention, there is provided a processing chamber for performing plasma processing on an object to be processed by a baffle plate having one or more through holes and an opening / closing mechanism for opening and closing the through holes and a mounting table; a method for controlling a plasma processing apparatus having a chamber which is partitioned into an exhaust chamber for exhausting gas, when plasma processing a workpiece, and sliding the opening and closing mechanism to the first position, the cleaning of the chamber When the precoat film is formed on the inner wall surface of the chamber and when the precoat film is formed, the opening of the through hole is larger than the opening of the through hole when the object to be processed is plasma-treated . A method of controlling a plasma processing apparatus that slides the opening / closing mechanism to position 2 is provided.

これによれば,プロセス時には,バッフル板の貫通孔の開度が小さくなるように開閉機構を制御することにより,処理室をプロセス条件に合致した圧力に保持し,処理室内にデポラジカルを閉じ込め,これにより,成膜速度が速く,かつ,均一な膜を形成することができる。一方,プリコート膜形成時には,バッフル板に設けられた貫通孔の開度が大きくなるように開閉機構を制御することにより,処理室と排気室との圧力差を小さくして処理室内のデポラジカルを排気室内のデポラジカルの状態とほぼ同じ状態にし,各室の成膜速度差を小さくすることによって,処理室および排気室のプリコート膜の膜厚をより等しく,かつ,その膜質を均一に成膜することができる。   According to this, during the process, the opening and closing mechanism is controlled so that the opening of the through hole of the baffle plate is reduced, so that the processing chamber is maintained at a pressure that matches the process conditions, and the depot radicals are confined in the processing chamber. Therefore, a uniform film can be formed with a high film formation speed. On the other hand, when the precoat film is formed, the opening / closing mechanism is controlled so that the opening of the through hole provided in the baffle plate is increased, thereby reducing the pressure difference between the processing chamber and the exhaust chamber and exhausting the depot radicals in the processing chamber. The film thickness of the precoat film in the processing chamber and the exhaust chamber can be made equal and the film quality can be made uniform by reducing the difference in the film formation rate between the chambers and reducing the difference in the film formation rate between the chambers. Can do.

また,本発明の他の観点によれば,載置台とバッフル板とにより,被処理体に対してプラズマ処理を施す処理室とガスを排気する排気室とに仕切られたチャンバを有するプラズマ処理装置の制御方法であって,上記チャンバをクリーニングした後,上記チャンバの内壁表面へのプリコート膜の形成を促進するラジカルを上記排気室に供給するプラズマ処理装置の制御方法が提供される。 According to another aspect of the present invention, a plasma processing apparatus having a chamber partitioned by a mounting table and a baffle plate into a processing chamber for performing plasma processing on an object to be processed and an exhaust chamber for exhausting gas. And a control method for a plasma processing apparatus for supplying radicals for promoting the formation of a precoat film on the inner wall surface of the chamber to the exhaust chamber after the chamber is cleaned.

これによれば,クリーニング後に排気室に供給されたラジカルにより,排気室でのプリコート膜の形成が促進される。これにより,処理室および排気室のプリコート膜をより均一な膜質でほぼ同一な厚さに形成することができる。   According to this, formation of a precoat film in the exhaust chamber is promoted by radicals supplied to the exhaust chamber after cleaning. As a result, the precoat films of the processing chamber and the exhaust chamber can be formed with a more uniform film quality and substantially the same thickness.

以上説明したように,本発明によれば,チャンバの内壁表面をより均一な厚さに被膜するプラズマ処理装置およびそのプラズマ処理装置の制御方法を提供することができる。   As described above, according to the present invention, it is possible to provide a plasma processing apparatus that coats the inner wall surface of the chamber with a more uniform thickness and a control method for the plasma processing apparatus.

以下に添付図面を参照しながら,本発明の好適な実施の形態について詳細に説明する。なお,本明細書及び図面において,実質的に同一の機能構成を有する構成要素については,同一の符号を付することにより重複説明を省略する。   Hereinafter, preferred embodiments of the present invention will be described in detail with reference to the accompanying drawings. In the present specification and drawings, components having substantially the same functional configuration are denoted by the same reference numerals, and redundant description is omitted.

また,本明細書中1mTorrは(10−3×101325/760)Pa,1sccmは(10−6/60)m/secとする。 In this specification, 1 mTorr is (10 −3 × 101325/760) Pa, and 1 sccm is (10 −6 / 60) m 3 / sec.

(第1実施形態)
(マイクロ波プラズマ処理装置の構成)
まず,本発明の第1実施形態にかかるマイクロ波プラズマ処理装置の構成について,本装置を縦方向(y軸に垂直な方向)に切断した断面図である図1,および,この装置の処理室の天井面を示した図2を参照しながら説明する。また,以下の説明では,本実施形態にかかるマイクロ波プラズマ処理装置を用いたゲート酸化膜形成プロセスを例に挙げて説明する。
(First embodiment)
(Configuration of microwave plasma processing equipment)
First, regarding the configuration of the microwave plasma processing apparatus according to the first embodiment of the present invention, FIG. 1, which is a cross-sectional view of the apparatus cut in the longitudinal direction (direction perpendicular to the y-axis), and the processing chamber of the apparatus 2 will be described with reference to FIG. In the following description, a gate oxide film forming process using the microwave plasma processing apparatus according to the present embodiment will be described as an example.

マイクロ波プラズマ処理装置100は,チャンバ10と蓋体20とからなる筐体を有している。チャンバ10は,その上部が開口された有底立方体直方体形状を有していて,接地されている。チャンバ10は,たとえば,アルミニウム(Al)などの金属から形成されている。   The microwave plasma processing apparatus 100 has a housing composed of a chamber 10 and a lid 20. The chamber 10 has a bottomed cubic rectangular parallelepiped shape whose upper part is opened, and is grounded. The chamber 10 is made of, for example, a metal such as aluminum (Al).

チャンバ10の内部には,略中央にて,基板Gなどの被処理体を載置するサセプタ11(載置台)が設けられている。サセプタ11は,たとえば,窒化アルミニウムから形成されている。   Inside the chamber 10, a susceptor 11 (mounting table) on which an object to be processed such as a substrate G is mounted is provided at substantially the center. The susceptor 11 is made of aluminum nitride, for example.

サセプタ11の内部には,給電部11aおよびヒータ11bが設けられている。給電部11aには,整合器12a(たとえば,コンデンサ)を介して高周波電源12bが接続されている。また,給電部11aには,コイル13aを介して高圧直流電源13bが接続されている。整合器12a,高周波電源12b,コイル13aおよび高圧直流電源13bは,チャンバ10の外部に設けられていて,高周波電源12bおよび高圧直流電源13bは,接地されている。   Inside the susceptor 11, a power feeding unit 11a and a heater 11b are provided. A high frequency power supply 12b is connected to the power supply unit 11a via a matching unit 12a (for example, a capacitor). In addition, a high-voltage DC power supply 13b is connected to the power supply unit 11a via a coil 13a. The matching unit 12a, the high frequency power source 12b, the coil 13a, and the high voltage DC power source 13b are provided outside the chamber 10, and the high frequency power source 12b and the high voltage DC power source 13b are grounded.

給電部11aは,高周波電源12bから出力された高周波電力によりチャンバ10の内部に所定のバイアス電圧を印加するようになっている。また,給電部11aは,高圧直流電源13bから出力された直流電圧により基板Gを静電吸着するようになっている。   The power feeding unit 11a applies a predetermined bias voltage to the inside of the chamber 10 by the high frequency power output from the high frequency power source 12b. The power feeding unit 11a electrostatically attracts the substrate G with a DC voltage output from the high-voltage DC power supply 13b.

ヒータ11bには,チャンバ10の外部に設けられた交流電源14が接続されていて,交流電源14から出力された交流電圧により基板Gを所定の温度に保持するようになっている。   An AC power supply 14 provided outside the chamber 10 is connected to the heater 11b, and the substrate G is held at a predetermined temperature by an AC voltage output from the AC power supply 14.

チャンバ10の底面は筒状に開口され,開口された外周近傍にてベローズ15の一端がチャンバ10の外部壁面に装着されている。ベローズ15の他端には,昇降プレート16が固着されている。このようにして,チャンバ10底面の開口部分は,ベローズ15および昇降プレート16により密閉されている。   The bottom surface of the chamber 10 is opened in a cylindrical shape, and one end of the bellows 15 is attached to the outer wall surface of the chamber 10 in the vicinity of the opened outer periphery. A lifting plate 16 is fixed to the other end of the bellows 15. In this way, the opening at the bottom of the chamber 10 is sealed by the bellows 15 and the lifting plate 16.

また,サセプタ11は,昇降プレート16上に配置された筒体17に支持されていて,電動モータ16aから出力される駆動力により昇降プレート16上および筒体17とともに一体的に昇降する。このようにして,電動モータ16aは,サセプタ11を所望の高さに調整するようになっている。   The susceptor 11 is supported by a cylinder 17 disposed on the elevating plate 16 and moves up and down integrally with the elevating plate 16 and the cylinder 17 by a driving force output from the electric motor 16a. In this manner, the electric motor 16a adjusts the susceptor 11 to a desired height.

サセプタ11の周囲には,チャンバ10内のガスの流れを好ましい状態に制御するためのバッフル板18が設けられている。チャンバ10の内部は,サセプタ11およびバッフル板18により,基板Gをプラズマ処理する処理室10uとガスを排気する排気室10dとに仕切られている。また,チャンバ10の内壁側部には,略中央にてサセプタ11側に突出した受け具18aが設けられている。バッフル板18は,その下面外周縁にて受け具18aに支持されることにより,チャンバ10の内壁側部に固定されている。   A baffle plate 18 is provided around the susceptor 11 to control the gas flow in the chamber 10 to a preferable state. The interior of the chamber 10 is partitioned by a susceptor 11 and a baffle plate 18 into a processing chamber 10u for plasma processing the substrate G and an exhaust chamber 10d for exhausting gas. Further, on the inner wall side portion of the chamber 10, a receiving tool 18 a that protrudes toward the susceptor 11 at a substantially center is provided. The baffle plate 18 is fixed to the inner wall side portion of the chamber 10 by being supported by the receiving member 18a at the outer peripheral edge of the lower surface thereof.

チャンバ10には,排気機構19として,ドライポンプ19a,APC(自動圧力調整器:Automatic Pressure Control)19bおよびTMP(ターボモレキュラポンプ:Turbo Molecular Pump)19cが設けられている。   The chamber 10 is provided with a dry pump 19a, an APC (Automatic Pressure Control) 19b, and a TMP (Turbo Molecular Pump) 19c as the exhaust mechanism 19.

ドライポンプ19aは,所定のバルブを開閉させて,チャンバ10内が所定の減圧状態になるまでガスを粗引きした後,バルブの開閉を切り替えて,TMP19cの背圧を減少させている。APC19bには,排気室10dとTMP19cとの連通状態を制御する弁体が設けられていて,処理室10u内の圧力P1の変化に応じてAPC19bの弁体をスライドさせることにより,排気室10dとTMP19cとの連通部分を所望の開度にするようになっている。これにより,APC19bの弁体の開度に応じて,チャンバ10内の雰囲気が所定の真空度まで減圧される。   The dry pump 19a opens and closes a predetermined valve to roughen the gas until the inside of the chamber 10 reaches a predetermined reduced pressure state, and then switches between opening and closing the valve to reduce the back pressure of the TMP 19c. The APC 19b is provided with a valve body that controls the communication state between the exhaust chamber 10d and the TMP 19c. By sliding the valve body of the APC 19b in accordance with the change in the pressure P1 in the processing chamber 10u, the APC 19b The communication portion with the TMP 19c has a desired opening. Thereby, the atmosphere in the chamber 10 is depressurized to a predetermined degree of vacuum according to the opening degree of the valve body of the APC 19b.

蓋体20は,チャンバ10の上方を密閉するように配設されている。蓋体20は,チャンバ10と同様に,たとえば,アルミニウム(Al)などの非磁性体である金属から形成されている。蓋体20には,蓋本体21,導波管22a〜導波管22f,スロットアンテナ23a〜スロットアンテナ23f,誘電体パーツ24a〜誘電体パーツ24fから構成される誘電体,および,梁25が設けられている。   The lid 20 is disposed so as to seal the upper part of the chamber 10. Similar to the chamber 10, the lid 20 is made of a metal that is a nonmagnetic material such as aluminum (Al). The lid 20 is provided with a lid main body 21, a waveguide 22a to a waveguide 22f, a slot antenna 23a to a slot antenna 23f, a dielectric composed of a dielectric part 24a to a dielectric part 24f, and a beam 25. It has been.

チャンバ10と蓋体20とは,蓋本体21の下面外周部とチャンバ10の上面外周部との間に配置されたOリング26により固定され,これにより,チャンバ内の気密性が保持されている。   The chamber 10 and the lid body 20 are fixed by an O-ring 26 disposed between the lower surface outer peripheral portion of the lid main body 21 and the upper surface outer peripheral portion of the chamber 10, thereby maintaining the airtightness in the chamber. .

蓋本体21の下面に形成されている導波管22a〜導波管22fは,図2に示したように,y軸方向に互いに平行に並列して配置されている。導波管22aおよび導波管22b,導波管22cおよび導波管22d,導波管22eおよび導波管22fには,その端部にて平面視でV字状の分岐導波管27a,分岐導波管27b,分岐導波管27cがそれぞれ接続されている。各分岐導波管27にはマイクロ波発生器28が接続されている。   As shown in FIG. 2, the waveguides 22a to 22f formed on the lower surface of the lid main body 21 are arranged in parallel with each other in the y-axis direction. The waveguide 22a and the waveguide 22b, the waveguide 22c and the waveguide 22d, the waveguide 22e and the waveguide 22f include a branched waveguide 27a having a V-shape in plan view at the ends thereof. A branch waveguide 27b and a branch waveguide 27c are connected to each other. A microwave generator 28 is connected to each branch waveguide 27.

各導波管22は,それぞれの軸方向に垂直な断面が矩形状である矩形導波管により形成されている。たとえば,TE10モード(TE波:transverse electric wave:磁界がマイクロ波の進行方向成分を持つ波)の場合,各導波管22の軸方向に垂直な断面の長辺方向の管壁は磁界に平行なH面となり,短辺方向の管壁は電界に平行なE面となる。各導波管の長辺方向と短辺方向とをどのように配置するかは,モード(導波管内の電磁界分布)によって変化する。各導波管22および各分岐導波管27の内部は,たとえば,アルミナ(酸化アルミニウム:Al),石英,フッ素樹脂などの誘電部材によって充填されている。その誘電部材により,λg=λc/(εε1/2の式に従って各導波管22の管内波長λgが制御される。ここで,λcは自由空間の波長,εは誘電部材の誘電率である。 Each waveguide 22 is formed of a rectangular waveguide whose cross section perpendicular to the axial direction is rectangular. For example, in the TE10 mode (TE wave: transverse electric wave), the long-side tube wall of the cross section perpendicular to the axial direction of each waveguide 22 is parallel to the magnetic field. The tube wall in the short side direction becomes an E surface parallel to the electric field. The arrangement of the long side direction and the short side direction of each waveguide varies depending on the mode (electromagnetic field distribution in the waveguide). The inside of each waveguide 22 and each branch waveguide 27 is filled with a dielectric member such as alumina (aluminum oxide: Al 2 O 3 ), quartz, fluorine resin, or the like. The dielectric member controls the in-tube wavelength λg 1 of each waveguide 22 according to the formula λg 1 = λc / (εε 1 ) 1/2 . Here, λc is the wavelength in free space, and ε 1 is the dielectric constant of the dielectric member.

図1に示したように,スロットアンテナ23a〜スロットアンテナ23fは,導波管22a〜導波管22fの底面にそれぞれ設けられている。各スロットアンテナ23には,図2に示したように,13個のスロット23aが透孔としてそれぞれ設けられている。   As shown in FIG. 1, the slot antenna 23a to the slot antenna 23f are provided on the bottom surfaces of the waveguides 22a to 22f, respectively. Each slot antenna 23 is provided with thirteen slots 23a as through holes, as shown in FIG.

各スロットアンテナ23のスロット23aは,たとえば,λg/2の等間隔に配置されている。このようにして,78個(=13×6)のスロット23aが,チャンバ10の天井部に配置される。   The slots 23a of the slot antennas 23 are arranged at equal intervals of λg / 2, for example. In this way, 78 (= 13 × 6) slots 23 a are arranged on the ceiling of the chamber 10.

スロットアンテナ23の下面には,長方形の平板状をなす39枚の誘電体パーツ24が配設されている。各誘電体パーツ24は,マイクロ波を透過するように,たとえば,石英ガラス,窒化アルミニウム(AlN),アルミナ(酸化アルミニウム:Al),サファイア,SiN,セラミックスなどから形成されている。 On the lower surface of the slot antenna 23, 39 dielectric parts 24 having a rectangular flat plate shape are arranged. Each dielectric part 24 is made of, for example, quartz glass, aluminum nitride (AlN), alumina (aluminum oxide: Al 2 O 3 ), sapphire, SiN, ceramics, or the like so as to transmit microwaves.

図2に示したように,梁25は格子状に形成され,スロットアンテナ23の下面にて39枚の誘電体パーツ24を支持する。梁25は,アルミニウムなどの非磁性体である金属からなる導体であり,図1に示したスロットアンテナ23,蓋本体21およびチャンバ10を介して接地されている。各梁25の内部には,複数のガス導入管29が貫通していて,ガス導入管29先端の噴射孔30(図2参照)から処理ガスが噴射される。   As shown in FIG. 2, the beam 25 is formed in a lattice shape and supports 39 dielectric parts 24 on the lower surface of the slot antenna 23. The beam 25 is a conductor made of a metal that is a non-magnetic material such as aluminum, and is grounded via the slot antenna 23, the lid body 21, and the chamber 10 shown in FIG. 1. A plurality of gas introduction pipes 29 penetrate each beam 25, and the processing gas is injected from the injection hole 30 (see FIG. 2) at the tip of the gas introduction pipe 29.

図1の処理ガス供給源31は,バルブ(バルブ31a1,バルブ31a3,バルブ31b1,バルブ31b3,バルブ31b5,バルブ31b7,バルブ31c1,バルブ31c3),マスフローコントローラ(マスフローコントローラ31a2,マスフローコントローラ31b2,マスフローコントローラ31b6,マスフローコントローラ31c2)およびガス供給源(Oガス供給源31a4,SiHおよびガス供給源31b4,Arガス供給源31b8,CFガス供給源31c4)から構成されている。 1 includes a valve (valve 31a1, valve 31a3, valve 31b1, valve 31b3, valve 31b5, valve 31b7, valve 31c1, valve 31c3), mass flow controller (mass flow controller 31a2, mass flow controller 31b2, mass flow controller). 31b6, mass flow controller 31c2) and gas supply sources (O 2 gas supply source 31a4, SiH 4 and gas supply source 31b4, Ar gas supply source 31b8, CF 4 gas supply source 31c4).

処理ガス供給源31は,各バルブの開閉を制御することにより,各処理ガスを選択的にチャンバ10内に供給するようになっている。また,各マスフローコントローラは,それぞれが供給する処理ガスの流量を制御することにより処理ガスを所望の濃度に調整するようになっている。   The processing gas supply source 31 selectively supplies each processing gas into the chamber 10 by controlling opening and closing of each valve. Each mass flow controller adjusts the processing gas to a desired concentration by controlling the flow rate of the processing gas supplied by each mass flow controller.

たとえば,プロセス時には,Oガスが,Oガス供給源31a4から供給され,ガス流路32aを通って処理室10uに噴射される。また,SiHガスおよびArガスが,SiHガス供給源31b4およびArガス供給源31b8からそれぞれ供給され,ガス流路32bを通って処理室10uに噴射される。 For example, during the process, O 2 gas is supplied from the O 2 gas supply source 31a4 and injected into the processing chamber 10u through the gas flow path 32a. Further, SiH 4 gas and Ar gas are respectively supplied from the SiH 4 gas supply source 31b4 and the Ar gas supply source 31b8, and are injected into the processing chamber 10u through the gas flow path 32b.

また,たとえば,クリーニング時には,OガスおよびCFガスが,Oガス供給源31a4およびCFガス供給源31c4からそれぞれ供給され,ガス流路32aを通って処理室10uに噴射される。 Further, for example, during cleaning, O 2 gas and CF 4 gas are supplied from the O 2 gas supply source 31a4 and the CF 4 gas supply source 31c4, respectively, and are injected into the processing chamber 10u through the gas flow path 32a.

マイクロ波プラズマ処理装置100の外部には,リモートプラズマ35が設置されている。リモートプラズマ35は,処理容器35a,コイル35b,高周波電源35c,容量Cおよび搬送管35dを有していて,チャンバ10内をクリーニングするときに用いられる。   A remote plasma 35 is installed outside the microwave plasma processing apparatus 100. The remote plasma 35 has a processing vessel 35a, a coil 35b, a high frequency power source 35c, a capacity C, and a transfer pipe 35d, and is used when the inside of the chamber 10 is cleaned.

処理容器35aは,中空の管状部材から構成され,誘電体により形成されている。処理容器35aの外周には,コイル35bが螺旋状に巻きつけられている。コイル35bには,その一端にて高周波電源35cが接続されていて,その他端は接地されている。高周波電源35cには,直流成分を絶縁するための容量Cが接続されている。   The processing container 35a is composed of a hollow tubular member and is formed of a dielectric. A coil 35b is spirally wound around the outer periphery of the processing container 35a. A high frequency power source 35c is connected to the coil 35b at one end, and the other end is grounded. A capacitor C for insulating the DC component is connected to the high frequency power supply 35c.

処理容器35aには,クリーニングガスとして,たとえば,CFガス,OガスおよびArガスが処理ガス供給源31から供給される。クリーニングガスの他の例として,NFガスおよびArガスが供給されてもよい。高周波電源35cから出力された高周波電力がコイル35bに印加されると,コイル35bの周りに高周波磁界が生じる。この磁界の時間的変化により誘導された誘導電界によって処理容器35a内にてクリーニングガスがプラズマ化される。このようにして生成された誘導結合プラズマ(ICP:Inductively coupled plasma)中,ラジカルのライフタイムは長い。この結果,活性なFラジカルのみが搬送管35dを介して処理室10uに供給される。 For example, CF 4 gas, O 2 gas, and Ar gas are supplied from the processing gas supply source 31 to the processing container 35 a as the cleaning gas. As another example of the cleaning gas, NF 3 gas and Ar gas may be supplied. When the high frequency power output from the high frequency power supply 35c is applied to the coil 35b, a high frequency magnetic field is generated around the coil 35b. The cleaning gas is turned into plasma in the processing container 35a by the induced electric field induced by the temporal change of the magnetic field. The lifetime of radicals is long in the inductively coupled plasma (ICP) thus generated. As a result, only active F radicals are supplied to the processing chamber 10u through the transfer pipe 35d.

また,マイクロ波プラズマ処理装置100の外部には,冷却水供給源33が配設されている。冷却水供給源33は,蓋本体21の内部に設けられた水路34に冷却水を循環供給することにより,蓋本体21の内部を冷却するようになっている。   A cooling water supply source 33 is disposed outside the microwave plasma processing apparatus 100. The cooling water supply source 33 cools the inside of the lid body 21 by circulating and supplying cooling water to a water channel 34 provided inside the lid body 21.

さらに,マイクロ波プラズマ処理装置100の外部には,コントローラ40が設けられている。コントローラ40は,所定のタイミングに電動モータ16aおよびAPC19bにそれぞれ駆動信号を出力するようになっている。コントローラ40に接続された第1の圧力センサ41は,処理室10uに設けられ,処理室10uの圧力P1を検出する。同様に,コントローラ40に接続された第2の圧力センサ42は,排気室10dに設けられ,排気室10dの圧力P2を検出するようになっている。   Furthermore, a controller 40 is provided outside the microwave plasma processing apparatus 100. The controller 40 outputs drive signals to the electric motor 16a and the APC 19b at predetermined timings. The first pressure sensor 41 connected to the controller 40 is provided in the processing chamber 10u and detects the pressure P1 in the processing chamber 10u. Similarly, a second pressure sensor 42 connected to the controller 40 is provided in the exhaust chamber 10d and detects the pressure P2 in the exhaust chamber 10d.

このような構成により,図2に示したマイクロ波発生器28から出力されたマイクロ波は,各導波管22を伝播し,各スロットを通って各誘電体パーツ24を透過して処理室10u内に入射される。このようにして処理室10u内に入射されたマイクロ波の電界エネルギーにより,処理ガス供給源31から供給された成膜ガスがプラズマ化され,基板Gにゲート酸化膜が形成される。また,複数枚の基板Gに成膜処理が施されることにより,チャンバ内壁面に堆積した反応生成物が予め定められた厚さになると,処理ガス供給源31およびリモートプラズマ35は,F系ガスをクリーニングガスとして供給し,クリーニングガスから生成されたプラズマ中のFラジカルの作用によってチャンバ内壁がクリーニングされる。クリーニング後,再び処理ガス供給源31から成膜ガスが供給され,ゲート酸化膜と同一のプリコート膜が成膜時と同じプロセス条件にてチャンバ内壁に形成される。プリコート膜がある程度の厚さになると,再び基板Gを搬入し,成膜処理が再開される。   With such a configuration, the microwave output from the microwave generator 28 shown in FIG. 2 propagates through each waveguide 22, passes through each dielectric part 24 through each slot, and passes through each dielectric part 24. Is incident on the inside. In this way, the film forming gas supplied from the processing gas supply source 31 is turned into plasma by the electric field energy of the microwave incident into the processing chamber 10 u, and a gate oxide film is formed on the substrate G. When the reaction product deposited on the inner wall surface of the chamber reaches a predetermined thickness by performing the film forming process on the plurality of substrates G, the processing gas supply source 31 and the remote plasma 35 are connected to the F system. Gas is supplied as a cleaning gas, and the inner wall of the chamber is cleaned by the action of F radicals in the plasma generated from the cleaning gas. After cleaning, the film forming gas is supplied again from the processing gas supply source 31, and the same precoat film as the gate oxide film is formed on the inner wall of the chamber under the same process conditions as in the film formation. When the precoat film reaches a certain thickness, the substrate G is loaded again, and the film forming process is resumed.

(サセプタ11の昇降動作)
つぎに,以上に説明した(1)成膜(ゲート酸化膜形成),(2)クリーニング,(3)F系ガス低減膜形成(プリコート膜形成)時の各工程における,図3に示したサセプタ11の昇降動作について,発明者らが実際に実験した結果を示しながら説明する。
(Raising and lowering of susceptor 11)
Next, the susceptor shown in FIG. 3 in each step of (1) film formation (gate oxide film formation), (2) cleaning, and (3) F-based gas reduction film formation (precoat film formation) described above. 11 will be described with reference to the results of actual experiments by the inventors.

発明者らが実験時に各工程にて設定したプロセス条件はつぎのとおりである。
(1)成膜(ゲート酸化膜形成)時のプロセス条件
このときのプロセス条件は,処理室10uの圧力が200mTorr,マイクロ波のパワーが2.55kW×3(3つのマイクロ波発生器28を使用)であった。また,ガス種は,Arガス,SiHガスおよびOガスを用い,そのガス量はArガス1500sccm,SiHガス150sccm,Oガス950sccmであった。また,基板Gの温度を300℃とした。また,基板Gと誘電体パーツ24との距離は166mmであった。
The process conditions set by the inventors in each step during the experiment are as follows.
(1) Process conditions at the time of film formation (gate oxide film formation) The process conditions at this time are as follows: the pressure in the processing chamber 10u is 200 mTorr, the power of the microwave is 2.55 kW × 3 (three microwave generators 28 are used) )Met. Further, Ar gas, SiH 4 gas, and O 2 gas were used as gas types, and the gas amounts were Ar gas 1500 sccm, SiH 4 gas 150 sccm, and O 2 gas 950 sccm. The temperature of the substrate G was 300 ° C. The distance between the substrate G and the dielectric part 24 was 166 mm.

(2)クリーニング時のプロセス条件
上記説明では,クリーニングガスとしてCFガス,OガスおよびArガスを例に挙げたが,実験時には,F系ガスとしてNFガスおよびArガスを用いた。そのガス量はArガス1000sccm,NFガス1000sccmであった。また,処理室10uの圧力が2Torr,高周波電源35cからの出力が10.8kWであった。また,基板Gと誘電体パーツ24との距離は194mmであった。
(2) Process conditions at the time of cleaning In the above description, CF 4 gas, O 2 gas, and Ar gas are given as examples of the cleaning gas, but NF 3 gas and Ar gas were used as the F-based gas during the experiment. The gas amounts were 1000 sccm for Ar gas and 1000 sccm for NF 3 gas. The pressure in the processing chamber 10u was 2 Torr, and the output from the high frequency power supply 35c was 10.8 kW. The distance between the substrate G and the dielectric part 24 was 194 mm.

(3)プリコート膜形成時のプロセス条件は,成膜時と同一の条件とした。   (3) The process conditions for forming the precoat film were the same as those for forming the film.

(1)成膜
ゲート酸化膜を形成するプロセスが開始される前に,コントローラ40は,サセプタ11をプロセス条件に定められた所定の高さに昇降させるための駆動信号を電動モータ16aに送信する。その駆動信号に対応して電動モータ16aから出力された動力により,サセプタ11は所定の高さまで上昇する(図3上)。
(1) Film Formation Before the process for forming the gate oxide film is started, the controller 40 transmits a drive signal for raising and lowering the susceptor 11 to a predetermined height defined in the process conditions to the electric motor 16a. . The susceptor 11 is raised to a predetermined height by the power output from the electric motor 16a in response to the drive signal (upper side in FIG. 3).

この状態で,コントローラ40が,成膜ガスを供給させるために駆動信号を処理ガス供給源31に送信することにより,処理ガス供給源31は,Arガス,SiHガスおよびOガスを処理室10u内に供給する。これらの成膜ガスは,マイクロ波によりプラズマ化される。 In this state, the controller 40 transmits a driving signal to the processing gas supply source 31 to supply the film forming gas, so that the processing gas supply source 31 supplies Ar gas, SiH 4 gas, and O 2 gas to the processing chamber. Supply in 10u. These film-forming gases are turned into plasma by microwaves.

サセプタ11が所定の高さまで上昇した状態では,サセプタ11とバッフル板18との間隔はほとんどない。また,APC19bは,その弁体を開くように制御されている。これにより,処理室10uをプロセス条件に合致した圧力(50mTorr〜500mTorr程度)に保つことができる。この結果,成膜ガスから生成されたプラズマ中のデポラジカルが処理室10u内に閉じ込められることにより,成膜速度が速く,均一性の高い成膜を基板Gに施すことができる。   When the susceptor 11 is raised to a predetermined height, there is almost no gap between the susceptor 11 and the baffle plate 18. The APC 19b is controlled so as to open its valve body. Thereby, the processing chamber 10u can be maintained at a pressure (about 50 mTorr to about 500 mTorr) that matches the process conditions. As a result, the deposition radicals generated from the film forming gas are confined in the processing chamber 10u, so that the film forming speed is high and film formation with high uniformity can be performed on the substrate G.

(2)クリーニング
多数の基板G上にゲート酸化膜をそれぞれ形成する処理を繰り返すことによりチャンバ内壁に堆積した反応生成物が所定の厚さになると,チャンバ内はクリーニングされる。その際,コントローラ40は,サセプタ11とバッフル板18との間隔を空けるための駆動信号を電動モータ16aに送信する。その駆動信号に対応して電動モータ16aから出力される動力により,サセプタ11は所定の高さまで下降する(図3下)。この状態では,サセプタ11とバッフル板18との間に所定の間隔(隙間S)が生じる。このため,ガスは,処理室10uから排気室10dへ流れやすくなり,処理室10uの圧力P1と排気室10dの圧力P2との差は小さくなる。
(2) Cleaning When the reaction product deposited on the inner wall of the chamber reaches a predetermined thickness by repeating the process of forming gate oxide films on a large number of substrates G, the inside of the chamber is cleaned. At that time, the controller 40 transmits a drive signal for separating the susceptor 11 and the baffle plate 18 to the electric motor 16a. The susceptor 11 is lowered to a predetermined height by the power output from the electric motor 16a in response to the drive signal (lower side in FIG. 3). In this state, a predetermined interval (gap S) is generated between the susceptor 11 and the baffle plate 18. For this reason, the gas easily flows from the processing chamber 10u to the exhaust chamber 10d, and the difference between the pressure P1 in the processing chamber 10u and the pressure P2 in the exhaust chamber 10d becomes small.

たとえば,成膜時,図4の(A)線にて示したように,処理室10uの圧力P1が500mTorrのとき,排気室10dの圧力P2は250mTorrであり,処理室10uの圧力P1が,排気室10dの圧力P2より高いことがわかる。   For example, during film formation, as shown by the line (A) in FIG. 4, when the pressure P1 in the processing chamber 10u is 500 mTorr, the pressure P2 in the exhaust chamber 10d is 250 mTorr, and the pressure P1 in the processing chamber 10u is It can be seen that the pressure is higher than the pressure P2 in the exhaust chamber 10d.

一方,クリーニング時,隙間Sが1cmになるようにサセプタ11を降下させたとき,図4の(B)線にて示したように,処理室10uの圧力P1が500mTorrのとき,排気室10dの圧力P2は480mTorrであり,処理室10uの圧力P1と排気室10dの圧力P2とは非常に小さくなったことがわかる。   On the other hand, at the time of cleaning, when the susceptor 11 is lowered so that the gap S becomes 1 cm, as shown by the line (B) in FIG. 4, when the pressure P1 in the processing chamber 10u is 500 mTorr, The pressure P2 is 480 mTorr, and it can be seen that the pressure P1 in the processing chamber 10u and the pressure P2 in the exhaust chamber 10d are very small.

この状態で,コントローラ40が,クリーニングガスを供給させるために駆動信号を処理ガス供給源31に送信することにより,処理ガス供給源31は,NFガスおよびArガスを処理室10u内に供給する。これらのクリーニングガスは,マイクロ波によりプラズマ化される。 In this state, the controller 40 transmits a drive signal to the processing gas supply source 31 to supply the cleaning gas, so that the processing gas supply source 31 supplies NF 3 gas and Ar gas into the processing chamber 10u. . These cleaning gases are turned into plasma by microwaves.

また,上記駆動信号により,処理ガス供給源31は,NFガスおよびArガスをリモートプラズマ35に供給する。リモートプラズマ35は,これらのクリーニングガスをプラズマ化し,排気室10d内にFラジカルを供給させる。具体的には,クリーニングガス(NF,Ar)が処理容器35aに供給され,高周波電源35cの高周波電力がコイル35bに印加される。これにより,ガスは,コイル35bの周りに生じた高周波の磁界から誘導される高周波の電界によりプラズマ化され,プラズマ中のFラジカルのみが,そのライフタイムの長さから搬送管35dを最後まで伝わってチャンバ内に供給される。供給されたFラジカルは,チャンバの内壁に付着したSiOx膜にアタックし,SiFx(SiF,SiF,SiF,SiF4)ガスとなってチャンバ外に排出される。また,残されたOxは,処理室10uに供給されたNFガスのうちのNと反応して,NOやNOなどのガスとなってチャンバ外に排出される。 Further, the processing gas supply source 31 supplies NF 3 gas and Ar gas to the remote plasma 35 by the drive signal. The remote plasma 35 converts these cleaning gases into plasma and supplies F radicals into the exhaust chamber 10d. Specifically, the cleaning gas (NF 3 , Ar) is supplied to the processing container 35a, and the high frequency power of the high frequency power source 35c is applied to the coil 35b. As a result, the gas is turned into a plasma by a high-frequency electric field derived from a high-frequency magnetic field generated around the coil 35b, and only the F radicals in the plasma are transmitted through the transport pipe 35d from the length of the lifetime to the end. Supplied into the chamber. The supplied F radicals attack the SiOx film attached to the inner wall of the chamber and are discharged out of the chamber as SiFx (SiF 1 , SiF 2 , SiF 3 , SiF 4 ) gas. Further, the remaining Ox reacts with N of the NF 3 gas supplied to the processing chamber 10u to become a gas such as NO or NO 2 and is discharged out of the chamber.

上述したように,クリーニング時には,サセプタ11が下方に位置することにより,ガスが処理室10uから排気室10dへ流れやすくなり,処理室10uの圧力P1と排気室10dの圧力P2との差は小さくなっている。上記式(1)によれば,各室の圧力差を小さくし,処理室10uのFラジカルを排気室10dのFラジカルとほぼ同一状態にすることにより,各室のクリーニング速度の差をなくすことができる。これにより,SiFxガスやNO,NOなどのガスの生成速度は,処理室10uと排気室10dとでほぼ等しくなる。この結果,処理室10uおよび排気室10dの内壁は,より均等にクリーニングされるとともに,そのクリーニング時間を大幅に短縮することができる。 As described above, during cleaning, the susceptor 11 is positioned below, so that gas easily flows from the processing chamber 10u to the exhaust chamber 10d, and the difference between the pressure P1 in the processing chamber 10u and the pressure P2 in the exhaust chamber 10d is small. It has become. According to the above formula (1), the difference in cleaning speed between the chambers is eliminated by reducing the pressure difference between the chambers and making the F radicals in the processing chamber 10u substantially the same as the F radicals in the exhaust chamber 10d. Can do. As a result, the generation rates of gases such as SiFx gas, NO, and NO 2 are substantially equal in the processing chamber 10u and the exhaust chamber 10d. As a result, the inner walls of the processing chamber 10u and the exhaust chamber 10d are more evenly cleaned and the cleaning time can be greatly shortened.

ところが,このように,マイクロ波プラズマ処理装置100のクリーニングにはF系ガスのプラズマが用いられており,しかもチャンバ本体はAl,天井部はAl23にて形成されている。このような状況で,FイオンがAl23にアタックすると,Al−O間の結合が切れて,部分的にAl−F等の膜が生じる。また,Al−Fの結合エネルギーは159kcal/molであり,Al−Oの結合エネルギーは120kcal/molであるAl23と同様に結合状態が安定している。この結果,クリーニングの際,チャンバ本体のAlおよび天井部のAl23がフッ化され,チャンバ内壁や天井部が部分的にAlFになる場合がある。 However, as described above, the plasma of the F-based gas is used for cleaning the microwave plasma processing apparatus 100, and the chamber body is made of Al and the ceiling is made of Al 2 O 3 . In such a situation, when F ions attack Al 2 O 3 , the bond between Al—O is broken and a film of Al—F or the like is partially generated. Further, the binding state of Al—F is 159 kcal / mol, and the binding state of Al—O is stable like Al 2 O 3 whose binding energy is 120 kcal / mol. As a result, during cleaning, Al in the chamber body and Al 2 O 3 in the ceiling portion may be fluorinated, and the chamber inner wall and ceiling portion may partially become AlF.

また,クリーニング時に生成されたSiFやFは結合状態が安定しているので,その一部がチャンバの外に排出されず,チャンバ内壁に物理的に吸着する場合もある。このようにして吸着したSiFやFは,吸着エネルギーが小さいので脱離しやすい。また,上述したようにチャンバ内壁にて部分的にフッ化されたAlFは,成膜時のイオンによりAl−F結合が切れることによってFとなり,チャンバ内に放出される。このようにしてチャンバ内に存在することとなったF系残留物が脱離し,成膜中の薄膜に混入するという問題が生じる。 Further, since the combined state of SiF 4 and F 2 generated at the time of cleaning is stable, some of them may not be discharged out of the chamber and physically adsorbed on the inner wall of the chamber. SiF 4 and F 2 adsorbed in this way are easily desorbed because of their low adsorption energy. Further, as described above, AlF partially fluorinated on the inner wall of the chamber becomes F when the Al—F bond is broken by ions during film formation, and is released into the chamber. In this way, there arises a problem that the F residue remaining in the chamber is desorbed and mixed into the thin film being formed.

これに加え,通常,成膜時の製品の歩留まりを上げ,安定的に製品を製造するために,被処理体を成膜する前に,チャンバ10内へのラジカルの供給,チャンバ10内での薄膜の生成およびチャンバ10外へのガスの排気という一連の循環を定常状態にする必要がある。すなわち,成膜前からチャンバ内のプロセス条件を成膜時と同じ条件に設定することにより,プロセス時に生じるラジカルがチャンバ内壁などで消耗されることなく,安定した成膜を行う必要がある。   In addition to this, in order to increase the yield of the product during film formation and to manufacture the product stably, the supply of radicals into the chamber 10 before the film is formed, A series of circulations of forming a thin film and exhausting gas out of the chamber 10 needs to be in a steady state. That is, by setting the process conditions in the chamber to the same conditions as during film formation before film formation, it is necessary to perform stable film formation without radicals generated during the process being consumed on the inner wall of the chamber.

以上に説明したように,チャンバ内壁に存在するAl−F等からのFの脱離やチャンバ内壁からのSiFやFの脱離が膜質低下の発生原因となるという問題を解消するとともに,成膜前からプロセス条件を成膜時と同じ条件に設定するという観点から,クリーニング後であって成膜前(プリコート膜形成時)に,成膜時に供給されるガスと同じガスをプラズマ化させて,そのプラズマによりチャンバ内壁表面を被膜する(すなわち,いわゆるプリコート膜を形成する)。このプリコート膜形成時におけるサセプタ11の昇降動作についてつぎに説明する。 As described above, the problem that F desorption from Al-F and the like existing on the inner wall of the chamber and desorption of SiF 4 and F 2 from the inner wall of the chamber cause the deterioration of the film quality is solved. From the viewpoint of setting the process conditions to the same as those at the time of film formation before film formation, the same gas as that supplied at the time of film formation is converted to plasma after cleaning and before film formation (at the time of precoat film formation). Then, the surface of the inner wall of the chamber is coated with the plasma (that is, a so-called precoat film is formed). Next, the raising / lowering operation of the susceptor 11 during the formation of the precoat film will be described.

(3)プリコート膜形成
プリコート膜形成時,チャンバの内壁表面をプロセス処理時と同じSiO膜(ゲート酸化膜)で被膜する。このとき,サセプタ11とバッフル板18との間には所定の間隔(隙間S)が生じたままである。このため,チャンバ内では,ガスが処理室10uから排気室10dへ流れやすくなっている状態が保たれており,処理室10uの圧力P1と排気室10dの圧力P2との差は小さいままである。
(3) Precoat film formation When the precoat film is formed, the inner wall surface of the chamber is coated with the same SiO 2 film (gate oxide film) as in the process. At this time, a predetermined interval (gap S) remains between the susceptor 11 and the baffle plate 18. For this reason, in the chamber, the state where the gas easily flows from the processing chamber 10u to the exhaust chamber 10d is maintained, and the difference between the pressure P1 of the processing chamber 10u and the pressure P2 of the exhaust chamber 10d remains small. .

この状態で,コントローラ40が,プリコート膜形成のためのガスを供給させるために駆動信号を処理ガス供給源31に送信することにより,処理ガス供給源31は,再び,成膜ガスと同じガスであるArガス,SiHガスおよびOガスを処理室10u内に供給する。これらの成膜ガスは,マイクロ波によりプラズマ化される。 In this state, the controller 40 transmits a drive signal to the processing gas supply source 31 in order to supply a gas for forming the precoat film, so that the processing gas supply source 31 again uses the same gas as the film forming gas. Ar gas, SiH 4 gas, and O 2 gas are supplied into the processing chamber 10u. These film-forming gases are turned into plasma by microwaves.

上述したように,上記式(1)によれば,各室の圧力差を小さくし,処理室10uのデポラジカルを排気室10dのデポラジカルとほぼ同じ状態にすることにより,各室の成膜速度の差をなくすことができる。この結果,処理室10uおよび排気室10dのプリコート膜の膜厚をより等しく,かつ,その膜質を均一に成膜することができるとともに,そのプリコート膜を所定の厚さまで形成する時間を大幅に短縮することができる。   As described above, according to the above formula (1), the pressure difference between the chambers is reduced, and the deposition radicals in the processing chamber 10u are made substantially the same as the deposition radicals in the exhaust chamber 10d. The difference can be eliminated. As a result, the film thicknesses of the precoat films in the processing chamber 10u and the exhaust chamber 10d can be made equal and the film quality can be uniformly formed, and the time for forming the precoat film to a predetermined thickness is greatly reduced. can do.

このように,本実施形態では,クリーニング時およびプリコート膜形成時には,サセプタ11とバッフル板18との間に隙間Sが設けられる。これにより,チャンバ内壁を,より均等にクリーニングすることができるとともに,プリコート膜の膜厚をより等しく,かつ,その膜質を均一に形成することができる。この結果,クリーニング時間およびプリコート膜形成時間を大幅に短縮して,スループットを向上させ生産性を上げることができる。   Thus, in the present embodiment, the gap S is provided between the susceptor 11 and the baffle plate 18 during cleaning and precoat film formation. As a result, the inner wall of the chamber can be cleaned more evenly, the film thickness of the precoat film can be made equal, and the film quality can be formed uniformly. As a result, the cleaning time and the precoat film forming time can be greatly shortened, the throughput can be improved, and the productivity can be increased.

また,成膜時には,バッフル板18とサセプタ11との間に隙間がほとんど生じないようにバッフル板18を上昇させる。この結果,処理室10uのデポラジカルの分布を均一にすることができるので,基板Gに良質なゲート酸化膜を形成することができる。   Further, at the time of film formation, the baffle plate 18 is raised so that there is almost no gap between the baffle plate 18 and the susceptor 11. As a result, since the distribution of the deposit radicals in the processing chamber 10u can be made uniform, a high-quality gate oxide film can be formed on the substrate G.

(第1実施形態の変形例1)
つぎに,第1実施形態の変形例1にかかるマイクロ波プラズマ処理装置100の構成および動作について,図5を参照しながら説明する。この装置では,バッフル板18を支持する受け具がサセプタ11の側壁部にも配設されていて,バッフル板18がチャンバ10の内壁側部またはサセプタの側壁部のいずれかに着脱可能に固定される点で,バッフル板18がチャンバ10の内壁側部に固定される第1実施形態のマイクロ波プラズマ処理装置と相異する。よって,この相違点を中心に説明する。
(Modification 1 of the first embodiment)
Next, the configuration and operation of the microwave plasma processing apparatus 100 according to Modification 1 of the first embodiment will be described with reference to FIG. In this apparatus, a support for supporting the baffle plate 18 is also disposed on the side wall portion of the susceptor 11, and the baffle plate 18 is detachably fixed to either the inner wall side portion of the chamber 10 or the side wall portion of the susceptor. This is different from the microwave plasma processing apparatus of the first embodiment in which the baffle plate 18 is fixed to the inner wall side portion of the chamber 10. Therefore, this difference will be mainly described.

チャンバ10の内壁側部には,略中央にてサセプタ11側に突出した受け具18aが取り付けられている。また,サセプタ11の側面略中央にもチャンバ10の側壁側に突出した受け具18bが取り付けられている。バッフル板18は,サセプタ11の高さによってチャンバ10またはサセプタ11のいずれかに着脱可能に固定されるようになっている。   On the inner wall side portion of the chamber 10, a receiving tool 18a that protrudes toward the susceptor 11 at a substantially central position is attached. In addition, a support 18 b that protrudes toward the side wall of the chamber 10 is also attached to substantially the center of the side surface of the susceptor 11. The baffle plate 18 is detachably fixed to either the chamber 10 or the susceptor 11 depending on the height of the susceptor 11.

つぎに,本変形例の場合におけるサセプタ11の昇降動作を説明する。
(1)成膜
成膜の際,コントローラ40は,電動モータ16aに駆動信号を送信し,この駆動信号に応じて電動モータ16aが動作することによりサセプタ11は所定の高さまで上昇する。上昇している間に,バッフル板18は,その下面内周縁にて受け具18bに支持されることによりサセプタ11の側壁に固定され,サセプタ11とともに所定の高さまで上昇する(図5上)。
Next, the raising / lowering operation of the susceptor 11 in the case of this modification will be described.
(1) Film Formation During film formation, the controller 40 transmits a drive signal to the electric motor 16a, and the susceptor 11 is raised to a predetermined height by the operation of the electric motor 16a according to the drive signal. While rising, the baffle plate 18 is fixed to the side wall of the susceptor 11 by being supported by the receiving member 18b at the inner peripheral edge of the lower surface, and rises to a predetermined height together with the susceptor 11 (upper side in FIG. 5).

この状態では,サセプタ11とバッフル板18との間隔はほとんどない。よって,処理室10uの圧力P1は,プロセス条件に合致した状態に保持される。この結果,デポラジカルが処理室内に閉じ込められるため,成膜速度が速く,かつ,均一性の高いSiO膜が基板Gに形成される。 In this state, there is almost no gap between the susceptor 11 and the baffle plate 18. Therefore, the pressure P1 in the processing chamber 10u is maintained in a state that matches the process conditions. As a result, since the deposition radical is confined in the processing chamber, a highly uniform SiO 2 film is formed on the substrate G at a high deposition rate.

(2)クリーニング
チャンバ内壁に堆積した反応生成物が所定の厚さになると,コントローラ40は,電動モータ16aに駆動信号を送信し,電動モータ16aがこの駆動信号に応じて動作することによりサセプタ11は所定の高さまで下降する(図5下)。下降している間に,サセプタ11に固定されていたバッフル板18がチャンバ10側の受け具18aが設けられた高さまで下降すると,バッフル板18は,その下面外周縁にて受け具18aに係合する。その後,サセプタ11がさらに下降すると,バッフル板18は,サセプタ11側の受け具18bを離れ,チャンバ10の内壁側部の受け具18aに固定され,サセプタ11のみが所定の高さまで下降する。
(2) Cleaning When the reaction product deposited on the inner wall of the chamber reaches a predetermined thickness, the controller 40 transmits a drive signal to the electric motor 16a, and the electric motor 16a operates in accordance with this drive signal, whereby the susceptor 11 Descends to a predetermined height (bottom of FIG. 5). When the baffle plate 18 fixed to the susceptor 11 is lowered to the height at which the receiving device 18a on the chamber 10 side is provided while descending, the baffle plate 18 is engaged with the receiving device 18a at the outer peripheral edge of the lower surface. Match. Thereafter, when the susceptor 11 is further lowered, the baffle plate 18 leaves the support 18b on the susceptor 11 side, is fixed to the support 18a on the inner wall side portion of the chamber 10, and only the susceptor 11 is lowered to a predetermined height.

この状態で,クリーニングガスが供給されると,サセプタ11とバッフル板18との間に所定の間隔(隙間S)が生じているため,処理室10uの圧力P1と排気室10dの圧力P2との差は小さくなり,処理室10uのデポラジカルを排気室10dのデポラジカルとほぼ同一状態にすることにより,各室のクリーニング速度の差をなくすことができる。これにより,SiFxガスやNO,NOなどのガスの生成速度は,処理室10uと排気室10dとでほぼ等しくなる。この結果,第1実施形態の場合と同様に処理室10uおよび排気室10dの内壁は,より均等にクリーニングされるとともに,そのクリーニング時間を大幅に短縮することができる。 In this state, when the cleaning gas is supplied, a predetermined interval (gap S) is generated between the susceptor 11 and the baffle plate 18, so that the pressure P1 in the processing chamber 10 u and the pressure P2 in the exhaust chamber 10 d are reduced. The difference is reduced, and the difference in cleaning speed between the chambers can be eliminated by making the deposition radicals in the processing chamber 10u substantially the same as the deposition radicals in the exhaust chamber 10d. As a result, the generation rates of gases such as SiFx gas, NO, and NO 2 are substantially equal in the processing chamber 10u and the exhaust chamber 10d. As a result, as in the case of the first embodiment, the inner walls of the processing chamber 10u and the exhaust chamber 10d are more evenly cleaned, and the cleaning time can be greatly shortened.

(3)プリコート膜形成
プリコート膜形成の際,サセプタ11の高さはそのままの状態なので,処理室10uの圧力P1と排気室10dの圧力P2との差は小さいままである。この状態で,第1実施形態の場合と同様にプリコート膜形成のためのガスが供給されると,生成されたデポラジカルは処理室10uと排気室10dとでほぼ同じ状態となり,各室の成膜速度の差はほとんどなくなる。この結果,処理室10uおよび排気室10dのプリコート膜の膜厚をより等しく,かつ,その膜質を均一に成膜することができるとともに,そのプリコート膜を所定の厚さまで形成する時間を大幅に短縮することができる。
(3) Precoat film formation Since the height of the susceptor 11 remains unchanged when the precoat film is formed, the difference between the pressure P1 in the processing chamber 10u and the pressure P2 in the exhaust chamber 10d remains small. In this state, as in the case of the first embodiment, when the gas for forming the precoat film is supplied, the generated deposit radicals are substantially the same in the processing chamber 10u and the exhaust chamber 10d, and the film formation in each chamber is performed. Almost no difference in speed. As a result, the film thicknesses of the precoat films in the processing chamber 10u and the exhaust chamber 10d can be made equal and the film quality can be uniformly formed, and the time for forming the precoat film to a predetermined thickness is greatly reduced. can do.

このように,本変形例では,クリーニング時およびプリコート膜形成時には,バッフル板18をチャンバ壁面側に固定する。このようにして,サセプタ11とバッフル板18との間に隙間Sを設け,デポラジカルの状態をほぼ同じにすることにより,チャンバ内壁をより均等にクリーニングすることができるとともに,プリコート膜の膜厚をより等しく,かつ,その膜質を均一に形成することができる。この結果,クリーニング時間およびプリコート膜形成時間を大幅に短縮することができる。この結果,スループットを向上させ生産性を上げることができる。   As described above, in this modification, the baffle plate 18 is fixed to the chamber wall surface side during cleaning and precoat film formation. In this way, by providing the gap S between the susceptor 11 and the baffle plate 18 and making the state of the deposition radicals substantially the same, the inner wall of the chamber can be more evenly cleaned and the film thickness of the precoat film can be reduced. More uniform and uniform film quality can be formed. As a result, the cleaning time and the precoat film formation time can be greatly shortened. As a result, throughput can be improved and productivity can be increased.

一方,本変形例では,成膜時には,バッフル板18をサセプタ11側に固定する。これにより,バッフル板18をサセプタ11と一緒に上昇させることができる。基板Gが載置されたステージとバッフル板18との位置関係は,SiO膜の膜質に大きく影響を及ぼす。したがって,本変形例のように,バッフル板18をサセプタ11とともに最適な位置まで移動させることにより,基板Gにより良質なゲート酸化膜を形成することができる。 On the other hand, in this modification, the baffle plate 18 is fixed to the susceptor 11 side during film formation. Thereby, the baffle plate 18 can be raised together with the susceptor 11. The positional relationship between the stage on which the substrate G is placed and the baffle plate 18 greatly affects the quality of the SiO 2 film. Therefore, a high-quality gate oxide film can be formed on the substrate G by moving the baffle plate 18 to the optimum position together with the susceptor 11 as in this modification.

(第1実施形態の変形例2)
つぎに,第1実施形態の変形例2にかかるマイクロ波プラズマ処理装置100の構成および動作について図6を参照しながら説明する。本変形例にかかるバッフル板18には,1または2以上の貫通孔とその貫通孔を開閉する開閉機構とが設けられていて,この開閉機構により貫通孔の開度を調節する点で,サセプタ11を昇降させることによりサセプタ11とバッフル板18との隙間Sを調節する第1実施形態のマイクロ波プラズマ処理装置100と相異する。よって,この相違点を中心に説明する。
(Modification 2 of the first embodiment)
Next, the configuration and operation of the microwave plasma processing apparatus 100 according to the second modification of the first embodiment will be described with reference to FIG. The baffle plate 18 according to this modification is provided with one or more through-holes and an opening / closing mechanism for opening / closing the through-holes. This is different from the microwave plasma processing apparatus 100 of the first embodiment that adjusts the gap S between the susceptor 11 and the baffle plate 18 by raising and lowering 11. Therefore, this difference will be mainly described.

図6にXPにて示した部分の拡大図である図7に示したように,バッフル板18は,1または2以上の貫通孔(図では貫通孔18c1のみ表示)を有するバッフル板本体18cとその貫通孔18c1を開閉する開閉機構18dとを有している。   As shown in FIG. 7, which is an enlarged view of the portion indicated by XP in FIG. 6, the baffle plate 18 includes a baffle plate body 18c having one or more through holes (only the through hole 18c1 is shown in the drawing). An opening / closing mechanism 18d for opening / closing the through hole 18c1 is provided.

バッフル板本体18cは,サセプタ11の側面略中央に取り付けられた受け具18bに支持されることにより,その下面内周縁にてサセプタ11の側壁略中央に固定されている。開閉機構18dは,バッフル板本体18cと同一形状を有し,バッフル板本体18cの貫通孔と同一位置に同一形状の貫通孔を有していて,バッフル板本体18cの上面に密着して設けられている。開閉機構18dは,その外周部側壁にて動力伝達部材50にねじ切り加工されている。動力伝達部材50はチャンバ10の側壁を貫通して電動モータ51と連結している。チャンバ10の外壁であって動力伝達部材50との境界はOリング52にてシールされ,これによりチャンバ10内の気密性が保たれている。   The baffle plate main body 18c is fixed to the approximate center of the side wall of the susceptor 11 at the inner peripheral edge of the lower surface thereof by being supported by a receiver 18b attached to the approximate center of the side surface of the susceptor 11. The opening / closing mechanism 18d has the same shape as the baffle plate main body 18c, has a through hole of the same shape at the same position as the through hole of the baffle plate main body 18c, and is provided in close contact with the upper surface of the baffle plate main body 18c. ing. The opening / closing mechanism 18d is threaded into the power transmission member 50 at the outer peripheral side wall thereof. The power transmission member 50 passes through the side wall of the chamber 10 and is connected to the electric motor 51. A boundary between the outer wall of the chamber 10 and the power transmission member 50 is sealed by an O-ring 52, thereby maintaining airtightness in the chamber 10.

電動モータ51の動力は,動力伝達部材50を介して開閉機構18dに伝達され,これにより開閉機構18dは左右方向にスライドする。開閉機構18dがこのようにスライドすると,バッフル板本体18cの貫通孔18c1と開閉機構18dの貫通孔18d1との位置がずれる。このようにして,隙間(貫通孔18c1と貫通孔18d1との開口面積S)を調節することにより,サセプタ11およびチャンバ10の側壁間の開口率が制御される。   The power of the electric motor 51 is transmitted to the opening / closing mechanism 18d through the power transmission member 50, whereby the opening / closing mechanism 18d slides in the left-right direction. When the opening / closing mechanism 18d slides in this manner, the positions of the through hole 18c1 of the baffle plate body 18c and the through hole 18d1 of the opening / closing mechanism 18d are shifted. In this way, the opening ratio between the susceptor 11 and the side wall of the chamber 10 is controlled by adjusting the gap (opening area S between the through hole 18c1 and the through hole 18d1).

つぎに,本変形例にかかるサセプタ11の昇降動作を図6を参照しながら説明する。
(1)成膜
成膜の際,コントローラ40は,電動モータ16aに駆動信号を送信する。電動モータ16aは,この駆動信号に対応して電動モータ16aから出力される動力により,開閉機構18dは所定量だけスライドする(図6上)。これにより,バッフル板18を貫通する貫通孔18c1と貫通孔18d1との開口面積Sは小さくなる。これにより,処理室10uの圧力P1は,プロセス条件に合致した値に保たれる。この結果,デポラジカルが処理室内に閉じ込められるため,基板Gに成膜速度が速く,均一性の高い成膜を施すことができる。
Next, the lifting / lowering operation of the susceptor 11 according to this modification will be described with reference to FIG.
(1) Film formation During film formation, the controller 40 transmits a drive signal to the electric motor 16a. In the electric motor 16a, the opening / closing mechanism 18d slides by a predetermined amount by the power output from the electric motor 16a in response to this drive signal (upper side in FIG. 6). Thereby, the opening area S of the through-hole 18c1 and the through-hole 18d1 which penetrates the baffle board 18 becomes small. As a result, the pressure P1 in the processing chamber 10u is maintained at a value that matches the process conditions. As a result, since the deposition radical is confined in the processing chamber, the film formation speed on the substrate G is high, and film formation with high uniformity can be performed.

(2)クリーニング
チャンバ内壁に堆積した反応生成物が所定の厚さになると,コントローラ40は,電動モータ16aに駆動信号を送信する。電動モータ16aは,この駆動信号に対応して電動モータ16aから出力される動力により,開閉機構18dは所定量だけ成膜時と反対の方向へスライドする(図6下)。これにより,バッフル板18を貫通する貫通孔18c1と貫通孔18d1との開口面積Sは大きくなる。このようにして,クリーニング時にはサセプタ11およびバッフル板18の間の開口率を大きくすることにより,処理室10uの圧力P1と排気室10dの圧力P2との差を小さくすることができる。この状態で,クリーニングガスがチャンバ内に供給され,チャンバ内壁がクリーニングされる。この結果,処理室10uおよび排気室10dの内壁は,より均等にクリーニングされるとともに,そのクリーニング時間を大幅に短縮することができる。
(2) Cleaning When the reaction product deposited on the inner wall of the chamber reaches a predetermined thickness, the controller 40 transmits a drive signal to the electric motor 16a. The electric motor 16a slides in a direction opposite to that during film formation by a predetermined amount by the power output from the electric motor 16a in response to this drive signal (lower side in FIG. 6). Thereby, the opening area S of the through-hole 18c1 and the through-hole 18d1 which penetrates the baffle board 18 becomes large. Thus, by increasing the aperture ratio between the susceptor 11 and the baffle plate 18 during cleaning, the difference between the pressure P1 in the processing chamber 10u and the pressure P2 in the exhaust chamber 10d can be reduced. In this state, cleaning gas is supplied into the chamber, and the inner wall of the chamber is cleaned. As a result, the inner walls of the processing chamber 10u and the exhaust chamber 10d are more evenly cleaned and the cleaning time can be greatly shortened.

(3)プリコート膜形成
プリコート膜形成の際,開閉機構18dの位置はそのままの状態で成膜ガスが供給される。これにより,処理室10uおよび排気室10dでデポラジカルの状態をほぼ同じにすることができる。この結果,処理室10uおよび排気室10dのプリコート膜の膜厚をより等しく,かつ,その膜質を均一に成膜することができる。この結果,そのプリコート膜を所定の厚さまで形成する時間を大幅に短縮することができる。
(3) Precoat film formation During the formation of the precoat film, the film forming gas is supplied with the position of the opening / closing mechanism 18d as it is. Thereby, the state of the deposit radical can be made substantially the same in the processing chamber 10u and the exhaust chamber 10d. As a result, the film thicknesses of the precoat films in the processing chamber 10u and the exhaust chamber 10d can be made more equal and the film quality can be uniformly formed. As a result, the time for forming the precoat film to a predetermined thickness can be greatly shortened.

以上に説明したように,本変形例では,バッフル板18の開閉機構18dを制御することにより,クリーニング時およびプリコート膜形成時の開口率が成膜時の開口率より大きくなるように1または2以上の貫通孔の開度を調節する。これにより,処理室10uの圧力P1と排気室10dの圧力P2との差を小さくすることができる。この結果,処理室10uと排気室10dにてデポラジカルの状態をほぼ同じにすることにより,各室の成膜速度の差をなくすことができる。これにより,処理室10uおよび排気室10dのプリコート膜の膜厚をより等しく,かつ,その膜質を均一に成膜することができる。一方,成膜時には,バッフル板18を貫通する貫通孔18c1と貫通孔18d1との開口面積Sを小さくすることにより,デポラジカルを処理室内に閉じ込めることにより,成膜速度が速く,かつ,均一な成膜を基板Gに施すことができる。   As described above, in this modification, the opening / closing mechanism 18d of the baffle plate 18 is controlled so that the aperture ratio during cleaning and precoat film formation is 1 or 2 so that the aperture ratio during film formation is larger than that during film formation. The opening degree of the above through holes is adjusted. As a result, the difference between the pressure P1 in the processing chamber 10u and the pressure P2 in the exhaust chamber 10d can be reduced. As a result, it is possible to eliminate the difference in the deposition rate between the chambers by making the state of the deposit radicals substantially the same in the processing chamber 10u and the exhaust chamber 10d. Thereby, the film thicknesses of the precoat films in the processing chamber 10u and the exhaust chamber 10d can be made equal and the film quality can be uniformly formed. On the other hand, at the time of film formation, by reducing the opening area S of the through hole 18c1 and the through hole 18d1 penetrating the baffle plate 18, the deposition rate is confined in the processing chamber, so that the film formation speed is high and uniform. A film can be applied to the substrate G.

(第2実施形態)
つぎに,第2実施形態にかかるマイクロ波プラズマ処理装置100の構成および動作について,図8を参照しながら説明する。この装置では,成膜用の処理ガスを供給する処理ガス供給源31およびクリーニングガスを供給するリモートプラズマ35(いずれも図8では省略,図1参照)に加え,排気室10d側にプリコート膜形成用のガスを供給するリモートプラズマ60を有している点で,排気室10d側にリモートプラズマ60を有さない第1実施形態のマイクロ波プラズマ処理装置と相異する。また,本実施形態ではサセプタ11が昇降しない点も,サセプタ11が昇降する第1実施形態と相異する。よって,この相違点を中心に説明する。
(Second Embodiment)
Next, the configuration and operation of the microwave plasma processing apparatus 100 according to the second embodiment will be described with reference to FIG. In this apparatus, in addition to a processing gas supply source 31 for supplying a film forming processing gas and a remote plasma 35 for supplying a cleaning gas (both omitted in FIG. 8, refer to FIG. 1), a precoat film is formed on the exhaust chamber 10d side. It differs from the microwave plasma processing apparatus of the first embodiment that does not have the remote plasma 60 on the exhaust chamber 10d side in that it has the remote plasma 60 that supplies the gas for use. Further, the present embodiment is different from the first embodiment in which the susceptor 11 does not move up and down in that the susceptor 11 does not move up and down. Therefore, this difference will be mainly described.

マイクロ波プラズマ処理装置100の外部に設けられたリモートプラズマ60は,処理容器60a,コイル60b,高周波電源60c,容量Cおよび搬送管60dを有していて,チャンバ10内にプリコート膜を形成する際に用いられる。   The remote plasma 60 provided outside the microwave plasma processing apparatus 100 has a processing vessel 60a, a coil 60b, a high frequency power source 60c, a capacity C, and a transfer pipe 60d, and forms a precoat film in the chamber 10. Used for.

処理容器60aには,ガス供給源からプリコート膜形成用のガスとして,基板Gをプラズマ処理する際の処理ガスと同じガス(ここでは,SiHガス,Oガス,Arガス)が処理ガス供給源31から供給される。高周波電源60cから出力された高周波電力がコイル60bに印加されると,コイル60bの周りに高周波磁界が生じる。この磁界の時間的変化により誘導された誘導電界によって処理容器60a内にてガスがプラズマ化される。このようにして生成された誘導結合プラズマ中,ラジカルのライフタイムは長い。この結果,活性なデポラジカルのみが搬送管60dを介して排気室10dに供給される。 The processing vessel 60a is supplied with a processing gas from the gas supply source as a gas for forming a precoat film, which is the same as the processing gas used for plasma processing of the substrate G (here, SiH 4 gas, O 2 gas, Ar gas). Supplied from source 31. When the high frequency power output from the high frequency power supply 60c is applied to the coil 60b, a high frequency magnetic field is generated around the coil 60b. The gas is turned into plasma in the processing chamber 60a by the induced electric field induced by the temporal change of the magnetic field. The lifetime of radicals in the inductively coupled plasma generated in this way is long. As a result, only active deposit radicals are supplied to the exhaust chamber 10d through the transfer pipe 60d.

つぎに,本実施形態にかかるリモートプラズマ60の動作を図8を参照しながら説明する。
(1)成膜時およびクリーニング時
成膜時およびクリーニング時には,コントローラ40は,リモートプラズマ60に駆動信号を送信しない。よって,リモートプラズマ60は,成膜時およびクリーニング時には動作しない(図8上)。したがって,成膜時には,図1に示した処理ガス供給源31から成膜ガスが処理室10uに供給され,基板Gに成膜処理が施される。また,クリーニング時には,クリーニングガスが処理ガス供給源31およびリモートプラズマ35から処理室10uへ供給され,チャンバ10内がクリーニングされる。
Next, the operation of the remote plasma 60 according to the present embodiment will be described with reference to FIG.
(1) During film formation and cleaning At the time of film formation and cleaning, the controller 40 does not transmit a drive signal to the remote plasma 60. Therefore, the remote plasma 60 does not operate during film formation and cleaning (upper part of FIG. 8). Therefore, at the time of film formation, the film formation gas is supplied from the processing gas supply source 31 shown in FIG. At the time of cleaning, a cleaning gas is supplied from the processing gas supply source 31 and the remote plasma 35 to the processing chamber 10u, and the inside of the chamber 10 is cleaned.

(2)プリコート膜形成時
プリコート膜形成の際,処理室10uには,処理ガス供給源31からSiHガス,Oガス,Arガスが供給される。供給されたガスは,誘電体パーツ24を透過したマイクロ波の電界エネルギーによりプラズマ化し,これにより,チャンバ10内部にプリコート膜としてのゲート酸化膜が形成される。
(2) At the time of precoat film formation When the precoat film is formed, SiH 4 gas, O 2 gas, and Ar gas are supplied to the process chamber 10 u from the process gas supply source 31. The supplied gas is turned into plasma by the electric field energy of the microwave transmitted through the dielectric part 24, thereby forming a gate oxide film as a precoat film inside the chamber 10.

通常では,処理室10uに供給されたガスは処理室10uの成膜に優先的に使用されるため,排気室10dに流れるガス(デポラジカル)残留量は少なくなる。また,バッフル板18とサセプタ11との間の隙間Sはほとんどない。そうすると,バッフル板18により仕切られた処理室10uと排気室10dとの圧力差は大きくなって,デポラジカルが処理室10u内に閉じ込められるため,処理室10uから排気室10dへ流れ込むデポラジカルが非常に少なくなる。この結果,排気室10dのプリコート膜は,処理室10uのプリコート膜に比べて非常に薄くなってしまう。   Normally, since the gas supplied to the processing chamber 10u is preferentially used for film formation in the processing chamber 10u, the residual amount of gas (depot radical) flowing into the exhaust chamber 10d is reduced. Further, there is almost no gap S between the baffle plate 18 and the susceptor 11. As a result, the pressure difference between the processing chamber 10u and the exhaust chamber 10d partitioned by the baffle plate 18 is increased, and depot radicals are confined in the processing chamber 10u, so that very few depot radicals flow into the exhaust chamber 10d from the processing chamber 10u. Become. As a result, the precoat film in the exhaust chamber 10d is very thin compared to the precoat film in the processing chamber 10u.

しかし,本実施形態では,リモートプラズマ60がデポラジカルを排気室10dに供給する。具体的には,まず,コントローラ40が,高周波電源60cに駆動信号を送信する。高周波電源60cは,この駆動信号に応じて高周波電力をコイル60bに供給する(図8下)。   However, in this embodiment, the remote plasma 60 supplies the deposit radical to the exhaust chamber 10d. Specifically, first, the controller 40 transmits a drive signal to the high frequency power supply 60c. The high frequency power supply 60c supplies high frequency power to the coil 60b in accordance with this drive signal (bottom in FIG. 8).

高周波電力がコイル60bに印加されると,コイル60bの周りに高周波磁界が生じ,この磁界により誘導された高周波電界のエネルギーによって,処理容器60a内のガスがプラズマ化される。このようにして生成された誘導結合プラズマ中,ラジカルのライフタイムは長い。この結果,活性なデポラジカルのみが搬送管60dを介して排気室10dに供給される。 When the high frequency power is applied to the coil 60b, a high frequency magnetic field is generated around the coil 60b, and the gas in the processing container 60a is turned into plasma by the energy of the high frequency electric field induced by this magnetic field. The lifetime of radicals in the inductively coupled plasma generated in this way is long. As a result, only active deposit radicals are supplied to the exhaust chamber 10d through the transfer pipe 60d.

これによれば,処理室10uから排気室10dに流れるデポラジカルの残留量が少なくても,リモートプラズマ60から供給されたデポラジカルにより,排気室10d内壁表面でのプリコート膜の形成が促進される。この結果,サセプタ11を昇降させなくても,処理室10uのプリコート膜と排気室10dのプリコート膜の膜厚をより等しく,かつ,その膜質を均一に成膜することができる。   According to this, even if the residual amount of deposit radicals flowing from the processing chamber 10u to the exhaust chamber 10d is small, the formation of the precoat film on the inner wall surface of the exhaust chamber 10d is promoted by the deposit radicals supplied from the remote plasma 60. As a result, even if the susceptor 11 is not moved up and down, the precoat film in the processing chamber 10u and the precoat film in the exhaust chamber 10d can be made more uniform and the film quality can be uniformly formed.

以上に説明したように,各実施形態によれば,処理室10u内壁および排気室10d内壁により均一な膜質であって,かつ,ほぼ等しい膜厚のプリコート膜をより短時間で形成することができる。これにより,プロセス時にチャンバ内壁に堆積する堆積物の厚さが,膜剥がれの厚さに到達するまでの時間が長くなるため,チャンバ内をクリーニングするサイクルを長くすることができる。この結果,スループットを向上させ生産性を上げることができる。   As described above, according to each embodiment, a precoat film having a uniform film quality and a substantially equal film thickness can be formed in a shorter time by the inner wall of the processing chamber 10u and the inner wall of the exhaust chamber 10d. . As a result, the time required for the thickness of the deposit deposited on the inner wall of the chamber during the process to reach the thickness of film peeling increases, so that the cycle for cleaning the inside of the chamber can be lengthened. As a result, throughput can be improved and productivity can be increased.

なお,各実施形態において,サセプタ11およびチャンバ10内部側壁間の開口率は,1.4%が好ましい。   In each embodiment, the aperture ratio between the susceptor 11 and the inner wall of the chamber 10 is preferably 1.4%.

また,第1実施形態および第1実施形態の変形例1では,サセプタ11を所定位置まで降下させるための駆動信号は,クリーニング時に出力された。しかし,クリーニング時に代えてプリコート膜形成時にコントローラ40が駆動信号を出力するようにしてもよい。これによれば,プリコート膜形成時にサセプタ11が所定位置まで下降する。   In the first embodiment and the first modification of the first embodiment, the drive signal for lowering the susceptor 11 to a predetermined position is output during cleaning. However, the controller 40 may output a drive signal when forming the precoat film instead of during cleaning. According to this, the susceptor 11 is lowered to a predetermined position when the precoat film is formed.

同様に,第1実施形態の変形例2では,開閉機構18dを所定位置までスライドさせるための駆動信号は,クリーニング時に出力された。しかし,プリコート膜形成時にコントローラ40が駆動信号を出力するようにしてもよい。これによれば,プリコート膜形成時に,開口率が大きくなるように制御される。   Similarly, in Modification 2 of the first embodiment, a drive signal for sliding the opening / closing mechanism 18d to a predetermined position is output during cleaning. However, the controller 40 may output a drive signal when the precoat film is formed. According to this, the aperture ratio is controlled to be large when the precoat film is formed.

また,上記各実施形態において,クリーニングガスとしては,NF,SF,CFなどのF系クリーニングガスのみならず,たとえば,ClやClなどの塩素系クリーニングガスを用いてもよい。 In each of the above embodiments, as the cleaning gas, not only F-based cleaning gases such as NF 3 , SF 6 , and CF 4, but also chlorine-based cleaning gases such as Cl and Cl 2 may be used.

また,上記各実施形態において,クリーニング時のFラジカルの生成およびプリコート膜形成時のデポラジカルの生成には,リモートプラズマによりプラズマを生成する方法が用いられた。しかし,各ラジカルの生成方法は,これに限られず,たとえば,熱や光,放射線などのエネルギーを供給することにより生成することもできる。   In each of the above embodiments, a method of generating plasma by remote plasma is used for generation of F radicals at the time of cleaning and generation of deposit radicals at the time of forming the precoat film. However, the method of generating each radical is not limited to this, and can be generated by supplying energy such as heat, light, and radiation.

さらに,クリーニング時,処理ガス供給源31およびリモートプラズマ35は,併用して用いられてもよいし,リモートプラズマ35のみが用いられてもよく,処理ガス供給源31のみが用いられてもよい。   Further, at the time of cleaning, the processing gas supply source 31 and the remote plasma 35 may be used in combination, or only the remote plasma 35 may be used, or only the processing gas supply source 31 may be used.

上記実施形態において,各部の動作はお互いに関連しており,互いの関連を考慮しながら,一連の動作として置き換えることができる。そして,このように置き換えることにより,マイクロ波プラズマ処理装置100の実施形態を,マイクロ波プラズマ処理装置100を制御する方法の実施形態とすることができる。   In the above embodiment, the operations of the respective units are related to each other, and can be replaced as a series of operations in consideration of the relationship between each other. And by replacing in this way, the embodiment of the microwave plasma processing apparatus 100 can be made an embodiment of a method for controlling the microwave plasma processing apparatus 100.

以上,添付図面を参照しながら本発明の好適な実施形態について説明したが,本発明は係る例に限定されないことは言うまでもない。当業者であれば,特許請求の範囲に記載された範疇内において,各種の変更例または修正例に想到し得ることは明らかであり,それらについても当然に本発明の技術的範囲に属するものと了解される。   As mentioned above, although preferred embodiment of this invention was described referring an accompanying drawing, it cannot be overemphasized that this invention is not limited to the example which concerns. It will be apparent to those skilled in the art that various changes and modifications can be made within the scope of the claims, and these are naturally within the technical scope of the present invention. Understood.

たとえば,本発明にかかるプラズマ処理装置は,マイクロ波プラズマ処理装置に限られず,誘導結合型プラズマ処理装置であってもよく,容量結合型プラズマ処理装置であってもよい。   For example, the plasma processing apparatus according to the present invention is not limited to the microwave plasma processing apparatus, and may be an inductively coupled plasma processing apparatus or a capacitively coupled plasma processing apparatus.

また,本発明にかかるプラズマ処理装置は,タイル状の複数の誘電体を有するマイクロ波プラズマ処理装置であってもよく,タイル状に分断されていない大面積の誘電体を有するマイクロ波プラズマ処理装置であってもよい。   Further, the plasma processing apparatus according to the present invention may be a microwave plasma processing apparatus having a plurality of tile-shaped dielectrics, and a microwave plasma processing apparatus having a large-area dielectric that is not divided into tiles. It may be.

また,本発明にかかるプラズマ処理装置では,CVD処理に限られず,アッシング処理,エッチング処理など,生成されたプラズマにより実行可能なあらゆる処理を行うことができる。   Further, the plasma processing apparatus according to the present invention is not limited to the CVD process, and can perform any process that can be performed by the generated plasma, such as an ashing process or an etching process.

本発明は,チャンバの内壁をより均一な厚さに被膜するプラズマ処理装置およびそのプラズマ処理装置を制御する方法に適用可能である。   The present invention is applicable to a plasma processing apparatus that coats the inner wall of a chamber to a more uniform thickness and a method for controlling the plasma processing apparatus.

一実施形態にかかるマイクロ波プラズマ処理装置の縦断面図である。It is a longitudinal cross-sectional view of the microwave plasma processing apparatus concerning one Embodiment. 一実施形態にかかるチャンバ天井部を示した図である。It is the figure which showed the chamber ceiling part concerning one Embodiment. 第1実施形態における各工程とサセプタの位置との関係を説明するための図である。It is a figure for demonstrating the relationship between each process in 1st Embodiment, and the position of a susceptor. 処理室の圧力P1と排気室の圧力P2との関係をサセプタおよびバッフル板間の隙間に応じて示したグラフである。It is the graph which showed the relationship between the pressure P1 of a process chamber, and the pressure P2 of an exhaust chamber according to the clearance gap between a susceptor and a baffle board. 給電用導波管とマイクロ波の位相を半周期ずらすために必要な誘電体の厚さとの関係を第1実施形態の変形例1における各工程とサセプタの位置との関係を説明するための図である。The figure for demonstrating the relationship between the position of a susceptor and each process in the modification 1 of 1st Embodiment about the relationship between the waveguide for electric power feeding and the thickness of the dielectric material required in order to shift the phase of a microwave by a half cycle It is. 第1実施形態の変形例2における各工程とサセプタの位置との関係を説明するための図である。It is a figure for demonstrating the relationship between each process and the position of a susceptor in the modification 2 of 1st Embodiment. 第1実施形態の変形例2におけるバッフル板近傍の拡大図である。It is an enlarged view of the baffle board vicinity in the modification 2 of 1st Embodiment. 第2実施形態における各工程とサセプタの位置との関係を説明するための図である。It is a figure for demonstrating the relationship between each process in 2nd Embodiment, and the position of a susceptor.

符号の説明Explanation of symbols

10 チャンバ
11 サセプタ
18 バッフル板
18a,18b 受け具
31 処理ガス供給源
35,60 リモートプラズマ
40 コントローラ
100 マイクロ波プラズマ処理装置
10u 処理室
10d 排気室
DESCRIPTION OF SYMBOLS 10 Chamber 11 Susceptor 18 Baffle plate 18a, 18b Receiver 31 Processing gas supply source 35, 60 Remote plasma 40 Controller 100 Microwave plasma processing apparatus 10u Processing chamber 10d Exhaust chamber

Claims (12)

載置台とバッフル板とにより、被処理体に対してプラズマ処理を施す処理室とガスを排気する排気室とに仕切られたチャンバを有するプラズマ処理装置であって、
前記載置台を制御する制御装置を備え、
前記チャンバの内壁表面にプリコート膜を形成する際に前記処理室の圧力と前記排気室の圧力とが近づくように、前記制御装置で前記バッフル板に対する前記載置台の位置を変えることにより、前記載置台および前記チャンバ側壁間の開口率を変えるプラズマ処理装置。
A plasma processing apparatus having a chamber partitioned by a mounting table and a baffle plate into a processing chamber for performing plasma processing on an object to be processed and an exhaust chamber for exhausting gas,
A control device for controlling the mounting table is provided,
When the precoat film is formed on the inner wall surface of the chamber, the control device changes the position of the mounting table with respect to the baffle plate so that the pressure of the processing chamber and the pressure of the exhaust chamber approach each other. A plasma processing apparatus for changing an aperture ratio between a mounting table and the chamber side wall.
前記載置台を昇降させる昇降機構を備え、
前記バッフル板は、前記チャンバの内壁に固定され、
前記制御装置で前記載置台を昇降させることにより、前記チャンバの内壁表面にプリコート膜を形成する際の前記開口率が被処理体をプラズマ処理する際の前記開口率より大きくなるように前記載置台と前記バッフル板との間隔を調節する請求項1に記載されたプラズマ処理装置。
Equipped with an elevating mechanism for elevating the mounting table,
The baffle plate is fixed to the inner wall of the chamber;
The mounting table is moved up and down by the control device so that the aperture ratio when the precoat film is formed on the inner wall surface of the chamber is larger than the aperture ratio when the object to be processed is plasma-treated. The plasma processing apparatus according to claim 1, wherein a distance between the baffle plate and the baffle plate is adjusted.
前記載置台を昇降させる昇降機構を備え、
前記バッフル板は、前記チャンバまたは前記載置台のいずれかに着脱可能に固定され、
被処理体をプラズマ処理する際には前記制御装置で前記載置台を昇降させる間に前記バッフル板を前記載置台に固定し、前記チャンバの内壁表面にプリコート膜を形成する際には前記制御装置で前記載置台を昇降させる間に前記バッフル板を前記チャンバに固定することにより、前記チャンバの内壁表面にプリコート膜を形成する際の前記開口率が被処理体をプラズマ処理する際の前記開口率より大きくなるように前記載置台と前記バッフル板との間隔を調節する請求項1に記載されたプラズマ処理装置。
Equipped with an elevating mechanism for elevating the mounting table,
The baffle plate is detachably fixed to either the chamber or the mounting table.
When plasma processing is performed on an object to be processed, the control device fixes the baffle plate to the mounting table while raising or lowering the mounting table, and the control device forms a precoat film on the inner wall surface of the chamber. By fixing the baffle plate to the chamber while raising and lowering the mounting table in the above, the aperture ratio when forming the precoat film on the inner wall surface of the chamber is the aperture ratio when plasma processing is performed on the object to be processed The plasma processing apparatus according to claim 1, wherein an interval between the mounting table and the baffle plate is adjusted to be larger.
載置台とバッフル板とにより、被処理体に対してプラズマ処理を施す処理室とガスを排気する排気室とに仕切られたチャンバを有するプラズマ処理装置であって、
前記バッフル板は、1または2以上の貫通孔とその貫通孔の開度を調節する開閉機構とを有し、
前記開閉機構を制御する制御装置を備え、
前記チャンバの内壁表面にプリコート膜を形成する際に前記処理室の圧力と前記排気室の圧力とが近づくように、前記制御装置で前記バッフル板の開閉機構を制御することにより、前記チャンバの内壁表面にプリコート膜を形成する際の前記貫通孔の開口率が被処理体をプラズマ処理する際の前記開口率より大きくなるように前記1または2以上の貫通孔の開度を調節するプラズマ処理装置。
A plasma processing apparatus having a chamber partitioned by a mounting table and a baffle plate into a processing chamber for performing plasma processing on an object to be processed and an exhaust chamber for exhausting gas,
The baffle plate has one or more through holes and an opening / closing mechanism for adjusting the opening degree of the through holes,
A control device for controlling the opening and closing mechanism;
By controlling the opening / closing mechanism of the baffle plate with the control device so that the pressure of the processing chamber and the pressure of the exhaust chamber approach when forming a precoat film on the inner wall surface of the chamber, the inner wall of the chamber A plasma processing apparatus for adjusting an opening degree of the one or more through holes so that an opening ratio of the through holes when forming the precoat film on the surface is larger than the opening ratio when the object to be processed is plasma processed .
載置台とバッフル板とにより、被処理体に対してプラズマ処理を施す処理室とガスを排気する排気室とに仕切られたチャンバを有するプラズマ処理装置であって、
前記チャンバの外部に、前記チャンバの内壁表面へのプリコート膜の形成を促進するラジカルを生成するラジカル生成装置を備え、
前記排気室と前記ラジカル生成装置を連結する搬送管を設け、
前記チャンバをクリーニングした後、前記ラジカルを、前記搬送管を介して前記排気室に供給するように制御する制御装置を備えるプラズマ処理装置。
A plasma processing apparatus having a chamber partitioned by a mounting table and a baffle plate into a processing chamber for performing plasma processing on an object to be processed and an exhaust chamber for exhausting gas,
Provided outside the chamber is a radical generator that generates radicals that promote the formation of a precoat film on the inner wall surface of the chamber;
A transport pipe connecting the exhaust chamber and the radical generator is provided;
A plasma processing apparatus comprising: a control device that controls the radical to be supplied to the exhaust chamber through the transfer pipe after the chamber is cleaned.
前記ラジカル生成装置は、リモートプラズマであり、
前記リモートプラズマは、誘電体により形成された処理容器を備え、
前記制御装置によって、前記処理容器に供給されたガスをプラズマ化することにより前記ラジカルが生成されるように制御される請求項5に記載されたプラズマ処理装置。
The radical generator is remote plasma,
The remote plasma includes a processing vessel formed of a dielectric material,
The plasma processing apparatus according to claim 5, wherein the radical is generated by converting the gas supplied to the processing container into plasma by the control device.
前記制御装置によって、被処理体にプラズマ処理を施す際に供給されるガスと同一のガスを前記リモートプラズマに供給することにより前記ラジカルが生成されるように制御される請求項6に記載されたプラズマ処理装置。 By the control device, according to claim 6, wherein the radicals are controlled to be generated by supplying the same gas and gas supplied when performing a plasma process on a target object in the remote plasma Plasma processing equipment. 前記制御装置が、スロットに通して誘電体を透過したマイクロ波により前記チャンバ内に供給された処理ガスをプラズマ化させて、被処理体にプラズマ処理を施すように制御するマイクロ波プラズマ処理装置である請求項1〜7のいずれかに記載されたプラズマ処理装置。 In the microwave plasma processing apparatus, the control device controls the processing target to be plasma-treated by converting the processing gas supplied into the chamber into plasma by microwaves passing through the slot and passing through the dielectric. The plasma processing apparatus according to any one of claims 1 to 7. 前記誘電体は、複数枚の誘電体パーツから構成され、
各誘電体パーツには、1または2以上のスロットが設けられ、
前記制御装置が、前記1または2以上のスロットに通して各誘電体パーツをそれぞれ透過したマイクロ波によりチャンバ内に供給された処理ガスをプラズマ化させて、被処理体にプラズマ処理を施すように制御する請求項8に記載されたプラズマ処理装置。
The dielectric is composed of a plurality of dielectric parts,
Each dielectric part is provided with one or more slots,
Wherein the controller, the one or more processing gas supplied into the chamber by the microwave slot through to the respective dielectric parts were transmitted respectively by plasma, to perform a plasma process on a target object The plasma processing apparatus according to claim 8 to be controlled .
載置台とバッフル板とにより、被処理体に対してプラズマ処理を施す処理室とガスを排気する排気室とに仕切られたチャンバを有するプラズマ処理装置の制御方法であって、
被処理体をプラズマ処理する際、第1の位置まで前記載置台を昇降し、
前記チャンバのクリーニング時および前記チャンバの内壁表面へのプリコート膜形成時に、プリコート膜を形成する際の前記載置台と前記バッフル板との間隔を、被処理体をプラズマ処理する際の前記載置台と前記バッフル板との間隔より大きくするための第2の位置まで前記載置台を昇降するプラズマ処理装置の制御方法。
A control method of a plasma processing apparatus having a chamber partitioned by a mounting table and a baffle plate into a processing chamber for performing plasma processing on an object to be processed and an exhaust chamber for exhausting gas,
When plasma processing the object to be processed, the mounting table is moved up and down to the first position,
When the chamber is cleaned and when the precoat film is formed on the inner wall surface of the chamber, the distance between the mounting table when forming the precoat film and the baffle plate, and the mounting table when plasma processing is performed on the workpiece A control method for a plasma processing apparatus, wherein the mounting table is moved up and down to a second position to be larger than a distance from the baffle plate.
1または2以上の貫通孔およびその貫通孔を開閉する開閉機構を有するバッフル板と載置台とにより被処理体に対してプラズマ処理を施す処理室とガスを排気する排気室とに仕切られたチャンバを有するプラズマ処理装置の制御方法であって、
被処理体をプラズマ処理する際、第1の位置まで前記開閉機構を摺動し、
前記チャンバのクリーニング時および前記チャンバの内壁表面へのプリコート膜形成時に、プリコート膜を形成する際の前記貫通孔の開度を、被処理体をプラズマ処理する際の前記貫通孔の開度より大きくするための第2の位置まで前記開閉機構を摺動するプラズマ処理装置の制御方法。
A chamber partitioned by a baffle plate having one or two or more through-holes and an opening / closing mechanism for opening and closing the through-holes and a mounting table into a processing chamber for performing plasma processing on the object to be processed and an exhaust chamber for exhausting gas A method of controlling a plasma processing apparatus comprising:
When the object to be processed is plasma-treated, the opening / closing mechanism is slid to the first position,
When the chamber is cleaned and when the precoat film is formed on the inner wall surface of the chamber, the opening degree of the through hole when forming the precoat film is larger than the opening degree of the through hole when the object to be processed is plasma-treated. A control method of a plasma processing apparatus for sliding the opening / closing mechanism to a second position for the purpose.
載置台とバッフル板とにより、被処理体に対してプラズマ処理を施す処理室とガスを排気する排気室とに仕切られたチャンバを有するプラズマ処理装置の制御方法であって、
前記チャンバをクリーニングした後、前記チャンバの内壁表面へのプリコート膜の形成を促進するラジカルを前記排気室に供給するプラズマ処理装置の制御方法。
A control method of a plasma processing apparatus having a chamber partitioned by a mounting table and a baffle plate into a processing chamber for performing plasma processing on an object to be processed and an exhaust chamber for exhausting gas,
A method for controlling a plasma processing apparatus, wherein after the chamber is cleaned, radicals that promote the formation of a precoat film on the inner wall surface of the chamber are supplied to the exhaust chamber.
JP2006010144A 2006-01-18 2006-01-18 Plasma processing apparatus and plasma processing apparatus control method Expired - Fee Related JP5324026B2 (en)

Priority Applications (5)

Application Number Priority Date Filing Date Title
JP2006010144A JP5324026B2 (en) 2006-01-18 2006-01-18 Plasma processing apparatus and plasma processing apparatus control method
CNB2006101645216A CN100454498C (en) 2006-01-18 2006-12-05 Plasma processing apparatus and controlling method for plasma processing apparatus
CNA2008101790740A CN101423928A (en) 2006-01-18 2006-12-05 Plasma processing apparatus and controlling method for plasma processing apparatus
KR1020070005795A KR100854808B1 (en) 2006-01-18 2007-01-18 Plasma processing apparatus and controlling method for plasma processing apparatus
TW096101932A TW200731357A (en) 2006-01-18 2007-01-18 Plasma processing apparatus and controlling method for plasma processing apparatus

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2006010144A JP5324026B2 (en) 2006-01-18 2006-01-18 Plasma processing apparatus and plasma processing apparatus control method

Publications (2)

Publication Number Publication Date
JP2007194361A JP2007194361A (en) 2007-08-02
JP5324026B2 true JP5324026B2 (en) 2013-10-23

Family

ID=38449823

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2006010144A Expired - Fee Related JP5324026B2 (en) 2006-01-18 2006-01-18 Plasma processing apparatus and plasma processing apparatus control method

Country Status (4)

Country Link
JP (1) JP5324026B2 (en)
KR (1) KR100854808B1 (en)
CN (2) CN100454498C (en)
TW (1) TW200731357A (en)

Families Citing this family (309)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8008166B2 (en) 2007-07-26 2011-08-30 Applied Materials, Inc. Method and apparatus for cleaning a substrate surface
KR100927375B1 (en) * 2007-09-04 2009-11-19 주식회사 유진테크 Exhaust unit, exhaust control method using same, substrate processing apparatus including the exhaust unit
KR101659095B1 (en) 2008-02-08 2016-09-22 램 리써치 코포레이션 Adjustable gap capacitively coupled rf plasma reactor including lateral bellows and non-contact particle seal
KR101490431B1 (en) * 2008-02-15 2015-02-11 엘아이지에이디피 주식회사 Plasma processing apparatus for control of baffle opening volume
JP5202372B2 (en) * 2008-03-14 2013-06-05 東京エレクトロン株式会社 Metal contamination reduction method for film forming apparatus, semiconductor device manufacturing method, storage medium, and film forming apparatus
CN101620981B (en) * 2008-06-30 2011-07-06 中芯国际集成电路制造(北京)有限公司 Inorganic film etching method in semiconductor manufacture procedure and shallow groove isolation area forming method
JP4473344B2 (en) * 2008-07-15 2010-06-02 キヤノンアネルバ株式会社 Plasma processing method and plasma processing apparatus
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
KR20100120336A (en) * 2009-05-06 2010-11-16 인제대학교 산학협력단 Plasma processing apparatus having a diffusion pump
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP2011077442A (en) * 2009-10-01 2011-04-14 Tokyo Electron Ltd Plasma processing method and plasma processing apparatus
JP5085752B2 (en) 2010-03-24 2012-11-28 株式会社東芝 Semiconductor manufacturing apparatus cleaning method, semiconductor manufacturing apparatus, and management system
JP5171969B2 (en) * 2011-01-13 2013-03-27 東京エレクトロン株式会社 Substrate processing equipment
JP5955062B2 (en) * 2011-04-25 2016-07-20 東京エレクトロン株式会社 Plasma processing equipment
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) * 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9330927B2 (en) * 2013-08-28 2016-05-03 Lam Research Corporation System, method and apparatus for generating pressure pulses in small volume confined process reactor
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
JP2015090916A (en) * 2013-11-06 2015-05-11 東京エレクトロン株式会社 Substrate processing apparatus and substrate processing method
KR102175082B1 (en) * 2013-12-31 2020-11-05 세메스 주식회사 Substrate treating apparatus
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
JP6438320B2 (en) * 2014-06-19 2018-12-12 東京エレクトロン株式会社 Plasma processing equipment
KR102240923B1 (en) * 2014-06-30 2021-04-15 세메스 주식회사 Apparatus for treating substrate
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102263121B1 (en) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. Semiconductor device and manufacuring method thereof
KR101629213B1 (en) * 2015-02-02 2016-06-10 (주) 일하하이텍 Apparatus and method of processing substrate
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (en) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. Method of forming metal interconnection and method of fabricating semiconductor device using the same
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (en) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate
KR102532607B1 (en) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and method of operating the same
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102613349B1 (en) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. Exhaust apparatus and substrate processing apparatus and thin film fabricating method using the same
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (en) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Gas supply unit and substrate processing apparatus including the same
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (en) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (en) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
KR102457289B1 (en) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (en) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. Methods for forming a semiconductor device structure and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (en) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (en) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (en) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7214724B2 (en) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. Storage device for storing wafer cassettes used in batch furnaces
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (en) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 Deposition method
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (en) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 Method for depositing ruthenium-containing films on substrates by cyclical deposition processes
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (en) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. Substrate processing method and apparatus
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (en) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (en) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. Substrate processing method
KR102444873B1 (en) * 2018-04-06 2022-09-19 주식회사 원익아이피에스 Substrate processing apparatus and method using the same
TW202344708A (en) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
TW202349473A (en) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 Methods for forming a doped metal carbide film on a substrate and related semiconductor device structures
KR102596988B1 (en) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (en) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing system
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292478A (en) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 Cyclic deposition methods for forming metal-containing materials and films and structures containing metal-containing materials
KR20210027265A (en) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. Periodic deposition method for forming metal-containing material and film and structure comprising metal-containing material
KR20200002519A (en) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (en) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. Method for deposition of a thin film
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (en) 2018-10-01 2020-04-07 Asm Ip控股有限公司 Substrate holding apparatus, system including the same, and method of using the same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (en) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (en) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
KR102605121B1 (en) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (en) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and substrate processing apparatus including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (en) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. A method for cleaning a substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (en) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 Method of forming device structure, structure formed by the method and system for performing the method
TWI819180B (en) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
KR20200091543A (en) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. Semiconductor processing device
CN111524788B (en) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 Method for topologically selective film formation of silicon oxide
KR102638425B1 (en) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. Method and apparatus for filling a recess formed within a substrate surface
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (en) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. Cyclical deposition method including treatment step and apparatus for same
TW202104632A (en) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
TW202100794A (en) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus and method for processing substrate
KR20200108248A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. STRUCTURE INCLUDING SiOCN LAYER AND METHOD OF FORMING SAME
KR20200108242A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer
KR20200108243A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Structure Including SiOC Layer and Method of Forming Same
JP2020167398A (en) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー Door opener and substrate processing apparatus provided therewith
KR20200116855A (en) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. Method of manufacturing semiconductor device
KR20200123380A (en) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. Layer forming method and apparatus
KR20200125453A (en) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system and method of using same
KR20200130118A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Method for Reforming Amorphous Carbon Polymer Film
KR20200130121A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Chemical source vessel with dip tube
KR20200130652A (en) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. Method of depositing material onto a surface and structure formed according to the method
JP7373302B2 (en) * 2019-05-15 2023-11-02 株式会社Screenホールディングス Substrate processing equipment
JP2020188255A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (en) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. Method of using a gas-phase reactor system including analyzing exhausted gas
KR20200143254A (en) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (en) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. Temperature control assembly for substrate processing apparatus and method of using same
JP2021015791A (en) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. Plasma device and substrate processing method using coaxial waveguide
CN112216646A (en) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 Substrate supporting assembly and substrate processing device comprising same
KR20210010307A (en) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210010820A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Methods of forming silicon germanium structures
KR20210010816A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Radical assist ignition plasma system and method
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (en) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 Method of forming topologically controlled amorphous carbon polymer films
TW202113936A (en) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
CN112309899A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112309900A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (en) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. Liquid level sensor for a chemical source vessel
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (en) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. Production apparatus of mixed gas of film deposition raw material and film deposition apparatus
KR20210024423A (en) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for forming a structure with a hole
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (en) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
KR20210029090A (en) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. Methods for selective deposition using a sacrificial capping layer
KR20210029663A (en) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (en) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process
TW202129060A (en) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 Substrate processing device, and substrate processing method
TW202115273A (en) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 Method of forming a photoresist underlayer and structure including same
KR20210045930A (en) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. Method of Topology-Selective Film Formation of Silicon Oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (en) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (en) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (en) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (en) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885693A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885692A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
JP2021090042A (en) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. Substrate processing apparatus and substrate processing method
KR20210070898A (en) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
CN112992667A (en) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 Method of forming vanadium nitride layer and structure including vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (en) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. Method of forming thin film and method of modifying surface of thin film
TW202130846A (en) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 Method of forming structures including a vanadium or indium layer
KR20210100010A (en) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. Method and apparatus for transmittance measurements of large articles
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (en) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method for growing phosphorous-doped silicon layer and system of the same
KR20210116249A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. lockout tagout assembly and system and method of using same
KR20210116240A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. Substrate handling device with adjustable joints
CN113394086A (en) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 Method for producing a layer structure having a target topological profile
KR20210124042A (en) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. Thin film forming method
TW202146689A (en) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 Method for forming barrier layer and method for manufacturing semiconductor device
TW202145344A (en) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 Apparatus and methods for selectively etching silcon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
TW202146831A (en) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Vertical batch furnace assembly, and method for cooling vertical batch furnace
KR20210132576A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Method of forming vanadium nitride-containing layer and structure comprising the same
KR20210134226A (en) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. Solid source precursor vessel
KR20210134869A (en) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Fast FOUP swapping with a FOUP handler
KR20210141379A (en) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. Laser alignment fixture for a reactor system
KR20210143653A (en) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210145078A (en) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Structures including multiple carbon layers and methods of forming and using same
TW202201602A (en) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing device
TW202218133A (en) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method for forming a layer provided with silicon
TW202217953A (en) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing method
CN113889391B (en) * 2020-07-02 2024-03-12 中微半导体设备(上海)股份有限公司 Plasma processing apparatus and insulating window assembly thereof
KR20220010438A (en) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. Structures and methods for use in photolithography
TW202204662A (en) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 Method and system for depositing molybdenum layers
JP7450494B2 (en) 2020-08-18 2024-03-15 東京エレクトロン株式会社 Substrate processing equipment and gas switching method for substrate processing equipment
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (en) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing material on stepped structure
TW202217037A (en) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing vanadium metal, structure, device and a deposition assembly
TW202223136A (en) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 Method for forming layer on substrate, and semiconductor processing system
TW202235675A (en) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 Injector, and substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (en) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate
CN113088936B (en) * 2021-03-31 2023-04-07 长江存储科技有限责任公司 Film layer growth equipment and method
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11846019B2 (en) * 2021-10-01 2023-12-19 Applied Materials, Inc. One-body shadow frame support with flow controller
CN117089822B (en) * 2023-10-20 2024-01-02 研微(江苏)半导体科技有限公司 Semiconductor reaction chamber, isolation device and isolation control method thereof

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03290926A (en) * 1990-04-06 1991-12-20 Sumitomo Metal Ind Ltd Plasma apparatus and use of the apparatus
JP3061346B2 (en) * 1994-03-07 2000-07-10 東京エレクトロン株式会社 Processing equipment
JP3192370B2 (en) * 1995-06-08 2001-07-23 東京エレクトロン株式会社 Plasma processing equipment
JP3258885B2 (en) * 1995-12-27 2002-02-18 東京エレクトロン株式会社 Film processing equipment
US5812403A (en) * 1996-11-13 1998-09-22 Applied Materials, Inc. Methods and apparatus for cleaning surfaces in a substrate processing system
JP3583289B2 (en) * 1998-05-28 2004-11-04 株式会社日立製作所 Plasma processing apparatus and plasma processing method
US6296747B1 (en) * 2000-06-22 2001-10-02 Applied Materials, Inc. Baffled perforated shield in a plasma sputtering reactor
JP2002043288A (en) * 2000-07-24 2002-02-08 Sony Corp Plasma etching device, seasoning method of this and plasma etching method using them
JP4731760B2 (en) * 2001-08-23 2011-07-27 東京エレクトロン株式会社 Vacuum processing apparatus and vacuum processing method
JP4129855B2 (en) * 2001-12-13 2008-08-06 東京エレクトロン株式会社 Plasma processing equipment
JP3993493B2 (en) * 2002-09-09 2007-10-17 株式会社日立製作所 Plasma etching equipment
US7166166B2 (en) * 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved baffle plate in a plasma processing system
JP4381001B2 (en) * 2003-02-25 2009-12-09 シャープ株式会社 Plasma process equipment
US7972467B2 (en) * 2003-04-17 2011-07-05 Applied Materials Inc. Apparatus and method to confine plasma and reduce flow resistance in a plasma reactor

Also Published As

Publication number Publication date
KR100854808B1 (en) 2008-08-27
CN101005031A (en) 2007-07-25
JP2007194361A (en) 2007-08-02
CN100454498C (en) 2009-01-21
CN101423928A (en) 2009-05-06
KR20070076545A (en) 2007-07-24
TW200731357A (en) 2007-08-16

Similar Documents

Publication Publication Date Title
JP5324026B2 (en) Plasma processing apparatus and plasma processing apparatus control method
KR101111556B1 (en) Dual-chamber plasma processing apparatus
KR100993466B1 (en) Substrate processing apparatus and member exposed to plasma
JP2009152603A (en) Apparatus and method for plasma cvd
US20210164103A1 (en) Film forming method and processing apparatus
US20170369996A1 (en) Plasma film-forming apparatus and substrate pedestal
EP2080817B1 (en) Method and apparatus for chamber cleaning by in-situ plasma excitation
KR102007019B1 (en) Aluminum fluoride mitigation by plasma treatment
EP2398043B1 (en) Thin film forming apparatus and thin film forming method
WO2021033612A1 (en) Cleaning method and microwave plasma treatment device
KR101464867B1 (en) Semiconductor device manufacturing method, substrate processing apparatus, and recording medium
US20230167547A1 (en) Pre-coating method and processing apparatus
WO2021205928A1 (en) Cleaning method and plasma treatment device
JP2000096239A (en) Induction coupling type plasma cvd method and induction coupling type plasma cvd device therefor
JP2002008982A (en) Plasma cvd system
WO2022264829A1 (en) Cleaning method and plasma processing device
JP7382761B2 (en) Processing equipment and film forming method
US11972929B2 (en) Processing apparatus and film forming method
WO2022102463A1 (en) Substrate treatment method and substrate treatment device
WO2024029320A1 (en) Film forming method and film forming apparatus
JP5039120B2 (en) Alumina member for plasma processing apparatus and method for manufacturing alumina member for plasma processing apparatus
WO2022168648A1 (en) Substrate processing method and substrate processing device
WO2021256258A1 (en) Plasma treatment apparatus and plasma treatment method
JPH1197198A (en) Method and device for plasma treatment

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20081128

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20090714

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110701

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20111004

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120925

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20121122

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20130716

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20130718

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

LAPS Cancellation because of no payment of annual fees