CN101423928A - Plasma processing apparatus and controlling method for plasma processing apparatus - Google Patents
Plasma processing apparatus and controlling method for plasma processing apparatus Download PDFInfo
- Publication number
- CN101423928A CN101423928A CNA2008101790740A CN200810179074A CN101423928A CN 101423928 A CN101423928 A CN 101423928A CN A2008101790740 A CNA2008101790740 A CN A2008101790740A CN 200810179074 A CN200810179074 A CN 200810179074A CN 101423928 A CN101423928 A CN 101423928A
- Authority
- CN
- China
- Prior art keywords
- chamber
- gas
- processing apparatus
- plasma
- treatment chamber
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Pending
Links
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32623—Mechanical discharge control means
- H01J37/32633—Baffles
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
- H01J37/32192—Microwave generated discharge
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
- H01J37/32357—Generation remote from the workpiece, e.g. down-stream
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32715—Workpiece holder
Landscapes
- Physics & Mathematics (AREA)
- Engineering & Computer Science (AREA)
- Plasma & Fusion (AREA)
- Chemical & Material Sciences (AREA)
- Analytical Chemistry (AREA)
- Chemical Vapour Deposition (AREA)
Abstract
The present invention provides plasma treatment equipment which can coat the inner wall surface of a chamber in more uniform thickness. The chamber (10) of the microwave plasma treatment equipment (100) is divided into a treatment chamber (10u) and an exhaust chamber (10d) by a susceptor (11) and a buffle plate (18). In the microwave plasma treatment equipment (100), a precoat film is formed on the inner wall of the chamber before forming a film on a substrate G. At that time, the susceptor (11) is lowered by the plasma treatment equipment (100) to form a space S between the susceptor (11) and the buffer plate (18) to reduce a pressure difference between the treatment chamber (10u) and the exhaust chamber (10d), making the state of deposit radicals almost the same in the treatment chamber (10u) and in the exhaust chamber (10d). Consequently, a difference in deposition rate in the treatment chamber (10u) and in the exhaust chamber (10d) is reduced, and hence the precoat films formed in treatment chamber (10u) and in the exhaust chamber (10d) have more similar film quality and film thickness.
Description
This case is the dividing an application of patent application of the control method that application number is 2006101645216, denomination of invention is plasma processing apparatus and plasma processing apparatus
Technical field
The present invention relates to handled object is carried out the control method of the plasma processing apparatus and the plasma processing apparatus of Cement Composite Treated by Plasma.The present invention be more particularly directed to the formation of the mulch film on chamber inner wall.
Background technology
In the prior art, develop in chamber, to supply with and handle gas and make its plasma bodyization, substrate is carried out the various plasma processing apparatus of Cement Composite Treated by Plasma.Wherein, the microwave plasma CVD device is that energy by microwave makes and handles ionization of gas and decompose to make and handle gaseous plasmaization, thereby forms film on substrate.
In the process of this plasma bodyization, for example forming SiO
2Deng SiO
xUnder the situation of film, generally use SiH
4Gas is as handling gas.When film forming, use SiH
4Under the situation of gas, SiO
xFilm can inwall attached to chamber on.This SiO
xFilm during film forming and be heated, sending into respect to load locking room on substrate/when taking out and be cooled.When carrying out heating and cooling so repeatedly, because the difference of the thermal expansivity of the stores of chamber inner wall and formation chamber part makes and produces distortion between stores and the chamber wall.As a result, when stores forms certain thickness, can peel off, and become particle and fall on the substrate, sneak in the film in the film forming and cause the degradation of film from the wall of chamber.
In order to suppress to produce such particle, when this stores reaches certain thickness, must clean, to remove attached to top SiO such as chamber inner walls to chamber
xFilm.For this reason, the microwave plasma CVD device is supplied with fluoro-gas as purge gas (CF for example when cleaning
4) processing gas when replacing film forming, generate plasma body.F free radical in the plasma body that generates is to attached to the SiO on the chamber inner wall
xFilm corrodes (attack).Its result makes SiO
xIn Si become SiF
x(SiF
1, SiF
2, SiF
3And SiF
4) gas and being discharged into outside the chamber.SiO
xResidual O in the film
xWith the C reaction, with CO or CO
2The form of gas and being discharged into outside the chamber.
So, during cleaning that article on plasma body CVD device carries out, use be the plasma body of fluoro-gas, still, make by Al at the body of chamber, and its top is by Al
2O
3Make.Under situation so, the F ion pair Al in chamber
2O
3When corroding, can cut off the key part between the Al-O, the film of formation Al-F etc.At this, the bond energy of Al-F is 159kcal/mol, and the bond energy of Al-O is 120kcal/mol, with Al
2O
3The same, its bonding state is stable.Its result, when cleaning, the Al of chamber body and the Al at top
2O
3Fluoridized, inwall or the top section at chamber generates AlF sometimes.Because the SiF that when cleaning, generates
4Perhaps F
2, its bonding state also is stable, therefore, its part is not carried out physical adsorption outside can not being discharged into when handling sometimes on the inwall of chamber.
, become F, be released in the chamber sometimes by with regard to the fluorizated AlF with regard to part owing to ionic effect when the film forming is cut off the Al-F key.In addition, be adsorbed on SiF on the chamber inner wall
4Perhaps F
2, easy disengaging because sorptive power is very little.Thus, the fluorine-containing residue that is present in the chamber can break away from, and produces the problem in the film of sneaking in the film forming.
In addition, usually the qualification rate of goods when improving film forming is stably made goods, and in chamber, supply with free radical, film former and in chamber from a series of circulations such as the outside emission gases of chamber, before making the handled object film forming, all be necessary to be in stable status.That is, identical when before film forming, just processing condition being set with film forming, adding the not consumption on the inwall of chamber etc. of the free radical that generates man-hour, carrying out stably, film forming is necessary.
As described above, solving from the disengagings such as Al-F that are present in chamber inner wall to fall F or break away to fall SiF from the inwall of treatment chamber
4Or F
2And the problem that the quality that makes film reduces the time, identical viewpoint when setting for the processing condition before the film forming with film forming, after cleaning before the film forming when formation pre-coating film (promptly so-called), when film forming, make the gaseous plasmaization identical with gas supplied, thus, the plasma body technology that covers upper film (promptly forming so-called pre-coating film) on the surface of chamber inner wall is well known in the prior art (for example with reference to patent documentation 1)
Patent documentation 1: the spy opens flat 11-340149
Usually, in plasma processing apparatus, be provided with baffle plate, be used for and help film forming free radical in chamber (below be called deposition free radical) stream to be organized into preferred state.The admittance setting of this baffle plate must little (even gas be not easy to flow through), makes it possible to when film forming substrate be implemented good Cement Composite Treated by Plasma.Thus, when film forming, by baffle plate treatment chamber and exhaust chest are separated, the pressure reduction that strengthens each chamber is (with reference to (A: no gap)) of Fig. 4.Thus, even make that the pressure reduction between treatment chamber and the exhaust chest is still very big when forming above-mentioned pre-coating film.
In addition, the film that on the inwall of chamber, forms, its film forming speed DR (Deposition Rate) is represented by following formula (1).
DR=k×P...........(1)
At this, k is a rate constant, and P is a pressure.
According to Fig. 4 (A), because the pressure P 1 of treatment chamber is higher than the pressure P 2 of exhaust chest, so the film forming speed DR1 of treatment chamber just is higher than the film forming speed DR2 of exhaust chest.As a result, just thicker at the pre-coating film that forms on the surface of treatment chamber inwall than the pre-coating film that on the surface of exhaust chest inwall, forms.
And in fact gas is to be supplied to treatment chamber, and preferentially is used in treatment chamber in order to form pre-coating film, and therefore, the residual quantity that flows to the gas (free radical) of exhaust chest side diminishes.When considering this point, can think that then the difference of pre-coating film of the treatment chamber derived by formula (1) and exhaust chest is greater than theoretical value.
Its result, for pre-coating film, also do not reach at the thickness of its formation and to make fluorine-containing residue that the degree that breaks away from take place and when causing becoming the reason of the membranous reduction on the treatment chamber inner wall surface, because the pre-coating film that forms on the inner wall surface of exhaust chest still is very thin state, break away from so can not be suppressed at the fluorine-containing residue that exists on the inner wall surface of exhaust chest.Its result rises in treatment chamber the fluorine-containing residue that breaks away from, thereby produces the problem that reduces film quality in exhaust chest in processing treatment.
On the other hand, for pre-coating film, also do not reach when making fluorine-containing residue from degree that the surface of exhaust chest inwall breaks away from the thickness of its formation, the pre-coating film on the inner wall surface of treatment chamber just can be thickeied greater than required thickness.Its result owing to arrive the thickness that film is peeled off quickly adding the thickness that is deposited in pre-coating film on the treatment chamber inner wall surface man-hour, makes the indoor cycle of clean (at interval) shorten, thereby produces the problem that productivity reduces.
Summary of the invention
In order to address the above problem, the control method of a kind of plasma processing apparatus and plasma processing apparatus is provided in the present invention, make it possible on the inwall of treatment chamber, cover more equably upper film.
In order to address the above problem, according to a first aspect of the present invention, a kind of plasma processing apparatus is provided, this plasma body treatment unit has by mounting table and baffle plate will implement the treatment chamber of Cement Composite Treated by Plasma and the chamber that exhaust chest separates to handled object, wherein, by control in above-mentioned mounting table or the above-mentioned baffle plate at least any one, make when on the inner wall surface of above-mentioned chamber, forming pre-coating film, change the aperture opening ratio between above-mentioned mounting table and the above-mentioned chamber sidewall, make the pressure of the pressure of above-mentioned treatment chamber and above-mentioned exhaust chest approaching.
Thus, when on the inner wall surface of chamber, forming pre-coating film, control in above-mentioned mounting table or the above-mentioned baffle plate at least any one, make the pressure of the pressure of above-mentioned treatment chamber and above-mentioned exhaust chest approaching.When the pressure reduction of treatment chamber and exhaust chest reduced, the difference of the film forming speed DR1 of the treatment chamber of being obtained by formula (1) and the film forming speed DR2 of exhaust chest diminished.Thus, can make the interior deposition free radical of deposition free radical and exhaust chest in the treatment chamber be in much at one state.Its result makes the thickness of the pre-coating film that forms in treatment chamber further identical with the thickness of the pre-coating film that forms in exhaust chest, and can make this film forming membranous more even.Thus, the film formed time of precoating not only can be shortened significantly, but also the cycle before the wash chamber can be extended to.Its result just can boost productivity.
As such control mounting table to reduce an example of treatment chamber and exhaust chest pressure reduction, can enumerate fixed dam on the inwall of above-mentioned each chamber, the above-mentioned mounting table of lifting, make that the aperture opening ratio between the sidewall of mounting table and chamber is greater than the method at the above-mentioned aperture opening ratio that adds man-hour when forming pre-coating film.
In this way, can regulate the gap of above-mentioned mounting table and above-mentioned baffle plate, make when forming pre-coating film and to add man-hour different.In other words, adding man-hour, the lifting mounting table makes the gap smaller between mounting table and the baffle plate.Thus, treatment chamber is remained under the pressure consistent with treatment process.Its result, owing to will deposit free radical and be closed in the treatment chamber, and can be on handled object, to carry out the film forming of high uniformity than film forming speed faster.On the other hand, when forming pre-coating film, the lifting mounting table makes and vacate the gap between mounting table and baffle plate.Thus, gas is easy to flow to exhaust chest from treatment chamber, and the pressure reduction between treatment chamber and the exhaust chest is reduced.Its result can make deposition free radical in the treatment chamber and the deposition free radical in the exhaust chest be in much at one state.Its result makes the thickness of pre-coating film of the pre-coating film of treatment chamber and exhaust chest more identical, and makes the quality of this film more even.
In addition, as controlling mounting table so that another example that the pressure reduction of treatment chamber and exhaust chest reduces, can list in above-mentioned chamber or the fixing baffle plate that can load and unload on any one in the above-mentioned mounting table, when handled object is carried out Cement Composite Treated by Plasma, during the above-mentioned mounting table of lifting, above-mentioned baffle plate is fixed on the above-mentioned mounting table, when on the inner wall surface of above-mentioned chamber, forming pre-coating film, during the above-mentioned mounting table of lifting, above-mentioned baffle plate is fixed on the above-mentioned chamber, thus, regulate the gap between above-mentioned mounting table and the baffle plate, make on the inner wall surface of above-mentioned chamber the method for the above-mentioned aperture opening ratio of above-mentioned aperture opening ratio when handled object is implemented Cement Composite Treated by Plasma when forming pre-coating film.
In this way, consider that position between mounting table and the baffle plate concerns the influence of article on plasma body processing accuracy, adding man-hour, rising with mounting table, just can when processing treatment, make baffle plate move to optimum position by making baffle plate be fixed on the mounting table side.In other words,, just can accelerate film forming speed, and on handled object, form uniform film handled object by free radical more effectively being enclosed in the treatment chamber with baffle plate.On the other hand, when forming pre-coating film, by baffle plate is fixed on the chamber side and make mounting table and baffle plate between produce the gap, make the interior deposition free radical of free radical and exhaust chest in the treatment chamber be in much at one state, thereby the film forming speed that reduces treatment chamber and exhaust chest is poor, thus, make the pre-coating film thickness of treatment chamber and exhaust chest more equal, and can make film forming quality more even.
In addition, as controlling baffle plate so that the example that the pressure reduction of treatment chamber and exhaust chest reduces, can enumerate the baffle plate that has one or two above communicating poress and make the on-off mechanism of this communicating pores switch, when forming above-mentioned pre-coating film, on-off mechanism by the control baffle plate, regulate the aperture of this communicating pores, thereby make the method for the aperture opening ratio of above-mentioned aperture opening ratio when handled object is implemented Cement Composite Treated by Plasma.
In this way, the control on-off mechanism makes the aperture of baffle plate communicating pores reduce when processing.Thus, make treatment chamber keep meeting the pressure of processing condition, will deposit free radical and be enclosed in the treatment chamber, thus, accelerate film forming speed, and can form uniform film.On the other hand, when forming pre-coating film, trip switch mechanism makes the communicating pores aperture that is arranged on the baffle plate enlarge.Thus, reduce the pressure reduction of treatment chamber and exhaust chest, can make the interior deposition free radical of deposition free radical and exhaust chest in the treatment chamber be in much at one state.Its result, the film forming speed that reduces treatment chamber and exhaust chest is poor, and the thickness that makes what exhaust chest of treatment chamber get the pre-coated film further equates, and can form the uniform film of quality.
In addition, according to a second aspect of the present invention, a kind of plasma processing apparatus is provided, this device has a treatment chamber and a chamber that gives off the exhaust chest of gas of being implemented Cement Composite Treated by Plasma by mounting table and the paired handled object of barrier partitions, wherein, after above-mentioned chamber is cleaned, supply with free radical (deposition free radical) to above-mentioned exhaust chest, to promote on the inner wall surface of above-mentioned chamber, forming pre-coating film.
In general, supply with the gas that is used to form pre-coating film to treatment chamber, the deposition free radical in the plasma body of generation preferentially is used in and forms pre-coating film in the treatment chamber.As a result, make gas (deposition free radical) residual quantity that flows in the exhaust chest reduce.But, in the present invention, after cleaning, supply with the deposition free radical to exhaust chest from other approach.Thus, promote in exhaust chest, to form pre-coating film.Its result, the pre-coating film thickness of treatment chamber and exhaust chest is almost equal, and can be with uniform more quality film forming.
At this moment, the deposition free radical of supplying with to exhaust chest can be generated by remote plasma generator.In addition, above-mentioned free radical also can generate by supply with the gas that gas supplied is identical with to handled object enforcement Cement Composite Treated by Plasma the time to remote plasma generator.
In this way, for example, be under CVD (chemical Vapor deposition process) situation about handling in this method, forming pre-coating film time institute gas supplied and be same adding man-hour institute's gas supplied.Thus, pre-coating film is same film with the film that forms on substrate.When operation like this, the processing condition in the time of can setting the preceding processing condition of film forming with film forming are identical.Its result is not owing to consume on chamber inner wall etc., so can more stably carry out superior in quality film forming adding the free radical that generates man-hour.
Above-mentioned plasma processing apparatus can be the processing gaseous plasmaization that will supply with in chamber by seeing through the microwave of dielectric body by the slit, then handled object is implemented the microwave plasma processing apparatus of Cement Composite Treated by Plasma.
And, the dielectric body of this microwave plasma processing apparatus is made of a plurality of dielectric body parts, each dielectric body part is provided with together or the slit more than the twice, can by by above-mentioned together or the above slit of the twice microwave that sees through each dielectric body part respectively make the processing gaseous plasmaization that supplies in the chamber, thereby handled object is implemented Cement Composite Treated by Plasma.
In this way, on each dielectric body part, be respectively arranged with the slit, still, compared with prior art, because the area of each dielectric body significantly diminishes, so microwave can pass through each dielectric body part, propagation surface ripple equably on the surface of each dielectric body.As a result, when process window is enlarged, can more stably carry out Cement Composite Treated by Plasma with better precision.In addition, because can be by the miniaturization of dielectric body window and light-weighted each dielectric body part are constituted, so when can and more easily making microwave plasma processing apparatus, can also correspondingly with the big area handled object have handiness with low cost.
In addition, according to a third aspect of the present invention, a kind of control method of plasma processing apparatus is provided, this method is to having a chamber, and the method that this chamber is controlled for the treatment chamber of handled object being implemented Cement Composite Treated by Plasma and the plasma processing apparatus that gives off the exhaust chest of gas by mounting table and barrier partitions, wherein, when handled object is carried out Cement Composite Treated by Plasma, make above-mentioned mounting table lifting up to preposition, when chamber is cleaned or after cleaning, make above-mentioned mounting table lifting up to making the predetermined position that produces the gap between above-mentioned mounting table and the above-mentioned baffle plate.
In this way, adding man-hour,, keeping treatment chamber meeting under the pressure of processing conditions, will deposit free radical and be enclosed in the treatment chamber, accelerating film forming speed thus, and can form uniform film by mounting table is elevated to the predetermined position.On the other hand, after chamber being cleaned or cleaning, make between mounting table and the baffle plate by the lifting mounting table to produce the gap, can reduce the pressure reduction of treatment chamber and exhaust chest thus.Thus, make deposition free radical in the treatment chamber and the deposition free radical in the exhaust chest be in much at one state, poor by the film forming speed that reduces treatment chamber and exhaust chest, make that the thickness of pre-coating film of treatment chamber and exhaust chest is more equal, and can carry out film forming with uniform film quality.As a result, not only can shorten the time that forms pre-coating film significantly, and can prolong up to cycle of wash chamber next time.Its result can boost productivity.
In addition, according to a fourth aspect of the present invention, a kind of control method of plasma processing apparatus is provided, this method is to have a chamber, and this chamber is by having one or more communicating pores and making the baffle plate and the mounting table of the on-off mechanism of this communicating pores switch be divided into the control method of handled object being implemented treatment chamber with the plasma processing apparatus of the exhaust chest that gives off gas of Cement Composite Treated by Plasma, wherein, when handled object is carried out Cement Composite Treated by Plasma, make above-mentioned on-off mechanism slide into preposition, and when chamber is cleaned or after cleaning, make above-mentioned on-off mechanism slide into the predetermined position, make on the inner wall surface of above-mentioned chamber the aperture of the above-mentioned communicating pores when forming pre-coating film greater than the aperture of the above-mentioned communicating pores when implementing Cement Composite Treated by Plasma.
In this way, adding man-hour, by trip switch mechanism, so that the communicating pores aperture of baffle plate diminishes, keep treatment chamber to be in the pressure that meets processing condition, will deposit free radical and be enclosed in the treatment chamber, thus, film forming speed can be accelerated, and even mulch film can be formed.On the other hand, when forming pre-coating film, by trip switch mechanism, make the aperture that is arranged on the communicating pores on the baffle plate become big, thereby the pressure reduction of treatment chamber and exhaust chest is reduced, make deposition free radical in the treatment chamber and the deposition free radical in the exhaust chest be in much at one state, poor by the film forming speed that reduces each chamber, make that the pre-coating film thickness of treatment chamber and exhaust chest is more equal, and can form quality film more uniformly.
According to a fifth aspect of the present invention, a kind of control method of plasma processing apparatus is provided, this method is to have a chamber, and be divided into by mounting table and baffle plate handled object is implemented the treatment chamber of Cement Composite Treated by Plasma and given off the control method of plasma processing apparatus of the exhaust chest of gas, wherein, after above-mentioned chamber is cleaned, supply with free radical, to promote on the inner wall surface of this chamber, forming pre-coating film.
In this way, promoting in exhaust chest, to form pre-coating film by the free radical of supplying with to exhaust chest after the cleaning.Thus, form the thickness treatment chamber much at one and the pre-coating film of exhaust chest with uniform more film quality.
As illustrated, can provide the control method of a kind of plasma processing apparatus and this plasma body treatment unit according to the present invention, the feasible film that the inner wall surface of chamber is covered uniform thickness in the above.
Description of drawings
Fig. 1 is the longitudinal section of the microwave plasma processing apparatus of an embodiment of expression.
Fig. 2 is the figure at top of the chamber of an embodiment of expression.
Fig. 3 be used to illustrate in the first embodiment each operation and the figure of the position of pedestal relation.
Fig. 4 is a graph of a relation of representing the pressure P 2 of the pressure P 1 of treatment chamber and exhaust chest according to the gap between pedestal and the baffle plate.
Fig. 5 represents is in order to make power supply with the stagger relation of thickness of the necessary dielectric body of half period of waveguide and microwave phase, is used for illustrating the figure that concerns in the position of each operation of first variation of first embodiment and pedestal.
Fig. 6 is used for the figure that concerns between each operation of second embodiment that illustrates at first embodiment and the base position.
Fig. 7 is near the enlarged view the baffle plate in second embodiment of first embodiment.
Fig. 8 is the figure that is used for illustrating in each operation and the relation between the base position of second embodiment.
Label declaration:
10 chambers; 11 pedestals; 18 baffle plates; 18a, 18b bearing piece; 31 handle the gas supply source; 35,60 remote plasma generators (remote plasma); 40 controllers; 100 microwave plasma processing apparatus; The 10u treatment chamber; The 10d exhaust chest.
Embodiment
Below, with reference to accompanying drawing, describe preferred implementation of the present invention in detail.In this specification sheets and accompanying drawing, the textural element that has same functional structure is in fact marked same symbol, and the multiple explanation is then omitted.
In addition, in this manual, 1mTorr is (10
-3* 101325/760) Pa, 1sccm are (10
-6/ 60) m
3/ sec.
(first embodiment)
(structure of microwave plasma processing apparatus)
At first, the explanation that sees figures.1.and.2 relates to the structure of microwave plasma processing apparatus of first embodiment of the invention, and wherein, Fig. 1 is that Fig. 2 represents that this installs the end face of treatment chamber with this device sectional view of (vertical direction on the y axle) along the longitudinal.In the following description, describe as example with the gate oxide film formation method of using the microwave plasma processing apparatus that relates to present embodiment.
Microwave plasma processing apparatus 100 has the frame body that is made of chamber 10 and lid 20.Chamber 10 has the shape that end cubes rectangular parallelepiped is arranged, and has opening and ground connection at an upper portion thereof.Chamber 10 for example is made of aluminium metals such as (Al).
In the position of the inside of chamber 10 approximate centre, be provided with the pedestal (mounting table) 11 of placing handled objects such as substrate G.Pedestal 11 is for example to be formed by aluminium nitride.
Be provided with power supply 11a and well heater 11b in the inside of pedestal 11.On power supply 11a, connecting high frequency electric source 12b via matching box 12a (for example electrical condenser).In addition, on power supply 11a, also connecting high-voltage DC power supply 13b via coil 13a.Matching box 12a, high frequency electric source 12b, coil 13a and high-voltage DC power supply 13b are arranged on the outside of chamber 10, and high frequency electric source 12b and high-voltage DC power supply 13b be ground connection.
The AC power 14 that is connecting the outside that is arranged on chamber 10 on well heater 11b remains on predetermined temperature by the voltage of alternating current from AC power 14 outputs with substrate G.
The bottom surface opening of chamber 10 becomes tubular, and near the periphery of opening, an end of corrugated tube 15 is installed on the outer wall of chamber 10.On the other end of corrugated tube 15, fixing lifter plate 16.So, make the opening portion of chamber 10 bottom surfaces airtight by corrugated tube 15 and 16 of lifter plates.
In addition, bearing 11 is supported on the cylindrical shell 17 of configuration on the lifter plate 16, makes lifter plate 16 and cylindrical shell 17 1 liftings by the motivating force from electric motor 16a output.So, electric motor 16a is adjusted to required height with pedestal 11.
Around pedestal 11, be provided with and be used to control the baffle plate 18 that the air-flow that makes in the chamber 10 is in preferred condition.The inside of chamber 10 is separated into by pedestal 11 and baffle plate 18 carries out the treatment chamber 10u of Cement Composite Treated by Plasma and the exhaust chest 10d of emission gases to substrate G.In addition, be provided with in the lateral roughly middle part of the inwall of chamber 10 towards the side-prominent bearing piece 18a of pedestal 11.Baffle plate 18 place, neighboring in its lower section is supported on the bearing piece 18a, is fixed on the inwall side of chamber 10 thus.
In chamber 10, be provided with dry pump 19a, APC (automatic pressure control) 19b and TMP (turbomolecular pump) 19c as air-releasing mechanism 19.
The O shape circle 26 that chamber 10 and lid 20 are placed between the top excircle place of the following excircle place of lid body 21 and chamber 10 is fixed, thus, and the resistance to air loss that holding chamber is indoor.
Each waveguide 22 respectively by with axial vertical cross section be that the orthogonal rectangular waveguide is formed.For example, under the situation of TE10 pattern (TE ripple: transverse electromagnetic wave: magnetic field has the ripple of microwave direct of travel composition), each waveguide 22 becomes the H face parallel with magnetic field with the tube wall of axial vertical cross-section long side direction, and the tube wall of short side direction becomes the E face parallel with electric field.How the long side direction of each waveguide and short side direction dispose, and change according to pattern (electromagnetic field distribution in the waveguide).The inside of each waveguide 22 and branch-waveguide pipe 27 all is filled with for example aluminum oxide (Al
2O
3), dielectric medium such as quartz, fluorine resin.By these dielectric members according to λ
G1=λ
c/ (ε ε
1)
1/2Formula control the wavelength in pipe λ of each waveguide 22
G1At this, λ
cBe the wavelength of freeboard, ε
1It is the specific inductivity of dielectric materials.
As shown in FIG. 1, slot antenna 23a~23f is separately positioned on the bottom surface of waveguide 22a~22f.As shown in FIG. 2, on each slot antenna 23, be respectively arranged with 13 slit 23a that are the through hole form.
The slit 23a of each slot antenna 23 is for example with λ
g/ 2 equal intervals configuration.So, just dispose 78 (=13 * 6) slit 23a at the top of chamber 10.
Below slot antenna 23, be provided with the dielectric feature 24 of 39 rectangular flat plate shapes.Each dielectric feature 24 is by the material that can see through microwave, for example silica glass, aluminium nitride (AlN), aluminum oxide (Al
2O
3), formation such as sapphire, SiN, pottery.
As shown in FIG. 2, beam 25 forms reticulation, is supporting 39 dielectric feature 24 below slot antenna 23.Beam 25 is the conductors that are made of non-magnetic body metals such as aluminium, make shown in Fig. 1 via slot antenna 23, lid body 21 and chamber 10 ground connection.In the inside of each beam 25, be penetrated with many gas introduction tubes 29, from jet hole 30 (with reference to Fig. 2) the inject process gas of gas introduction tube 29 front ends.
The processing gas supply source 31 of Fig. 1 is by valve (valve 31a1, valve 31a3, valve 31b1, valve 31b3, valve 31b5, valve 31b7, valve 31c1, valve 31c3), mass flowmeter (mass flowmeter 31a2, mass flowmeter 31b2, mass flowmeter 31b6, mass flowmeter 31c2) and gas supply source (O
2Gas supply source 31a4, SiH
4With gas supply source 31b4, Ar gas supply source 31b8, CF
4Gas supply source 31c4) constitutes.
Handle gas supply source 31,, optionally various processing gases are supplied in the chamber 10 by controlling the switch of each valve.Control the flow of the processing gas of supply respectively by each mass flowmeter, thereby will handle gas regulation to required concentration.
For example, adding man-hour, from O
2Gas supply source 31a4 supplies with O
2Gas is ejected among the treatment chamber 10u by gas flow 32a.Respectively by SiH
4Gas supply source 31b4 and Ar gas supply source 31b8 supply with SiH
4Gas and Ar gas are ejected among the treatment chamber 10u by gas passage 32b.
In addition, for example when cleaning, respectively from O
2Gas supply source 31a4 and CF
4Gas supply source 31c4 supplies with O
2Gas and CF
4Gas is ejected among the treatment chamber 10u by gas passage 32a.
In the outside of microwave plasma processing apparatus 100, be provided with remote plasma generator 35.This remote plasma generator 35 has processing vessel 35a, coil 35b, high frequency electric source 35c, capacitor C and transfer lime 35d, uses when cleaning in to chamber 10.
The dielectric medium manufacturing that processing vessel 35a is made of the tubular part of hollow.On the periphery of processing vessel 35a, coil 35b with helical coil.The end of this coil 35b is connecting high frequency electric source 35c, its other end ground connection.On high frequency electric source 35c, connecting and be used for the capacitor C of isolated DC composition.
To processing vessel 35a, supply with for example CF from handling gas supply source 31
4Gas, O
2Gas and Ar gas are as purge gas.As the other example of purge gas, also can supply with NF
3Gas and Ar gas.When the high-frequency electric power of exporting is applied on the coil 35b, around coil 35b, produce high frequency magnetic field from high frequency electric source 35c.By this magnetic field over time institute's induced induction field make purge gas plasma bodyization in the processing vessel 35a.In the inductively coupled plasma (ICP) that so generates, the life-span of free radical is long.As a result, have only active F free radical to be fed among the treatment chamber 10u via transport pipe 35d.
In addition, in the outside of microwave plasma processing apparatus 100, water coolant supply source 33 is installed.This water coolant supply source 33 by making cooling water circulation supplying in the water channel that is arranged on lid body 21 inside, makes the 21 inner coolings of lid body.
And, in the outside of microwave plasma processing apparatus 100, controller 40 is installed also.This controller 40 according to the preset time table respectively to electric motor 16a and APC19b output drive signal.In treatment chamber 10u, be provided with first pressure transmitter 41 that is connected with controller 40, be used for detecting the pressure P 1 of treatment chamber 10u.Equally, second pressure transmitter 42 that is connected with controller 40 is arranged among the exhaust chest 10d, is used for detecting the pressure P 2 among the exhaust chest 10d.
By structure so, to each waveguide 22, see through each dielectric feature 24 again by each slit and be injected among the treatment chamber 10u from the microwave propagation of 28 outputs of microwave generator shown in Fig. 2.Owing to so inject the electric field energy of the microwave in the treatment chamber 10u, make from handling the film forming gas plasma bodyization that gas supply source 31 is supplied with, on substrate G, form gate oxide film.When owing to multi-piece substrate G being implemented film forming and handles the resultant of reaction of piling up on the inner wall surface that makes at chamber and reach preset thickness, handle gas supply source 31 and remote plasma generator 35 and just supply with fluoro-gas as purge gas, by the effect of the F free radical in the plasma body that generates by purge gas, the inwall of chamber is cleaned.After cleaning, supply with film forming gas from handling gas supply source 31 again, with film forming the time, on the inwall of chamber, form the same pre-coating film of gate oxide film under the same processing condition.Sending into substrate G when pre-coating film reaches certain thickness once more carries out film forming again and handles.
(lifting action of pedestal 11)
Below, (1) film forming (formation gate oxide film) that illustrates as above is described; (2) clean; As shown in Figure 3 the action of pedestal 11 in each operation demonstrates inventor's result of experiment in practice simultaneously when (3) forming fluoro-gas.
The processing condition that the inventor sets in each operation when experiment are as follows
(1) processing condition during film forming (formation gate oxide film)
This moment processing condition be, the pressure of treatment chamber 10u is 200mTorr, the power of microwave is 2.55kW * 3 (using 3 microwave generators 28).The kind of gas is used Ar gas, SiH
4Gas and O
2Gas, their flow is 1500sccm for Ar gas, SiH
4Gas is 150sccm, O
2Gas is 950sccm.In addition, the temperature of substrate G is got 300 ℃.In addition, the distance of substrate G and dielectric feature 24 is 166mm.
Processing condition when (2) cleaning
In the above description, enumerate CF as purge gas
4Gas, O
2Gas and Ar gas are example, but use the NF as fluoro-gas when experiment
3Gas and Ar gas.Its gas flow is 1000sccm for Ar gas, NF
3Gas is 1000sccm.In addition, the pressure of treatment chamber 10u is 2Torr, is 10.8kW from the output of high frequency electric source 35c.In addition, the distance between substrate G and the dielectric feature 24 is 194mm.
When processing condition when (3) forming pre-coating film and film forming is same condition.
(1) film forming
Before beginning to form the technology of gate oxide film, controller 40 sends actuate signal to electric motor 16a, makes pedestal 11 be elevated to the certain altitude of processing condition regulation.Make pedestal 11 rise to predetermined height (on Fig. 3) by power from the corresponding electric motor 16a output of actuate signal therewith.
Under this state, send actuate signal by controller 40 to handling gas supply source 31, be used for supplying with film forming gas, make that handling gas supply source 31 supplies with Ar gas, SiH in treatment chamber 10u
4Gas and O
2Gas.These film forming gas are all by microwave plasmaization.
Rise at pedestal 11 under the state of certain altitude, almost very close to each other between pedestal 11 and the baffle plate 18.In addition, APC19b is controlled and its valve body is opened.Thus, can make treatment chamber 10u keep meeting the pressure (about 50~500mTorr) of processing condition.As a result, be enclosed in the treatment chamber 10u, make it possible to accelerate film forming speed, on substrate G, implement the film forming of high uniformity by the deposition free radical in the plasma body that film forming gas is generated.
(2) clean
When by on multi-piece substrate G, forming the processing of gate oxide film repeatedly respectively, when making the resultant of reaction of on chamber inner wall, piling up reach certain thickness, to cleaning in the chamber.At this moment, controller 40 is sent actuate signal to electric motor 16a, the feasible gap that adds between big base 11 and the baffle plate 18.Power by the corresponding electric motor 16a output of actuate signal therewith makes pedestal 11 drop to certain height (under Fig. 3).Under this state, between pedestal 11 and baffle plate 18, produce certain clearance (gap S).Therefore, gas flows to exhaust chest 10d from treatment chamber 10u easily, makes that the pressure reduction between the pressure P 2 of the pressure P 1 of treatment chamber 10u and exhaust chest 10d reduces.
For example, as can be seen, when film forming, as shown in the curve (A) of Fig. 4, when the pressure P 1 in the treatment chamber 10u was 500mTorr, the pressure P 2 of exhaust chest 10d was 250mTorr, and the pressure P 1 of treatment chamber 10u is higher than the pressure P 2 of exhaust chest 10d.
On the other hand, when cleaning as can be seen, when pedestal 11 declines make gap S become 1cm, as shown in the curve among Fig. 4 (B), when the pressure P 1 of treatment chamber 10u is 500mTorr, the pressure P 2 of exhaust chest 10d is 480mTorr, and the pressure reduction of the pressure P 1 of treatment chamber 10u and the pressure P 2 of exhaust chest 10d becomes very little.
Under this state, send into the actuate signal that is used for supplying with purge gas by making controller 40 to handling gas supply source 31, make that handling gas supply source 31 supplies with NF in treatment chamber 10u
3Gas and Ar gas.This purge gas is by microwave plasmaization.
By above-mentioned actuate signal, handle gas supply source 31 with NF
3Gas and Ar gas supply in the remote plasma generator 35.Remote plasma generator 35 supplies to this purge gas plasma bodyization in the exhaust chest 10d with the F free radical.Specifically, purge gas (NF
3, Ar) be fed in the processing vessel 35a, on coil 35b, apply the high-frequency electric power of high frequency electric source 35c.Thus, gas is by by the high frequency magnetic field induced high-frequency electric field plasma bodyization that produces around the coil 35b, has only F free radical in the plasma body long and finally be passed among the transfer lime 35d and supply in the chamber owing to its life-span.The F radical pair that is supplied to is attached to the SiO on the chamber inner wall
xFilm corrodes, and becomes SiF
x(SiF
1, SiF
2, SiF
3And SiF
4) gas and being discharged into outside the chamber.Residual O
xWith the NF that is fed among the treatment chamber 10u
3N reaction, generate NO or NO
2Deng gas and be discharged into outside the chamber.
As mentioned above, when cleaning, be positioned at the below, gas is flowed to the exhaust chest 10d from treatment chamber 10u easily, thereby reduced the pressure reduction between the pressure P 2 of the pressure P 1 of treatment chamber 10u and exhaust chest 10d by making pedestal 11.According to above-mentioned formula (1), because the pressure difference of each chamber diminishes, the F free radical of treatment chamber 10u and the F free radical of exhaust chest 10d are under much at one the state, therefore, can make the cleaning speed of each chamber not have difference.Thus, SiF
xGas or NO, NO
2Deng the formation speed of gas at treatment chamber 10u and almost equal in exhaust chest 10d.Its result when the inwall that makes treatment chamber 10u and exhaust chest 10d is subjected to cleaning more equably, can also shorten its scavenging period significantly.
Yet as mentioned above, what use when cleaning microwave plasma processing apparatus 100 is the plasma body of fluoro-gas, and still, the body of chamber is made by Al, and the top is to use Al
2O
3Make.Under such situation, as F ion pair Al
2O
3When corroding, can cut off the key between the Al-O, part generates the film of Al-F etc.The bond energy of Al-F key is 159kcal/mol, and the bond energy of Al-O key is 120kcal/mol, and their bonding state is same stable.As a result, when cleaning, the Al at the Al of chamber body and top sometimes
2O
3Fluoridized, chamber inner wall or top can partly become AlF.
In addition, because the SiF that when cleaning, generates
4Perhaps F
2Bonding state be stable, its part can not be discharged into outside the chamber, sometimes by physical adsorption on chamber inner wall.The SiF of absorption like this
4Perhaps F
2, easy disengaging because sorptive power is smaller.In addition, the partially fluorinated AlF on chamber inner wall as mentioned above, the ion during by film forming cuts off the Al-F key and becomes F, and is discharged in the chamber.So be present in the chamber and the fluorine-containing residue that produces can break away from, sneak into film in the film forming and the generation problem.
In addition, usually the qualification rate of goods when improving film forming is stably made goods, before making the handled object film forming, in chamber 10, supply with free radical, be necessary to make in chamber 10 film former and gaseous emission is in stable status to a series of circulations such as outside of chamber 10.In other words, by with the processing condition in the chamber from setting during to film forming to such an extent that be the same condition before the film forming, make can not consume on inwall etc. adding the free radical that produces man-hour, carry out stable film forming and be necessary.
As illustrated, derive from the F of the disengagings such as Al-F that on chamber inner wall, exist or the SiF that breaks away from from chamber inner wall in the above
4Or F
2Become the reason that reduces film quality, in order to address this problem, simultaneously from setting the viewpoint of same processing condition before the film forming during to film forming, after cleaning (when forming pre-coating film) before the film forming, the identical gaseous plasmaization of gas supplied when making with film forming, plasma body is at the surface coverage upper film (promptly forming so-called pre-coating film) of chamber inner wall thus.Below, the lifting action of pedestal 11 when forming this pre-coating film is described.
(3) form pre-coating film
When forming pre-coating film, identical SiO by with processing treatment the time
2Film (gate oxide film) covers the inner wall surface of chamber.At this moment, still produce predetermined gap (gap S) between pedestal 11 and the baffle plate 18.Therefore, keep gas to flow to state the exhaust chest 10d from treatment chamber 10u easily in chamber, the pressure reduction of the pressure P 1 of treatment chamber 10u and the pressure P 2 of exhaust chest 10d is still very little.
Under this state, send into the actuate signal that supply is used to form pre-coating film gas by controller 40 to handling gas supply source 31, handle gas supply source 31 and in treatment chamber 10u, supply with the gas identical, i.e. Ar gas, SiH once more with film forming gas
4Gas and O
2Gas.This film forming gas is by microwave plasmaization.
As mentioned above, according to above-mentioned formula (1),, make the deposition free radical of the deposition free radical of treatment chamber 10u and exhaust chest 10d be in much at one state, thereby can make the film forming speed of each chamber not have difference by reducing the pressure reduction of each chamber.Its result, the thickness of the pre-coating film of treatment chamber 10u and exhaust chest 10d is just more equal, and when can forming membranous uniform film, can also shorten the time that forms the certain thickness pre-coating film significantly.
So, in the present embodiment, when cleaning and when forming pre-coating film, the gap between pedestal 11 and the baffle plate 18 is set at gap S.Thus, in wash chamber inwall more equably, also make the thickness of pre-coating film more equal, and can make the quality of film of formation more even.As a result, can shorten the time of scavenging period and formation pre-coating film significantly, to boost productivity.
In addition, when film forming, baffle plate 18 is raise, make between baffle plate 18 and pedestal 11 almost very close to each other.As a result, because the distribution of the deposition free radical of treatment chamber 10u is become evenly, just can on substrate G, form superior in quality gate oxide film.
(first embodiment of first embodiment)
Below, the structure and the action of the microwave plasma processing apparatus 100 of first embodiment that relates to first embodiment are described with reference to Fig. 5.In this device, the side wall portion of pedestal 11 is provided with the bearing piece of supporting baffle 18, is fixed at baffle plate 18 on this point that can load and unload on any one of the inwall side of chamber 10 or pedestal sidewall that to be fixed on the microwave plasma processing apparatus of first embodiment on the inwall side of chamber 10 different with baffle plate 18.Therefore, be that the center describes with this difference.
At the inwall sidepiece of chamber 10, be equipped with at the approximate centre position to the side-prominent bearing piece 18a of pedestal 11.In addition, also be equipped with at approximate centre position, the side of pedestal 11 to the outstanding bearing piece 18b of the side wall side of chamber 10.The height of baffle plate 18 by pedestal 11 is fixed in chamber 10 or the pedestal 11 on any one and can loads and unloads.
Below, the lifting action at the situation bottom base 11 of present embodiment is described.
(1) film forming
When film forming, controller 40 is sent actuate signal to electric motor 16a, makes electric motor 16a action according to this actuate signal, thereby makes pedestal 11 rise to predetermined height.Between the rising stage, baffle plate 18 is gone up by the bearing piece 18b on the supported inner periphery in its lower section and is fixed on the sidewall of pedestal 11, and baffle plate 18 rises to predetermined height (on Fig. 5) with pedestal 11.
Under this state, almost very close to each other between pedestal 11 and the baffle plate 18.The pressure P 1 of treatment chamber 10u is remained under the state that meets processing conditions.Its result is enclosed in the treatment chamber owing to will deposit free radical, makes and accelerates film forming speed, and form the SiO of high homogeneity on substrate G
2Film.
(2) clean
When the resultant of reaction of piling up on chamber inner wall reached certain thickness, controller 40 was sent actuate signal to electric motor 16a, and electric motor 16a is according to this actuate signal action, thereby made pedestal 11 drop to predetermined height (under Fig. 5).Between decrement phase, when the baffle plate 18 on being fixed on pedestal 11 dropped to the height of the bearing piece 18a that chamber 10 sides are provided with, baffle plate 18 bearing piece 18a on the neighboring below it matched.After this, pedestal 11 continues to descend, and the bearing piece 18b on baffle plate 18 and pedestal 11 sides is separated, and it is fixed on the lateral bearing piece 18a of chamber 10 inwalls, has only pedestal 11 to drop to predetermined height.
Under this state, when supplying with purge gas, owing between pedestal 11 and baffle plate 18, produce certain clearance (gap S), make the pressure reduction between the pressure P 2 of the pressure P 1 of treatment chamber 10u and exhaust chest 10d reduce, make the deposition free radical of treatment chamber 10u and the deposition free radical of exhaust chest 10d be in almost same state, thereby, can make the cleaning speed of each chamber not have difference.Thus, SiF
xGas or NO, NO
2Deng the formation speed of gas at treatment chamber 10u and almost equal in exhaust chest 10d.Its result, with identical in the situation of first embodiment, the inwall of treatment chamber 10u and exhaust chest 10d also can shorten its scavenging period significantly when being subjected to cleaning more equably.
(3) form pre-coating film
When forming pre-coating film, because the height of pedestal 11 also is in original state, the pressure reduction between the pressure P 1 of treatment chamber 10u and the pressure P 2 of exhaust chest 10d is still very little.Under this state, identical with the situation of first embodiment, when supply is used to form the gas of pre-coating film, the deposition free radical of generation in treatment chamber 10u and the state in exhaust chest 10d much at one, the film forming speed of each chamber does not almost have difference.As a result, the pre-coating film thickness of treatment chamber 10u and exhaust chest 10d is just more equal, and in can the adequate relief film forming, can also shorten this pre-coating film significantly and reach the certain thickness time.
So, in the present embodiment, when cleaning and when forming pre-coating film, baffle plate 18 is fixed on the side of chamber inner wall.So, make between pedestal 11 and baffle plate 18, to be provided with gap S, so the state of deposition free radical much at one, thereby, in wash chamber inwall more equably, also make the thickness of pre-coating film more equal, and can be formed uniformly the quality of its film.As a result, can shorten the time of scavenging period and formation pre-coating film significantly.Its result can boost productivity.
On the other hand, in the present embodiment, the baffle plate 18 when film forming is fixed on the side of pedestal 11.Baffle plate 18 and pedestal 11 are risen together.The mounting table of placement substrate G and baffle plate 18 locational relations are to SiO
2The quality of film has very big influence.Thereby, as at present embodiment, by baffle plate 18 and pedestal 11 are moved to optimum position together, and can on substrate G, form superior in quality gate oxide film.
(second embodiment of first embodiment)
Below, explanation relates to the structure and the action of the microwave plasma processing apparatus 100 of first embodiment, second embodiment with reference to Fig. 6.The baffle plate 18 that relates to present embodiment is provided with one or two above communicating poress and is used for the on-off mechanism of this communicating pores of switch, regulates on the aperture this point of communicating pores different with the microwave plasma processing apparatus 100 of regulating first embodiment of gap S between pedestal 11 and the baffle plate 18 by lifting base 11 at on-off mechanism thus.Therefore be that the center describes with this difference.
As as shown in Fig. 7 of part enlarged view shown in the XP of Fig. 6, baffle plate 18 has on-off mechanism 18d, is used for switch to have the baffle body 18c and the communicating pores 18c1 thereof of one or two above communicating poress (only representing communicating pores 18c1 in the drawings).
The support of the bearing piece 18b of baffle body 18c by being installed in approximate centre position, pedestal 11 side, and on the pedestal 11 sidewall approximate centre positions of the inner periphery in its lower section of being fixed.On-off mechanism 18d has the shape same with baffle body 18c, has the communicating pores that communicating pores with baffle body 18c is in same position and same shape, its closely be arranged on baffle body 18c above.On-off mechanism 18d turning on the power transmission member on its outer peripheral sidewall 50 processes screw thread.The sidewall that power transmission member 50 passes chamber 10 is connected with electric motor 51.The boundary of the outer wall of chamber 10 and power transmission member 50 thus, keeps the resistance to air loss in the chamber 10 by 52 sealings of O shape circle.
The power of electric motor 51 is passed on the on-off mechanism 18d through power transmission member 50, thus, on-off mechanism 18d is slided on left and right directions.When on-off mechanism 18d so slided, the position of the communicating pores 18c1 of baffle body 18c and the communicating pores 18d1 of on-off mechanism 18d was just moved and is staggered.So pass through to regulate gap (the port area S of communicating pores 18c1 and communicating pores 18d1), and the aperture opening ratio between control pedestal 11 and chamber 10 sidewalls.
Below, explanation relates to the lifting action of the pedestal 11 of present embodiment with reference to Fig. 6.
(1) film forming
When film forming, controller 40 is sent actuate signal to electric motor 16a.Electric motor 16a is by the power from the corresponding electric motor 16a output of actuate signal therewith, makes on-off mechanism 18d slide a certain amount of (on Fig. 6).The communicating pores 18c1 that runs through baffle plate 18 and the port area S of communicating pores 18d1 are reduced.Make the value that pressure P 1 keeps and processing condition adapt of treatment chamber 10u thus.As a result, owing to will deposit free radical and be enclosed in the treatment chamber, and can accelerate film forming speed on substrate G, the film forming of enforcement high uniformity.
(2) clean
When the resultant of reaction of piling up on chamber inner wall reached certain thickness, controller 40 was sent actuate signal to electric motor 16a.Electric motor 16a is by the power from the corresponding electric motor 16a output of actuate signal therewith, makes on-off mechanism 18d slide on the opposite direction with film forming the time a certain amount of (under Fig. 6).Thus, make the port area S of the communicating pores 18c1 that runs through baffle plate 18 and communicating pores 18d1 become big.So, enlarge, and can reduce the pressure reduction of the pressure P 2 of the pressure P 1 of treatment chamber 10u and exhaust chest 10d by when cleaning, making the aperture opening ratio between pedestal 11 and the baffle plate 18.Under this state, in chamber, supply with purge gas, chamber inner wall is cleaned.As a result, make and when the inwall of treatment chamber 10u and exhaust chest 10d is cleaned more equably, can also shorten the time of this cleaning significantly.
(3) form pre-coating film
When forming pre-coating film, film forming gas is supplied with in the position of on-off mechanism 18d under the state that remains unchanged.Thus, in treatment chamber 10u and in exhaust chest 10d the deposition free radical state much at one.As a result, make the thickness of pre-coating film of treatment chamber 10u and exhaust chest 10d more equal, and can form quality film more uniformly.The result can make this pre-coating film form to reach the certain thickness time to shorten significantly.
As illustrated in the above, in the present embodiment, by the on-off mechanism 18d of control baffle plate 18, the aperture of regulating one or two above communicating poress makes when cleaning and the aperture opening ratio of the aperture opening ratio during the formation pre-coating film during greater than film forming.Can reduce the pressure reduction between the pressure P 2 of the pressure P 1 of treatment chamber 10u and exhaust chest 10d thus.As a result, by make among the treatment chamber 10u and exhaust chest 10d in the deposition free radical state much at one, and can make the film forming speed of each chamber not have difference.Make the pre-coating film thickness of treatment chamber 10u and exhaust chest 10d more equal thus, and can form quality film more uniformly.In addition, when film forming,, will deposit free radical and be enclosed in the treatment chamber, thereby accelerate film forming speed, and can on substrate G, implement uniform film forming by reducing to run through the communicating pores 18c1 of baffle plate 18 and the port area S of communicating pores 18d1.
(second embodiment)
Below, explanation relates to the structure and the action of the microwave plasma processing apparatus 100 of second embodiment with reference to Fig. 8.In this device, except supply with the film forming use regulate the flow of vital energy body processing gas supply source 31 and supply with the remote plasma generator 35 of purge gas (both be omitted in Fig. 8, with reference to Fig. 1) in addition, just have to supply with and form pre-coating film and do not have the first embodiment microwave plasma processing apparatus of remote plasma generator 60 different with side on remote plasma generator 60 this point of gas at exhaust chest 10d in the side of exhaust chest 10d.In the present embodiment, also different on pedestal 11 not lifting this point with first embodiment of pedestal 11 liftings.Be that the center describes only thus with the difference.
Be arranged on the remote plasma generator 60 of microwave plasma processing apparatus 100 outsides, have processing vessel 60a, coil 60b, high frequency electric source 60c, capacitor C and transport pipe 60d, use when in chamber 10, forming pre-coating film.
In processing vessel 60a, to supply with as forming the pre-coating film gases from handling gas supply source 31, the processing gas of this gas when substrate G is carried out Cement Composite Treated by Plasma is that identical (at this is SiH
4Gas, O
2Gas, Ar gas).In the time will being applied on the coil 60b from the high-frequency electric power of high frequency electric source 60c output, around coil 60b, just produce high frequency magnetic field.The induction field that induces over time of magnetic field makes the gaseous plasmaization in the processing vessel 60a thus.In the inductively coupled plasma that so generates, the life-span of free radical is long.The only activated deposition free radical of result is fed among the treatment chamber 10u through transport pipe 60d.
Below, explanation relates to the action of the remote plasma generator 60 of present embodiment with reference to Fig. 8.
(1) during film forming and when cleaning
When film forming and when cleaning, controller 40 is not sent actuate signal to remote plasma generator 60.Thus, remote plasma generator 60 does not all have action (on Fig. 8) when film forming and when cleaning.Thereby, when film forming, as shown in FIG. 1, supply with film forming gas to treatment chamber 10u from handling gas supply source 31, on substrate G, implement film forming and handle.In addition, when cleaning, from handling gas supply source 31 and remote plasma generator 35 to treatment chamber 10u supply purge gas, to cleaning in the chamber 10.
When (2) forming pre-coating film
When forming pre-coating film, to treatment chamber 10u, supply with SiH from handling gas supply source 31
4Gas, O
2Gas and Ar gas.Institute's gas supplied sees through dielectric feature 24 and by the electric field energy plasma bodyization of microwave, thus, forms the gate oxide film as pre-coating film in the inside of chamber 10.
Usually, owing to supply with the film forming that the gas of treatment chamber 10u preferentially is used for treatment chamber 10u, gas (deposition free radical) residual quantity that flows among the exhaust chest 10d tails off.In addition, S almost very close to each other between baffle plate 18 and the pedestal 11.So treatment chamber 10u and the pressure reduction between the exhaust chest 10d that is separated by baffle plate 18 just increases, because the deposition free radical is closed in the treatment chamber 10u, the deposition free radical that flows to exhaust chest 10d from treatment chamber 10u is just considerably less.As a result, the pre-coating film in exhaust chest 10d is compared with the pre-coating film among the treatment chamber 10u and is just become extremely thin.
But in the present embodiment, remote plasma generator 60 is supplied with the deposition free radical in exhaust chest 10d.More specifically say so at first, controller 40 is sent actuate signal to high frequency electric source 60c.Then, high frequency electric source 60c is according to this actuate signal and to coil 66 supply high frequency electric power (under Fig. 8).
When on coil 60b, applying high-frequency electric power, around coil 60b, produce high frequency magnetic field, the high-frequency electric field of magnetic field induction can make the gaseous plasmaization in the processing vessel 60a thus.In the inductively coupled plasma that so generates, the life-span of free radical is long.As a result, have only the active deposit free radical to be fed among the treatment chamber 10u through transport pipe 60d.
So, reduce, also can promote on the inner wall surface of exhaust chest 10d, to form pre-coating film by the deposition free radical of supplying with by remote plasma generator 60 even flow to the residual quantity of the deposition free radical of exhaust chest 10d from treatment chamber 10u.Its result is even pedestal 11 not liftings also can make the thickness of pre-coating film of the pre-coating film of treatment chamber 10u and exhaust chest 10d more equal, but also can form the uniform film of quality.
As described above, according to each embodiment, make membranous more even on the inwall of the inwall of treatment chamber 10u and exhaust chest 10d, and can in the shorter time, form the almost equal pre-coating film of thickness.Thus, adding the thickness that is deposited in the stores on the chamber inner wall man-hour, arriving the time of film cover thickness owing to prolonged, and can prolong the cycle of wash chamber.As a result, can boost productivity.
In each embodiment, the aperture opening ratio between pedestal 11 and chamber 10 internal side wall is preferably 1.4%.
In first embodiment of first embodiment and first embodiment, when cleaning, sent and make pedestal 11 be reduced to the actuate signal in predetermined position.But, can be not yet when cleaning, but when forming pre-coating film, send actuate signal by controller 40.If so, pedestal 11 just drops to the predetermined position when forming pre-coating film.
Equally, in second embodiment of first embodiment, be when cleaning, to send the actuate signal that makes on-off mechanism 18d slide into the predetermined position.But, also can when forming pre-coating film, send actuate signal by controller 40.So, when forming pre-coating film, control, and make aperture opening ratio become big.
In the respective embodiments described above, not only can use NF as purge gas
3, SF
6, CF
4Etc. fluorine-containing purge gas, also can use for example Cl or Cl
2Etc. chloride purge gas.
In the respective embodiments described above, in order when cleaning, to generate the F free radical and when forming pre-coating film, to generate the deposition free radical, used the method that generates plasma body by remote plasma generator.But the method that generates each free radical is not limited to this, for example generates and also is fine by supplying with heat or light, radioactive rays homenergic.
Particularly when cleaning, can use simultaneously and handle gas supply source 31 and remote plasma generator 35, also can only use remote plasma generator 35, also can only use and handle gas supply source 31.
In the above-described embodiment, the action of each several part is inter-related, considers mutual association, can replace as a series of action.Therefore, by such replacement, can be the embodiment of the embodiment of microwave plasma processing apparatus 100 as controlled microwave plasma processing apparatus 100 methods.
Above with reference to description of drawings preferred implementation of the present invention, but much less the present invention is not limited to related example.Self-evident, so long as those skilled in the art can carry out various changes or correction within the scope of the claims, this is construed as certainly belongs in the technical scope of the present invention.
For example, relating to plasma processing apparatus of the present invention and be not limited to microwave plasma processing apparatus, can be jigger coupling type plasma processing apparatus, also can be capacitive coupling plasma processing apparatus.
Relating to plasma processing apparatus of the present invention also can be the microwave plasma processing apparatus with a plurality of tile dielectric bodies, can also be the microwave plasma processing apparatus with big area dielectric body of not cutting apart at tile.
In relating to plasma processing apparatus of the present invention, be not limited to carry out CVD and handle, all can be by generating the processing that plasma body carries out can to carry out ashing treatment, etching processing etc.
Utilize possibility on the industry
The present invention covers the plasma treatment of film more equably applicable to the inwall that makes chamber Device and the method for controlling this plasma treating apparatus.
Claims (6)
1. plasma processing apparatus, it has a chamber, and wherein, this chamber, be is characterized in that for handled object being implemented the treatment chamber of Cement Composite Treated by Plasma and given off the exhaust chest of gas by mounting table and barrier partitions:
After described chamber is cleaned, supply with free radical to described exhaust chest, to promote on the inner wall surface of described chamber, forming pre-coating film.
2. plasma processing apparatus as claimed in claim 1 is characterized in that:
Described free radical is produced by remote plasma generator.
3. plasma processing apparatus as claimed in claim 2 is characterized in that:
Described free radical produces by supply with the gas that gas supplied is identical with to handled object enforcement Cement Composite Treated by Plasma the time to described remote plasma generator.
4. as each described plasma processing apparatus in the claim 1~3, it is characterized in that:
Described plasma processing apparatus is to make the processing gaseous plasmaization of supplying with by the microwave that also sees through dielectric body by the slit in described chamber, handled object is implemented the microwave plasma processing apparatus of Cement Composite Treated by Plasma.
5. plasma processing apparatus as claimed in claim 4 is characterized in that:
Described dielectric body is made of a plurality of dielectric body parts,
Each dielectric body part is provided with the slit more than or two,
Make the processing gaseous plasmaization of in chamber, supplying with by the microwave that sees through each dielectric body by described or two above slits respectively, handled object is implemented Cement Composite Treated by Plasma.
6. the control method of a plasma processing apparatus, this method is to have a chamber and by mounting table and baffle plate this chamber is divided into the control method of handled object being implemented treatment chamber with the plasma processing apparatus of the exhaust chest that gives off gas of Cement Composite Treated by Plasma, it is characterized in that:
After described chamber is cleaned, supply with free radical, to promote on the inner wall surface of described chamber, forming pre-coating film.
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
JP2006010144A JP5324026B2 (en) | 2006-01-18 | 2006-01-18 | Plasma processing apparatus and plasma processing apparatus control method |
JP2006010144 | 2006-01-18 |
Related Parent Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CNB2006101645216A Division CN100454498C (en) | 2006-01-18 | 2006-12-05 | Plasma processing apparatus and controlling method for plasma processing apparatus |
Publications (1)
Publication Number | Publication Date |
---|---|
CN101423928A true CN101423928A (en) | 2009-05-06 |
Family
ID=38449823
Family Applications (2)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CNB2006101645216A Expired - Fee Related CN100454498C (en) | 2006-01-18 | 2006-12-05 | Plasma processing apparatus and controlling method for plasma processing apparatus |
CNA2008101790740A Pending CN101423928A (en) | 2006-01-18 | 2006-12-05 | Plasma processing apparatus and controlling method for plasma processing apparatus |
Family Applications Before (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CNB2006101645216A Expired - Fee Related CN100454498C (en) | 2006-01-18 | 2006-12-05 | Plasma processing apparatus and controlling method for plasma processing apparatus |
Country Status (4)
Country | Link |
---|---|
JP (1) | JP5324026B2 (en) |
KR (1) | KR100854808B1 (en) |
CN (2) | CN100454498C (en) |
TW (1) | TW200731357A (en) |
Families Citing this family (339)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US8008166B2 (en) * | 2007-07-26 | 2011-08-30 | Applied Materials, Inc. | Method and apparatus for cleaning a substrate surface |
KR100927375B1 (en) * | 2007-09-04 | 2009-11-19 | 주식회사 유진테크 | Exhaust unit, exhaust control method using same, substrate processing apparatus including the exhaust unit |
JP5759177B2 (en) | 2008-02-08 | 2015-08-05 | ラム リサーチ コーポレーションLam Research Corporation | Plasma processing apparatus, method for processing semiconductor substrate, and axis perpendicular displacement bellows unit |
KR101490431B1 (en) * | 2008-02-15 | 2015-02-11 | 엘아이지에이디피 주식회사 | Plasma processing apparatus for control of baffle opening volume |
JP5202372B2 (en) * | 2008-03-14 | 2013-06-05 | 東京エレクトロン株式会社 | Metal contamination reduction method for film forming apparatus, semiconductor device manufacturing method, storage medium, and film forming apparatus |
CN101620981B (en) * | 2008-06-30 | 2011-07-06 | 中芯国际集成电路制造(北京)有限公司 | Inorganic film etching method in semiconductor manufacture procedure and shallow groove isolation area forming method |
JP4473344B2 (en) * | 2008-07-15 | 2010-06-02 | キヤノンアネルバ株式会社 | Plasma processing method and plasma processing apparatus |
US10378106B2 (en) | 2008-11-14 | 2019-08-13 | Asm Ip Holding B.V. | Method of forming insulation film by modified PEALD |
US9394608B2 (en) | 2009-04-06 | 2016-07-19 | Asm America, Inc. | Semiconductor processing reactor and components thereof |
KR20100120336A (en) * | 2009-05-06 | 2010-11-16 | 인제대학교 산학협력단 | Plasma processing apparatus having a diffusion pump |
US8802201B2 (en) | 2009-08-14 | 2014-08-12 | Asm America, Inc. | Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species |
JP2011077442A (en) * | 2009-10-01 | 2011-04-14 | Tokyo Electron Ltd | Plasma processing method and plasma processing apparatus |
JP5085752B2 (en) | 2010-03-24 | 2012-11-28 | 株式会社東芝 | Semiconductor manufacturing apparatus cleaning method, semiconductor manufacturing apparatus, and management system |
JP5171969B2 (en) * | 2011-01-13 | 2013-03-27 | 東京エレクトロン株式会社 | Substrate processing equipment |
JP5955062B2 (en) * | 2011-04-25 | 2016-07-20 | 東京エレクトロン株式会社 | Plasma processing equipment |
US9312155B2 (en) | 2011-06-06 | 2016-04-12 | Asm Japan K.K. | High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules |
US10364496B2 (en) | 2011-06-27 | 2019-07-30 | Asm Ip Holding B.V. | Dual section module having shared and unshared mass flow controllers |
US10854498B2 (en) | 2011-07-15 | 2020-12-01 | Asm Ip Holding B.V. | Wafer-supporting device and method for producing same |
US20130023129A1 (en) | 2011-07-20 | 2013-01-24 | Asm America, Inc. | Pressure transmitter for a semiconductor processing environment |
US9017481B1 (en) | 2011-10-28 | 2015-04-28 | Asm America, Inc. | Process feed management for semiconductor substrate processing |
US9659799B2 (en) | 2012-08-28 | 2017-05-23 | Asm Ip Holding B.V. | Systems and methods for dynamic semiconductor process scheduling |
US10714315B2 (en) | 2012-10-12 | 2020-07-14 | Asm Ip Holdings B.V. | Semiconductor reaction chamber showerhead |
US20160376700A1 (en) | 2013-02-01 | 2016-12-29 | Asm Ip Holding B.V. | System for treatment of deposition reactor |
US9484191B2 (en) * | 2013-03-08 | 2016-11-01 | Asm Ip Holding B.V. | Pulsed remote plasma method and system |
US9589770B2 (en) | 2013-03-08 | 2017-03-07 | Asm Ip Holding B.V. | Method and systems for in-situ formation of intermediate reactive species |
US9330927B2 (en) * | 2013-08-28 | 2016-05-03 | Lam Research Corporation | System, method and apparatus for generating pressure pulses in small volume confined process reactor |
US9240412B2 (en) | 2013-09-27 | 2016-01-19 | Asm Ip Holding B.V. | Semiconductor structure and device and methods of forming same using selective epitaxial process |
JP2015090916A (en) * | 2013-11-06 | 2015-05-11 | 東京エレクトロン株式会社 | Substrate processing apparatus and substrate processing method |
KR102175082B1 (en) * | 2013-12-31 | 2020-11-05 | 세메스 주식회사 | Substrate treating apparatus |
US10683571B2 (en) | 2014-02-25 | 2020-06-16 | Asm Ip Holding B.V. | Gas supply manifold and method of supplying gases to chamber using same |
US10167557B2 (en) | 2014-03-18 | 2019-01-01 | Asm Ip Holding B.V. | Gas distribution system, reactor including the system, and methods of using the same |
US11015245B2 (en) | 2014-03-19 | 2021-05-25 | Asm Ip Holding B.V. | Gas-phase reactor and system having exhaust plenum and components thereof |
JP6438320B2 (en) * | 2014-06-19 | 2018-12-12 | 東京エレクトロン株式会社 | Plasma processing equipment |
KR102240923B1 (en) * | 2014-06-30 | 2021-04-15 | 세메스 주식회사 | Apparatus for treating substrate |
US10858737B2 (en) | 2014-07-28 | 2020-12-08 | Asm Ip Holding B.V. | Showerhead assembly and components thereof |
US9890456B2 (en) | 2014-08-21 | 2018-02-13 | Asm Ip Holding B.V. | Method and system for in situ formation of gas-phase compounds |
US10941490B2 (en) | 2014-10-07 | 2021-03-09 | Asm Ip Holding B.V. | Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same |
US9657845B2 (en) | 2014-10-07 | 2017-05-23 | Asm Ip Holding B.V. | Variable conductance gas distribution apparatus and method |
KR102263121B1 (en) | 2014-12-22 | 2021-06-09 | 에이에스엠 아이피 홀딩 비.브이. | Semiconductor device and manufacuring method thereof |
KR101629213B1 (en) * | 2015-02-02 | 2016-06-10 | (주) 일하하이텍 | Apparatus and method of processing substrate |
US10529542B2 (en) | 2015-03-11 | 2020-01-07 | Asm Ip Holdings B.V. | Cross-flow reactor and method |
US10276355B2 (en) | 2015-03-12 | 2019-04-30 | Asm Ip Holding B.V. | Multi-zone reactor, system including the reactor, and method of using the same |
US10458018B2 (en) | 2015-06-26 | 2019-10-29 | Asm Ip Holding B.V. | Structures including metal carbide material, devices including the structures, and methods of forming same |
US10600673B2 (en) | 2015-07-07 | 2020-03-24 | Asm Ip Holding B.V. | Magnetic susceptor to baseplate seal |
US9960072B2 (en) | 2015-09-29 | 2018-05-01 | Asm Ip Holding B.V. | Variable adjustment for precise matching of multiple chamber cavity housings |
US10211308B2 (en) | 2015-10-21 | 2019-02-19 | Asm Ip Holding B.V. | NbMC layers |
US10322384B2 (en) | 2015-11-09 | 2019-06-18 | Asm Ip Holding B.V. | Counter flow mixer for process chamber |
US11139308B2 (en) | 2015-12-29 | 2021-10-05 | Asm Ip Holding B.V. | Atomic layer deposition of III-V compounds to form V-NAND devices |
US10529554B2 (en) | 2016-02-19 | 2020-01-07 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US10468251B2 (en) | 2016-02-19 | 2019-11-05 | Asm Ip Holding B.V. | Method for forming spacers using silicon nitride film for spacer-defined multiple patterning |
US10501866B2 (en) | 2016-03-09 | 2019-12-10 | Asm Ip Holding B.V. | Gas distribution apparatus for improved film uniformity in an epitaxial system |
US10343920B2 (en) | 2016-03-18 | 2019-07-09 | Asm Ip Holding B.V. | Aligned carbon nanotubes |
US9892913B2 (en) | 2016-03-24 | 2018-02-13 | Asm Ip Holding B.V. | Radial and thickness control via biased multi-port injection settings |
US10190213B2 (en) | 2016-04-21 | 2019-01-29 | Asm Ip Holding B.V. | Deposition of metal borides |
US10865475B2 (en) | 2016-04-21 | 2020-12-15 | Asm Ip Holding B.V. | Deposition of metal borides and silicides |
US10367080B2 (en) | 2016-05-02 | 2019-07-30 | Asm Ip Holding B.V. | Method of forming a germanium oxynitride film |
US10032628B2 (en) | 2016-05-02 | 2018-07-24 | Asm Ip Holding B.V. | Source/drain performance through conformal solid state doping |
KR102592471B1 (en) | 2016-05-17 | 2023-10-20 | 에이에스엠 아이피 홀딩 비.브이. | Method of forming metal interconnection and method of fabricating semiconductor device using the same |
US10388509B2 (en) | 2016-06-28 | 2019-08-20 | Asm Ip Holding B.V. | Formation of epitaxial layers via dislocation filtering |
US9859151B1 (en) | 2016-07-08 | 2018-01-02 | Asm Ip Holding B.V. | Selective film deposition method to form air gaps |
US10612137B2 (en) | 2016-07-08 | 2020-04-07 | Asm Ip Holdings B.V. | Organic reactants for atomic layer deposition |
US10714385B2 (en) | 2016-07-19 | 2020-07-14 | Asm Ip Holding B.V. | Selective deposition of tungsten |
KR102354490B1 (en) | 2016-07-27 | 2022-01-21 | 에이에스엠 아이피 홀딩 비.브이. | Method of processing a substrate |
US9812320B1 (en) | 2016-07-28 | 2017-11-07 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10395919B2 (en) | 2016-07-28 | 2019-08-27 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
KR102532607B1 (en) | 2016-07-28 | 2023-05-15 | 에이에스엠 아이피 홀딩 비.브이. | Substrate processing apparatus and method of operating the same |
US9887082B1 (en) | 2016-07-28 | 2018-02-06 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
KR102613349B1 (en) | 2016-08-25 | 2023-12-14 | 에이에스엠 아이피 홀딩 비.브이. | Exhaust apparatus and substrate processing apparatus and thin film fabricating method using the same |
US10410943B2 (en) | 2016-10-13 | 2019-09-10 | Asm Ip Holding B.V. | Method for passivating a surface of a semiconductor and related systems |
US10643826B2 (en) | 2016-10-26 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for thermally calibrating reaction chambers |
US11532757B2 (en) | 2016-10-27 | 2022-12-20 | Asm Ip Holding B.V. | Deposition of charge trapping layers |
US10714350B2 (en) | 2016-11-01 | 2020-07-14 | ASM IP Holdings, B.V. | Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10643904B2 (en) | 2016-11-01 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for forming a semiconductor device and related semiconductor device structures |
US10229833B2 (en) | 2016-11-01 | 2019-03-12 | Asm Ip Holding B.V. | Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10435790B2 (en) | 2016-11-01 | 2019-10-08 | Asm Ip Holding B.V. | Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap |
US10134757B2 (en) | 2016-11-07 | 2018-11-20 | Asm Ip Holding B.V. | Method of processing a substrate and a device manufactured by using the method |
KR102546317B1 (en) | 2016-11-15 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | Gas supply unit and substrate processing apparatus including the same |
US10340135B2 (en) | 2016-11-28 | 2019-07-02 | Asm Ip Holding B.V. | Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride |
KR20180068582A (en) | 2016-12-14 | 2018-06-22 | 에이에스엠 아이피 홀딩 비.브이. | Substrate processing apparatus |
US11581186B2 (en) | 2016-12-15 | 2023-02-14 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus |
US11447861B2 (en) | 2016-12-15 | 2022-09-20 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus and a method of forming a patterned structure |
KR102700194B1 (en) | 2016-12-19 | 2024-08-28 | 에이에스엠 아이피 홀딩 비.브이. | Substrate processing apparatus |
US10269558B2 (en) | 2016-12-22 | 2019-04-23 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US10867788B2 (en) | 2016-12-28 | 2020-12-15 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US11390950B2 (en) | 2017-01-10 | 2022-07-19 | Asm Ip Holding B.V. | Reactor system and method to reduce residue buildup during a film deposition process |
US10655221B2 (en) | 2017-02-09 | 2020-05-19 | Asm Ip Holding B.V. | Method for depositing oxide film by thermal ALD and PEALD |
US10468261B2 (en) | 2017-02-15 | 2019-11-05 | Asm Ip Holding B.V. | Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures |
US10283353B2 (en) | 2017-03-29 | 2019-05-07 | Asm Ip Holding B.V. | Method of reforming insulating film deposited on substrate with recess pattern |
US10529563B2 (en) | 2017-03-29 | 2020-01-07 | Asm Ip Holdings B.V. | Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures |
KR102457289B1 (en) | 2017-04-25 | 2022-10-21 | 에이에스엠 아이피 홀딩 비.브이. | Method for depositing a thin film and manufacturing a semiconductor device |
US10770286B2 (en) | 2017-05-08 | 2020-09-08 | Asm Ip Holdings B.V. | Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures |
US10446393B2 (en) | 2017-05-08 | 2019-10-15 | Asm Ip Holding B.V. | Methods for forming silicon-containing epitaxial layers and related semiconductor device structures |
US10892156B2 (en) | 2017-05-08 | 2021-01-12 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film on a substrate and related semiconductor device structures |
US10504742B2 (en) | 2017-05-31 | 2019-12-10 | Asm Ip Holding B.V. | Method of atomic layer etching using hydrogen plasma |
US10886123B2 (en) | 2017-06-02 | 2021-01-05 | Asm Ip Holding B.V. | Methods for forming low temperature semiconductor layers and related semiconductor device structures |
US12040200B2 (en) | 2017-06-20 | 2024-07-16 | Asm Ip Holding B.V. | Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus |
US11306395B2 (en) | 2017-06-28 | 2022-04-19 | Asm Ip Holding B.V. | Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus |
US10685834B2 (en) | 2017-07-05 | 2020-06-16 | Asm Ip Holdings B.V. | Methods for forming a silicon germanium tin layer and related semiconductor device structures |
KR20190009245A (en) | 2017-07-18 | 2019-01-28 | 에이에스엠 아이피 홀딩 비.브이. | Methods for forming a semiconductor device structure and related semiconductor device structures |
US11374112B2 (en) | 2017-07-19 | 2022-06-28 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US11018002B2 (en) | 2017-07-19 | 2021-05-25 | Asm Ip Holding B.V. | Method for selectively depositing a Group IV semiconductor and related semiconductor device structures |
US10541333B2 (en) | 2017-07-19 | 2020-01-21 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US10590535B2 (en) | 2017-07-26 | 2020-03-17 | Asm Ip Holdings B.V. | Chemical treatment, deposition and/or infiltration apparatus and method for using the same |
US10312055B2 (en) | 2017-07-26 | 2019-06-04 | Asm Ip Holding B.V. | Method of depositing film by PEALD using negative bias |
US10605530B2 (en) | 2017-07-26 | 2020-03-31 | Asm Ip Holding B.V. | Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace |
US10692741B2 (en) | 2017-08-08 | 2020-06-23 | Asm Ip Holdings B.V. | Radiation shield |
US10770336B2 (en) | 2017-08-08 | 2020-09-08 | Asm Ip Holding B.V. | Substrate lift mechanism and reactor including same |
US11139191B2 (en) | 2017-08-09 | 2021-10-05 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US11769682B2 (en) | 2017-08-09 | 2023-09-26 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US10249524B2 (en) | 2017-08-09 | 2019-04-02 | Asm Ip Holding B.V. | Cassette holder assembly for a substrate cassette and holding member for use in such assembly |
USD900036S1 (en) | 2017-08-24 | 2020-10-27 | Asm Ip Holding B.V. | Heater electrical connector and adapter |
US11830730B2 (en) | 2017-08-29 | 2023-11-28 | Asm Ip Holding B.V. | Layer forming method and apparatus |
US11295980B2 (en) | 2017-08-30 | 2022-04-05 | Asm Ip Holding B.V. | Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures |
US11056344B2 (en) | 2017-08-30 | 2021-07-06 | Asm Ip Holding B.V. | Layer forming method |
KR102491945B1 (en) | 2017-08-30 | 2023-01-26 | 에이에스엠 아이피 홀딩 비.브이. | Substrate processing apparatus |
KR102401446B1 (en) | 2017-08-31 | 2022-05-24 | 에이에스엠 아이피 홀딩 비.브이. | Substrate processing apparatus |
US10607895B2 (en) | 2017-09-18 | 2020-03-31 | Asm Ip Holdings B.V. | Method for forming a semiconductor device structure comprising a gate fill metal |
KR102630301B1 (en) | 2017-09-21 | 2024-01-29 | 에이에스엠 아이피 홀딩 비.브이. | Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same |
US10844484B2 (en) | 2017-09-22 | 2020-11-24 | Asm Ip Holding B.V. | Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US10658205B2 (en) | 2017-09-28 | 2020-05-19 | Asm Ip Holdings B.V. | Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber |
US10403504B2 (en) | 2017-10-05 | 2019-09-03 | Asm Ip Holding B.V. | Method for selectively depositing a metallic film on a substrate |
US10319588B2 (en) | 2017-10-10 | 2019-06-11 | Asm Ip Holding B.V. | Method for depositing a metal chalcogenide on a substrate by cyclical deposition |
US10923344B2 (en) | 2017-10-30 | 2021-02-16 | Asm Ip Holding B.V. | Methods for forming a semiconductor structure and related semiconductor structures |
US10910262B2 (en) | 2017-11-16 | 2021-02-02 | Asm Ip Holding B.V. | Method of selectively depositing a capping layer structure on a semiconductor device structure |
KR102443047B1 (en) | 2017-11-16 | 2022-09-14 | 에이에스엠 아이피 홀딩 비.브이. | Method of processing a substrate and a device manufactured by the same |
US11022879B2 (en) | 2017-11-24 | 2021-06-01 | Asm Ip Holding B.V. | Method of forming an enhanced unexposed photoresist layer |
CN111344522B (en) | 2017-11-27 | 2022-04-12 | 阿斯莫Ip控股公司 | Including clean mini-environment device |
JP7214724B2 (en) | 2017-11-27 | 2023-01-30 | エーエスエム アイピー ホールディング ビー.ブイ. | Storage device for storing wafer cassettes used in batch furnaces |
US10290508B1 (en) | 2017-12-05 | 2019-05-14 | Asm Ip Holding B.V. | Method for forming vertical spacers for spacer-defined patterning |
US10872771B2 (en) | 2018-01-16 | 2020-12-22 | Asm Ip Holding B. V. | Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures |
WO2019142055A2 (en) | 2018-01-19 | 2019-07-25 | Asm Ip Holding B.V. | Method for depositing a gap-fill layer by plasma-assisted deposition |
TWI852426B (en) | 2018-01-19 | 2024-08-11 | 荷蘭商Asm Ip私人控股有限公司 | Deposition method |
USD903477S1 (en) | 2018-01-24 | 2020-12-01 | Asm Ip Holdings B.V. | Metal clamp |
US11018047B2 (en) | 2018-01-25 | 2021-05-25 | Asm Ip Holding B.V. | Hybrid lift pin |
USD880437S1 (en) | 2018-02-01 | 2020-04-07 | Asm Ip Holding B.V. | Gas supply plate for semiconductor manufacturing apparatus |
US10535516B2 (en) | 2018-02-01 | 2020-01-14 | Asm Ip Holdings B.V. | Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures |
US11081345B2 (en) | 2018-02-06 | 2021-08-03 | Asm Ip Holding B.V. | Method of post-deposition treatment for silicon oxide film |
US10896820B2 (en) | 2018-02-14 | 2021-01-19 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
CN116732497A (en) | 2018-02-14 | 2023-09-12 | Asm Ip私人控股有限公司 | Method for depositing ruthenium-containing films on substrates by cyclical deposition processes |
US10731249B2 (en) | 2018-02-15 | 2020-08-04 | Asm Ip Holding B.V. | Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus |
KR102636427B1 (en) | 2018-02-20 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | Substrate processing method and apparatus |
US10658181B2 (en) | 2018-02-20 | 2020-05-19 | Asm Ip Holding B.V. | Method of spacer-defined direct patterning in semiconductor fabrication |
US10975470B2 (en) | 2018-02-23 | 2021-04-13 | Asm Ip Holding B.V. | Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment |
US11473195B2 (en) | 2018-03-01 | 2022-10-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus and a method for processing a substrate |
US11629406B2 (en) | 2018-03-09 | 2023-04-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate |
US11114283B2 (en) | 2018-03-16 | 2021-09-07 | Asm Ip Holding B.V. | Reactor, system including the reactor, and methods of manufacturing and using same |
KR102646467B1 (en) | 2018-03-27 | 2024-03-11 | 에이에스엠 아이피 홀딩 비.브이. | Method of forming an electrode on a substrate and a semiconductor device structure including an electrode |
US11088002B2 (en) | 2018-03-29 | 2021-08-10 | Asm Ip Holding B.V. | Substrate rack and a substrate processing system and method |
US11230766B2 (en) | 2018-03-29 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US10510536B2 (en) | 2018-03-29 | 2019-12-17 | Asm Ip Holding B.V. | Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber |
KR102501472B1 (en) | 2018-03-30 | 2023-02-20 | 에이에스엠 아이피 홀딩 비.브이. | Substrate processing method |
KR102444873B1 (en) * | 2018-04-06 | 2022-09-19 | 주식회사 원익아이피에스 | Substrate processing apparatus and method using the same |
KR102709511B1 (en) | 2018-05-08 | 2024-09-24 | 에이에스엠 아이피 홀딩 비.브이. | Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures |
US12025484B2 (en) | 2018-05-08 | 2024-07-02 | Asm Ip Holding B.V. | Thin film forming method |
KR20190129718A (en) | 2018-05-11 | 2019-11-20 | 에이에스엠 아이피 홀딩 비.브이. | Methods for forming a doped metal carbide film on a substrate and related semiconductor device structures |
KR102596988B1 (en) | 2018-05-28 | 2023-10-31 | 에이에스엠 아이피 홀딩 비.브이. | Method of processing a substrate and a device manufactured by the same |
TWI840362B (en) | 2018-06-04 | 2024-05-01 | 荷蘭商Asm Ip私人控股有限公司 | Wafer handling chamber with moisture reduction |
US11718913B2 (en) | 2018-06-04 | 2023-08-08 | Asm Ip Holding B.V. | Gas distribution system and reactor system including same |
US11286562B2 (en) | 2018-06-08 | 2022-03-29 | Asm Ip Holding B.V. | Gas-phase chemical reactor and method of using same |
US10797133B2 (en) | 2018-06-21 | 2020-10-06 | Asm Ip Holding B.V. | Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures |
KR102568797B1 (en) | 2018-06-21 | 2023-08-21 | 에이에스엠 아이피 홀딩 비.브이. | Substrate processing system |
TWI815915B (en) | 2018-06-27 | 2023-09-21 | 荷蘭商Asm Ip私人控股有限公司 | Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material |
CN112292478A (en) | 2018-06-27 | 2021-01-29 | Asm Ip私人控股有限公司 | Cyclic deposition methods for forming metal-containing materials and films and structures containing metal-containing materials |
KR102686758B1 (en) | 2018-06-29 | 2024-07-18 | 에이에스엠 아이피 홀딩 비.브이. | Method for depositing a thin film and manufacturing a semiconductor device |
US10612136B2 (en) | 2018-06-29 | 2020-04-07 | ASM IP Holding, B.V. | Temperature-controlled flange and reactor system including same |
US10388513B1 (en) | 2018-07-03 | 2019-08-20 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10755922B2 (en) | 2018-07-03 | 2020-08-25 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10767789B2 (en) | 2018-07-16 | 2020-09-08 | Asm Ip Holding B.V. | Diaphragm valves, valve components, and methods for forming valve components |
US10483099B1 (en) | 2018-07-26 | 2019-11-19 | Asm Ip Holding B.V. | Method for forming thermally stable organosilicon polymer film |
US11053591B2 (en) | 2018-08-06 | 2021-07-06 | Asm Ip Holding B.V. | Multi-port gas injection system and reactor system including same |
US10883175B2 (en) | 2018-08-09 | 2021-01-05 | Asm Ip Holding B.V. | Vertical furnace for processing substrates and a liner for use therein |
US10829852B2 (en) | 2018-08-16 | 2020-11-10 | Asm Ip Holding B.V. | Gas distribution device for a wafer processing apparatus |
US11430674B2 (en) | 2018-08-22 | 2022-08-30 | Asm Ip Holding B.V. | Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US11024523B2 (en) | 2018-09-11 | 2021-06-01 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102707956B1 (en) | 2018-09-11 | 2024-09-19 | 에이에스엠 아이피 홀딩 비.브이. | Method for deposition of a thin film |
US11049751B2 (en) | 2018-09-14 | 2021-06-29 | Asm Ip Holding B.V. | Cassette supply system to store and handle cassettes and processing apparatus equipped therewith |
CN110970344B (en) | 2018-10-01 | 2024-10-25 | Asmip控股有限公司 | Substrate holding apparatus, system comprising the same and method of using the same |
US11232963B2 (en) | 2018-10-03 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102592699B1 (en) | 2018-10-08 | 2023-10-23 | 에이에스엠 아이피 홀딩 비.브이. | Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same |
US10847365B2 (en) | 2018-10-11 | 2020-11-24 | Asm Ip Holding B.V. | Method of forming conformal silicon carbide film by cyclic CVD |
US10811256B2 (en) | 2018-10-16 | 2020-10-20 | Asm Ip Holding B.V. | Method for etching a carbon-containing feature |
KR102546322B1 (en) | 2018-10-19 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | Substrate processing apparatus and substrate processing method |
KR102605121B1 (en) | 2018-10-19 | 2023-11-23 | 에이에스엠 아이피 홀딩 비.브이. | Substrate processing apparatus and substrate processing method |
USD948463S1 (en) | 2018-10-24 | 2022-04-12 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate supporting apparatus |
US10381219B1 (en) | 2018-10-25 | 2019-08-13 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film |
US11087997B2 (en) | 2018-10-31 | 2021-08-10 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
KR20200051105A (en) | 2018-11-02 | 2020-05-13 | 에이에스엠 아이피 홀딩 비.브이. | Substrate support unit and substrate processing apparatus including the same |
US11572620B2 (en) | 2018-11-06 | 2023-02-07 | Asm Ip Holding B.V. | Methods for selectively depositing an amorphous silicon film on a substrate |
US11031242B2 (en) | 2018-11-07 | 2021-06-08 | Asm Ip Holding B.V. | Methods for depositing a boron doped silicon germanium film |
US10847366B2 (en) | 2018-11-16 | 2020-11-24 | Asm Ip Holding B.V. | Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process |
US10818758B2 (en) | 2018-11-16 | 2020-10-27 | Asm Ip Holding B.V. | Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures |
US10559458B1 (en) | 2018-11-26 | 2020-02-11 | Asm Ip Holding B.V. | Method of forming oxynitride film |
US12040199B2 (en) | 2018-11-28 | 2024-07-16 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
US11217444B2 (en) | 2018-11-30 | 2022-01-04 | Asm Ip Holding B.V. | Method for forming an ultraviolet radiation responsive metal oxide-containing film |
KR102636428B1 (en) | 2018-12-04 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | A method for cleaning a substrate processing apparatus |
US11158513B2 (en) | 2018-12-13 | 2021-10-26 | Asm Ip Holding B.V. | Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures |
JP7504584B2 (en) | 2018-12-14 | 2024-06-24 | エーエスエム・アイピー・ホールディング・ベー・フェー | Method and system for forming device structures using selective deposition of gallium nitride - Patents.com |
TW202405220A (en) | 2019-01-17 | 2024-02-01 | 荷蘭商Asm Ip 私人控股有限公司 | Methods of forming a transition metal containing film on a substrate by a cyclical deposition process |
TWI756590B (en) | 2019-01-22 | 2022-03-01 | 荷蘭商Asm Ip私人控股有限公司 | Substrate processing device |
CN111524788B (en) | 2019-02-01 | 2023-11-24 | Asm Ip私人控股有限公司 | Method for topologically selective film formation of silicon oxide |
KR20200102357A (en) | 2019-02-20 | 2020-08-31 | 에이에스엠 아이피 홀딩 비.브이. | Apparatus and methods for plug fill deposition in 3-d nand applications |
TWI845607B (en) | 2019-02-20 | 2024-06-21 | 荷蘭商Asm Ip私人控股有限公司 | Cyclical deposition method and apparatus for filling a recess formed within a substrate surface |
KR102626263B1 (en) | 2019-02-20 | 2024-01-16 | 에이에스엠 아이피 홀딩 비.브이. | Cyclical deposition method including treatment step and apparatus for same |
JP2020136678A (en) | 2019-02-20 | 2020-08-31 | エーエスエム・アイピー・ホールディング・ベー・フェー | Method for filing concave part formed inside front surface of base material, and device |
TWI842826B (en) | 2019-02-22 | 2024-05-21 | 荷蘭商Asm Ip私人控股有限公司 | Substrate processing apparatus and method for processing substrate |
KR20200108243A (en) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | Structure Including SiOC Layer and Method of Forming Same |
KR20200108242A (en) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer |
KR20200108248A (en) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | STRUCTURE INCLUDING SiOCN LAYER AND METHOD OF FORMING SAME |
KR20200116033A (en) | 2019-03-28 | 2020-10-08 | 에이에스엠 아이피 홀딩 비.브이. | Door opener and substrate processing apparatus provided therewith |
KR20200116855A (en) | 2019-04-01 | 2020-10-13 | 에이에스엠 아이피 홀딩 비.브이. | Method of manufacturing semiconductor device |
KR20200123380A (en) | 2019-04-19 | 2020-10-29 | 에이에스엠 아이피 홀딩 비.브이. | Layer forming method and apparatus |
KR20200125453A (en) | 2019-04-24 | 2020-11-04 | 에이에스엠 아이피 홀딩 비.브이. | Gas-phase reactor system and method of using same |
KR20200130118A (en) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | Method for Reforming Amorphous Carbon Polymer Film |
KR20200130121A (en) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | Chemical source vessel with dip tube |
KR20200130652A (en) | 2019-05-10 | 2020-11-19 | 에이에스엠 아이피 홀딩 비.브이. | Method of depositing material onto a surface and structure formed according to the method |
JP7373302B2 (en) * | 2019-05-15 | 2023-11-02 | 株式会社Screenホールディングス | Substrate processing equipment |
JP2020188255A (en) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | Wafer boat handling device, vertical batch furnace, and method |
JP2020188254A (en) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | Wafer boat handling device, vertical batch furnace, and method |
USD947913S1 (en) | 2019-05-17 | 2022-04-05 | Asm Ip Holding B.V. | Susceptor shaft |
USD975665S1 (en) | 2019-05-17 | 2023-01-17 | Asm Ip Holding B.V. | Susceptor shaft |
USD935572S1 (en) | 2019-05-24 | 2021-11-09 | Asm Ip Holding B.V. | Gas channel plate |
USD922229S1 (en) | 2019-06-05 | 2021-06-15 | Asm Ip Holding B.V. | Device for controlling a temperature of a gas supply unit |
KR20200141003A (en) | 2019-06-06 | 2020-12-17 | 에이에스엠 아이피 홀딩 비.브이. | Gas-phase reactor system including a gas detector |
KR20200143254A (en) | 2019-06-11 | 2020-12-23 | 에이에스엠 아이피 홀딩 비.브이. | Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method |
USD944946S1 (en) | 2019-06-14 | 2022-03-01 | Asm Ip Holding B.V. | Shower plate |
USD931978S1 (en) | 2019-06-27 | 2021-09-28 | Asm Ip Holding B.V. | Showerhead vacuum transport |
KR20210005515A (en) | 2019-07-03 | 2021-01-14 | 에이에스엠 아이피 홀딩 비.브이. | Temperature control assembly for substrate processing apparatus and method of using same |
JP7499079B2 (en) | 2019-07-09 | 2024-06-13 | エーエスエム・アイピー・ホールディング・ベー・フェー | Plasma device using coaxial waveguide and substrate processing method |
CN112216646A (en) | 2019-07-10 | 2021-01-12 | Asm Ip私人控股有限公司 | Substrate supporting assembly and substrate processing device comprising same |
KR20210010307A (en) | 2019-07-16 | 2021-01-27 | 에이에스엠 아이피 홀딩 비.브이. | Substrate processing apparatus |
KR20210010816A (en) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | Radical assist ignition plasma system and method |
KR20210010820A (en) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | Methods of forming silicon germanium structures |
US11643724B2 (en) | 2019-07-18 | 2023-05-09 | Asm Ip Holding B.V. | Method of forming structures using a neutral beam |
KR20210010817A (en) | 2019-07-19 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | Method of Forming Topology-Controlled Amorphous Carbon Polymer Film |
TWI839544B (en) | 2019-07-19 | 2024-04-21 | 荷蘭商Asm Ip私人控股有限公司 | Method of forming topology-controlled amorphous carbon polymer film |
TWI851767B (en) | 2019-07-29 | 2024-08-11 | 荷蘭商Asm Ip私人控股有限公司 | Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation |
CN112309900A (en) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | Substrate processing apparatus |
CN112309899A (en) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | Substrate processing apparatus |
US11587815B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11227782B2 (en) | 2019-07-31 | 2022-01-18 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587814B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
KR20210018759A (en) | 2019-08-05 | 2021-02-18 | 에이에스엠 아이피 홀딩 비.브이. | Liquid level sensor for a chemical source vessel |
USD965044S1 (en) | 2019-08-19 | 2022-09-27 | Asm Ip Holding B.V. | Susceptor shaft |
USD965524S1 (en) | 2019-08-19 | 2022-10-04 | Asm Ip Holding B.V. | Susceptor support |
JP2021031769A (en) | 2019-08-21 | 2021-03-01 | エーエスエム アイピー ホールディング ビー.ブイ. | Production apparatus of mixed gas of film deposition raw material and film deposition apparatus |
USD940837S1 (en) | 2019-08-22 | 2022-01-11 | Asm Ip Holding B.V. | Electrode |
USD930782S1 (en) | 2019-08-22 | 2021-09-14 | Asm Ip Holding B.V. | Gas distributor |
USD979506S1 (en) | 2019-08-22 | 2023-02-28 | Asm Ip Holding B.V. | Insulator |
USD949319S1 (en) | 2019-08-22 | 2022-04-19 | Asm Ip Holding B.V. | Exhaust duct |
KR20210024423A (en) | 2019-08-22 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | Method for forming a structure with a hole |
KR20210024420A (en) | 2019-08-23 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane |
US11286558B2 (en) | 2019-08-23 | 2022-03-29 | Asm Ip Holding B.V. | Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film |
KR20210029090A (en) | 2019-09-04 | 2021-03-15 | 에이에스엠 아이피 홀딩 비.브이. | Methods for selective deposition using a sacrificial capping layer |
KR20210029663A (en) | 2019-09-05 | 2021-03-16 | 에이에스엠 아이피 홀딩 비.브이. | Substrate processing apparatus |
US11562901B2 (en) | 2019-09-25 | 2023-01-24 | Asm Ip Holding B.V. | Substrate processing method |
CN112593212B (en) | 2019-10-02 | 2023-12-22 | Asm Ip私人控股有限公司 | Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process |
KR20210042810A (en) | 2019-10-08 | 2021-04-20 | 에이에스엠 아이피 홀딩 비.브이. | Reactor system including a gas distribution assembly for use with activated species and method of using same |
TWI846953B (en) | 2019-10-08 | 2024-07-01 | 荷蘭商Asm Ip私人控股有限公司 | Substrate processing device |
TWI846966B (en) | 2019-10-10 | 2024-07-01 | 荷蘭商Asm Ip私人控股有限公司 | Method of forming a photoresist underlayer and structure including same |
US12009241B2 (en) | 2019-10-14 | 2024-06-11 | Asm Ip Holding B.V. | Vertical batch furnace assembly with detector to detect cassette |
TWI834919B (en) | 2019-10-16 | 2024-03-11 | 荷蘭商Asm Ip私人控股有限公司 | Method of topology-selective film formation of silicon oxide |
US11637014B2 (en) | 2019-10-17 | 2023-04-25 | Asm Ip Holding B.V. | Methods for selective deposition of doped semiconductor material |
KR20210047808A (en) | 2019-10-21 | 2021-04-30 | 에이에스엠 아이피 홀딩 비.브이. | Apparatus and methods for selectively etching films |
KR20210050453A (en) | 2019-10-25 | 2021-05-07 | 에이에스엠 아이피 홀딩 비.브이. | Methods for filling a gap feature on a substrate surface and related semiconductor structures |
US11646205B2 (en) | 2019-10-29 | 2023-05-09 | Asm Ip Holding B.V. | Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same |
KR20210054983A (en) | 2019-11-05 | 2021-05-14 | 에이에스엠 아이피 홀딩 비.브이. | Structures with doped semiconductor layers and methods and systems for forming same |
US11501968B2 (en) | 2019-11-15 | 2022-11-15 | Asm Ip Holding B.V. | Method for providing a semiconductor device with silicon filled gaps |
KR20210062561A (en) | 2019-11-20 | 2021-05-31 | 에이에스엠 아이피 홀딩 비.브이. | Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure |
KR20210065848A (en) | 2019-11-26 | 2021-06-04 | 에이에스엠 아이피 홀딩 비.브이. | Methods for selectivley forming a target film on a substrate comprising a first dielectric surface and a second metallic surface |
CN112951697A (en) | 2019-11-26 | 2021-06-11 | Asm Ip私人控股有限公司 | Substrate processing apparatus |
CN112885692A (en) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | Substrate processing apparatus |
CN112885693A (en) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | Substrate processing apparatus |
JP7527928B2 (en) | 2019-12-02 | 2024-08-05 | エーエスエム・アイピー・ホールディング・ベー・フェー | Substrate processing apparatus and substrate processing method |
KR20210070898A (en) | 2019-12-04 | 2021-06-15 | 에이에스엠 아이피 홀딩 비.브이. | Substrate processing apparatus |
JP2021097227A (en) | 2019-12-17 | 2021-06-24 | エーエスエム・アイピー・ホールディング・ベー・フェー | Method of forming vanadium nitride layer and structure including vanadium nitride layer |
KR20210080214A (en) | 2019-12-19 | 2021-06-30 | 에이에스엠 아이피 홀딩 비.브이. | Methods for filling a gap feature on a substrate and related semiconductor structures |
JP2021111783A (en) | 2020-01-06 | 2021-08-02 | エーエスエム・アイピー・ホールディング・ベー・フェー | Channeled lift pin |
JP2021109175A (en) | 2020-01-06 | 2021-08-02 | エーエスエム・アイピー・ホールディング・ベー・フェー | Gas supply assembly, components thereof, and reactor system including the same |
US11993847B2 (en) | 2020-01-08 | 2024-05-28 | Asm Ip Holding B.V. | Injector |
KR20210093163A (en) | 2020-01-16 | 2021-07-27 | 에이에스엠 아이피 홀딩 비.브이. | Method of forming high aspect ratio features |
KR102675856B1 (en) | 2020-01-20 | 2024-06-17 | 에이에스엠 아이피 홀딩 비.브이. | Method of forming thin film and method of modifying surface of thin film |
TW202130846A (en) | 2020-02-03 | 2021-08-16 | 荷蘭商Asm Ip私人控股有限公司 | Method of forming structures including a vanadium or indium layer |
KR20210100010A (en) | 2020-02-04 | 2021-08-13 | 에이에스엠 아이피 홀딩 비.브이. | Method and apparatus for transmittance measurements of large articles |
US11776846B2 (en) | 2020-02-07 | 2023-10-03 | Asm Ip Holding B.V. | Methods for depositing gap filling fluids and related systems and devices |
US11781243B2 (en) | 2020-02-17 | 2023-10-10 | Asm Ip Holding B.V. | Method for depositing low temperature phosphorous-doped silicon |
TW202203344A (en) | 2020-02-28 | 2022-01-16 | 荷蘭商Asm Ip控股公司 | System dedicated for parts cleaning |
KR20210116249A (en) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | lockout tagout assembly and system and method of using same |
KR20210116240A (en) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | Substrate handling device with adjustable joints |
CN113394086A (en) | 2020-03-12 | 2021-09-14 | Asm Ip私人控股有限公司 | Method for producing a layer structure having a target topological profile |
KR20210124042A (en) | 2020-04-02 | 2021-10-14 | 에이에스엠 아이피 홀딩 비.브이. | Thin film forming method |
TW202146689A (en) | 2020-04-03 | 2021-12-16 | 荷蘭商Asm Ip控股公司 | Method for forming barrier layer and method for manufacturing semiconductor device |
TW202145344A (en) | 2020-04-08 | 2021-12-01 | 荷蘭商Asm Ip私人控股有限公司 | Apparatus and methods for selectively etching silcon oxide films |
US11821078B2 (en) | 2020-04-15 | 2023-11-21 | Asm Ip Holding B.V. | Method for forming precoat film and method for forming silicon-containing film |
KR20210128343A (en) | 2020-04-15 | 2021-10-26 | 에이에스엠 아이피 홀딩 비.브이. | Method of forming chromium nitride layer and structure including the chromium nitride layer |
US11996289B2 (en) | 2020-04-16 | 2024-05-28 | Asm Ip Holding B.V. | Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods |
US11898243B2 (en) | 2020-04-24 | 2024-02-13 | Asm Ip Holding B.V. | Method of forming vanadium nitride-containing layer |
KR20210132600A (en) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element |
KR20210132605A (en) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | Vertical batch furnace assembly comprising a cooling gas supply |
KR20210134226A (en) | 2020-04-29 | 2021-11-09 | 에이에스엠 아이피 홀딩 비.브이. | Solid source precursor vessel |
KR20210134869A (en) | 2020-05-01 | 2021-11-11 | 에이에스엠 아이피 홀딩 비.브이. | Fast FOUP swapping with a FOUP handler |
KR20210141379A (en) | 2020-05-13 | 2021-11-23 | 에이에스엠 아이피 홀딩 비.브이. | Laser alignment fixture for a reactor system |
TW202146699A (en) | 2020-05-15 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | Method of forming a silicon germanium layer, semiconductor structure, semiconductor device, method of forming a deposition layer, and deposition system |
TW202147383A (en) | 2020-05-19 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | Substrate processing apparatus |
KR20210145078A (en) | 2020-05-21 | 2021-12-01 | 에이에스엠 아이피 홀딩 비.브이. | Structures including multiple carbon layers and methods of forming and using same |
KR102702526B1 (en) | 2020-05-22 | 2024-09-03 | 에이에스엠 아이피 홀딩 비.브이. | Apparatus for depositing thin films using hydrogen peroxide |
TW202201602A (en) | 2020-05-29 | 2022-01-01 | 荷蘭商Asm Ip私人控股有限公司 | Substrate processing device |
TW202212620A (en) | 2020-06-02 | 2022-04-01 | 荷蘭商Asm Ip私人控股有限公司 | Apparatus for processing substrate, method of forming film, and method of controlling apparatus for processing substrate |
TW202218133A (en) | 2020-06-24 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | Method for forming a layer provided with silicon |
TW202217953A (en) | 2020-06-30 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | Substrate processing method |
CN113889391B (en) * | 2020-07-02 | 2024-03-12 | 中微半导体设备(上海)股份有限公司 | Plasma processing apparatus and insulating window assembly thereof |
TW202202649A (en) | 2020-07-08 | 2022-01-16 | 荷蘭商Asm Ip私人控股有限公司 | Substrate processing method |
TW202219628A (en) | 2020-07-17 | 2022-05-16 | 荷蘭商Asm Ip私人控股有限公司 | Structures and methods for use in photolithography |
TW202204662A (en) | 2020-07-20 | 2022-02-01 | 荷蘭商Asm Ip私人控股有限公司 | Method and system for depositing molybdenum layers |
JP7450494B2 (en) | 2020-08-18 | 2024-03-15 | 東京エレクトロン株式会社 | Substrate processing equipment and gas switching method for substrate processing equipment |
US12040177B2 (en) | 2020-08-18 | 2024-07-16 | Asm Ip Holding B.V. | Methods for forming a laminate film by cyclical plasma-enhanced deposition processes |
TW202212623A (en) | 2020-08-26 | 2022-04-01 | 荷蘭商Asm Ip私人控股有限公司 | Method of forming metal silicon oxide layer and metal silicon oxynitride layer, semiconductor structure, and system |
TW202229601A (en) | 2020-08-27 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | Method of forming patterned structures, method of manipulating mechanical property, device structure, and substrate processing system |
USD990534S1 (en) | 2020-09-11 | 2023-06-27 | Asm Ip Holding B.V. | Weighted lift pin |
USD1012873S1 (en) | 2020-09-24 | 2024-01-30 | Asm Ip Holding B.V. | Electrode for semiconductor processing apparatus |
US12009224B2 (en) | 2020-09-29 | 2024-06-11 | Asm Ip Holding B.V. | Apparatus and method for etching metal nitrides |
KR20220045900A (en) | 2020-10-06 | 2022-04-13 | 에이에스엠 아이피 홀딩 비.브이. | Deposition method and an apparatus for depositing a silicon-containing material |
CN114293174A (en) | 2020-10-07 | 2022-04-08 | Asm Ip私人控股有限公司 | Gas supply unit and substrate processing apparatus including the same |
TW202229613A (en) | 2020-10-14 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | Method of depositing material on stepped structure |
TW202217037A (en) | 2020-10-22 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | Method of depositing vanadium metal, structure, device and a deposition assembly |
TW202223136A (en) | 2020-10-28 | 2022-06-16 | 荷蘭商Asm Ip私人控股有限公司 | Method for forming layer on substrate, and semiconductor processing system |
TW202235649A (en) | 2020-11-24 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | Methods for filling a gap and related systems and devices |
KR20220076343A (en) | 2020-11-30 | 2022-06-08 | 에이에스엠 아이피 홀딩 비.브이. | an injector configured for arrangement within a reaction chamber of a substrate processing apparatus |
US11946137B2 (en) | 2020-12-16 | 2024-04-02 | Asm Ip Holding B.V. | Runout and wobble measurement fixtures |
TW202242184A (en) | 2020-12-22 | 2022-11-01 | 荷蘭商Asm Ip私人控股有限公司 | Precursor capsule, precursor vessel, vapor deposition assembly, and method of loading solid precursor into precursor vessel |
TW202226899A (en) | 2020-12-22 | 2022-07-01 | 荷蘭商Asm Ip私人控股有限公司 | Plasma treatment device having matching box |
TW202231903A (en) | 2020-12-22 | 2022-08-16 | 荷蘭商Asm Ip私人控股有限公司 | Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate |
CN113088936B (en) * | 2021-03-31 | 2023-04-07 | 长江存储科技有限责任公司 | Film layer growth equipment and method |
USD981973S1 (en) | 2021-05-11 | 2023-03-28 | Asm Ip Holding B.V. | Reactor wall for substrate processing apparatus |
USD980814S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas distributor for substrate processing apparatus |
USD980813S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas flow control plate for substrate processing apparatus |
USD990441S1 (en) | 2021-09-07 | 2023-06-27 | Asm Ip Holding B.V. | Gas flow control plate |
US11846019B2 (en) | 2021-10-01 | 2023-12-19 | Applied Materials, Inc. | One-body shadow frame support with flow controller |
CN116121735B (en) * | 2022-12-09 | 2024-10-01 | 江苏籽硕科技有限公司 | Plasma enhanced chemical vapor deposition equipment |
CN117089822B (en) * | 2023-10-20 | 2024-01-02 | 研微(江苏)半导体科技有限公司 | Semiconductor reaction chamber, isolation device and isolation control method thereof |
Family Cites Families (14)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPH03290926A (en) * | 1990-04-06 | 1991-12-20 | Sumitomo Metal Ind Ltd | Plasma apparatus and use of the apparatus |
JP3061346B2 (en) * | 1994-03-07 | 2000-07-10 | 東京エレクトロン株式会社 | Processing equipment |
JP3192370B2 (en) * | 1995-06-08 | 2001-07-23 | 東京エレクトロン株式会社 | Plasma processing equipment |
JP3258885B2 (en) * | 1995-12-27 | 2002-02-18 | 東京エレクトロン株式会社 | Film processing equipment |
US5812403A (en) * | 1996-11-13 | 1998-09-22 | Applied Materials, Inc. | Methods and apparatus for cleaning surfaces in a substrate processing system |
JP3583289B2 (en) * | 1998-05-28 | 2004-11-04 | 株式会社日立製作所 | Plasma processing apparatus and plasma processing method |
US6296747B1 (en) * | 2000-06-22 | 2001-10-02 | Applied Materials, Inc. | Baffled perforated shield in a plasma sputtering reactor |
JP2002043288A (en) * | 2000-07-24 | 2002-02-08 | Sony Corp | Plasma etching device, seasoning method of this and plasma etching method using them |
JP4731760B2 (en) * | 2001-08-23 | 2011-07-27 | 東京エレクトロン株式会社 | Vacuum processing apparatus and vacuum processing method |
JP4129855B2 (en) * | 2001-12-13 | 2008-08-06 | 東京エレクトロン株式会社 | Plasma processing equipment |
JP3993493B2 (en) * | 2002-09-09 | 2007-10-17 | 株式会社日立製作所 | Plasma etching equipment |
US7166166B2 (en) * | 2002-09-30 | 2007-01-23 | Tokyo Electron Limited | Method and apparatus for an improved baffle plate in a plasma processing system |
JP4381001B2 (en) * | 2003-02-25 | 2009-12-09 | シャープ株式会社 | Plasma process equipment |
US7972467B2 (en) * | 2003-04-17 | 2011-07-05 | Applied Materials Inc. | Apparatus and method to confine plasma and reduce flow resistance in a plasma reactor |
-
2006
- 2006-01-18 JP JP2006010144A patent/JP5324026B2/en not_active Expired - Fee Related
- 2006-12-05 CN CNB2006101645216A patent/CN100454498C/en not_active Expired - Fee Related
- 2006-12-05 CN CNA2008101790740A patent/CN101423928A/en active Pending
-
2007
- 2007-01-18 KR KR1020070005795A patent/KR100854808B1/en not_active IP Right Cessation
- 2007-01-18 TW TW096101932A patent/TW200731357A/en unknown
Also Published As
Publication number | Publication date |
---|---|
JP5324026B2 (en) | 2013-10-23 |
JP2007194361A (en) | 2007-08-02 |
CN100454498C (en) | 2009-01-21 |
TW200731357A (en) | 2007-08-16 |
CN101005031A (en) | 2007-07-25 |
KR100854808B1 (en) | 2008-08-27 |
KR20070076545A (en) | 2007-07-24 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
CN100454498C (en) | Plasma processing apparatus and controlling method for plasma processing apparatus | |
KR100847963B1 (en) | Plasma processing apparatus and plasma processing method | |
JP4393844B2 (en) | Plasma film forming apparatus and plasma film forming method | |
US6576063B2 (en) | Apparatus and method for use in manufacturing a semiconductor device | |
US20080230008A1 (en) | Plasma species and uniformity control through pulsed vhf operation | |
KR101576135B1 (en) | Substrate processing apparatus, method of manufacturing semiconductor device and non-transitory computer-readable recording medium | |
WO2017192249A1 (en) | Plasma treatment process for in-situ chamber cleaning efficiency enhancement in plasma processing chamber | |
KR20060053855A (en) | Dual-chamber plasma processing apparatus | |
KR102049146B1 (en) | Plasma etching method, plasma etching apparatus and substrate mounting table | |
KR20080030511A (en) | Microwave plasma processing device, manufacturing method of dielectric window and processing method of microwave plasma | |
JP2003174012A5 (en) | ||
CN100449708C (en) | Substrate processing apparatus | |
CN102931056B (en) | Surface processing method, a member made of silicon carbide, and a plasma processing apparatus | |
JP2020536462A5 (en) | ||
CN108807124B (en) | Substrate processing apparatus | |
WO2021256258A1 (en) | Plasma treatment apparatus and plasma treatment method | |
CN109321894A (en) | A kind of depositing system and method enhancing cleaning effect | |
US20190032212A1 (en) | An apparatus for atomic layer deposition | |
KR20160030364A (en) | Plasma processing apparatus and cleaning method | |
JP5005999B2 (en) | Plasma processing apparatus and method of using plasma processing apparatus | |
KR20180076303A (en) | Purging method | |
JP2006005007A (en) | Method and device for forming amorphous silicon layer | |
JP2005159049A (en) | Plasma deposition method | |
JPH05315297A (en) | Cleaning method for vapor growth device, and vapor growth device | |
JP5039120B2 (en) | Alumina member for plasma processing apparatus and method for manufacturing alumina member for plasma processing apparatus |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
C06 | Publication | ||
PB01 | Publication | ||
C10 | Entry into substantive examination | ||
SE01 | Entry into force of request for substantive examination | ||
C02 | Deemed withdrawal of patent application after publication (patent law 2001) | ||
WD01 | Invention patent application deemed withdrawn after publication |
Application publication date: 20090506 |