CN100454498C - Plasma processing apparatus and controlling method for plasma processing apparatus - Google Patents

Plasma processing apparatus and controlling method for plasma processing apparatus Download PDF

Info

Publication number
CN100454498C
CN100454498C CNB2006101645216A CN200610164521A CN100454498C CN 100454498 C CN100454498 C CN 100454498C CN B2006101645216 A CNB2006101645216 A CN B2006101645216A CN 200610164521 A CN200610164521 A CN 200610164521A CN 100454498 C CN100454498 C CN 100454498C
Authority
CN
China
Prior art keywords
chamber
processing apparatus
baffle plate
mounting table
gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CNB2006101645216A
Other languages
Chinese (zh)
Other versions
CN101005031A (en
Inventor
冈信介
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN101005031A publication Critical patent/CN101005031A/en
Application granted granted Critical
Publication of CN100454498C publication Critical patent/CN100454498C/en
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32633Baffles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

The present invention provides a plasma processing apparatus formed with covering film having uniform thickness on the surface of a chamber. The chamber (10) of a microwave plasma processing apparatus (100) is divided into a processing chamber (10u) and an exhaust chamber (10d) by a base (11) and a block plate (18). Before a base plate G is performed with film forming process, the inner wall of the chamber is formed with precoated film, at the same time, the base (11) falls down and generates a space S between the base (11) and the block plate (18). Therefore, the pressure difference of the processing chamber (10u) and the exhaust chamber (10d) is reduced, the film forming speed difference of the processing chamber (10u) and the exhaust chamber (10d) is reduced by making the deposition free radicals in the processing chamber (10u) and the exhaust chamber (10d) are at a same status, thereby the precoated film with equal thickness of the processing chamber (10u) and the exhaust chamber (10d) can be formed by using even film mass.

Description

The control method of plasma processing apparatus and plasma processing apparatus
Technical field
The present invention relates to handled object is carried out the control method of the plasma processing apparatus and the plasma processing apparatus of plasma treatment.The present invention be more particularly directed to the formation of the coverlay on chamber inner wall.
Background technology
In the prior art, develop in chamber, to supply with and handle gas and make its plasmaization, substrate is carried out the various plasma processing apparatus of plasma treatment.Wherein, the microwave plasma CVD device is that energy by microwave makes and handles gas ionization and decompose to make and handle gaseous plasmaization, thereby forms film on substrate.
In the process of this plasmaization, for example forming SiO 2Deng SiO xUnder the situation of film, generally use SiH 4Gas is as handling gas.When film forming, use SiH 4Under the situation of gas, SiO xFilm can inwall attached to chamber on.This SiO xFilm during film forming and be heated, sending into respect to load locking room on substrate/when taking out and be cooled.When carrying out heating and cooling so repeatedly, because the difference of the thermal coefficient of expansion of the deposit of chamber inner wall and formation chamber part makes and produces distortion between deposit and the chamber wall.As a result, when deposit forms certain thickness, can peel off, and become particle and fall on the substrate, sneak in the film in the film forming and cause the degradation of film from the wall of chamber.
In order to suppress to produce such particle, when this deposit reaches certain thickness, must clean, to remove attached to top SiO such as chamber inner walls to chamber xFilm.For this reason, the microwave plasma CVD device is supplied with fluoro-gas as purge gas (CF for example when cleaning 4) processing gas when replacing film forming, generate plasma.F free radical in the plasma that generates is to attached to the SiO on the chamber inner wall xFilm corrodes (attack).Its result makes SiO xIn Si become SiF x(SiF 1, SiF 2, SiF 3And SiF 4) gas and being discharged into outside the chamber.SiO xResidual O in the film xWith the C reaction, with CO or CO 2The form of gas and being discharged into outside the chamber.
So, during cleaning that article on plasma body CVD device carries out, use be the plasma of fluoro-gas, still, make by Al at the body of chamber, and its top is by Al 2O 3Make.Under situation so, the F ion pair Al in chamber 2O 3When corroding, can cut off the key part between the Al-O, the film of formation Al-F etc.At this, the bond energy of Al-F is 159kcal/mol, and the bond energy of Al-O is 120kcal/mol, with Al 2O 3The same, its bonding state is stable.Its result, when cleaning, the Al of chamber body and the Al at top 2O 3Fluoridized, inwall or the top section at chamber generates AlF sometimes.Because the SiF that when cleaning, generates 4Perhaps F 2, its bonding state also is stable, therefore, its part is not carried out physical absorption outside can not being discharged into when handling sometimes on the inwall of chamber.
With regard to the AlF that part is fluoridized, become F, be released in the chamber sometimes owing to the effect of ion when the film forming is cut off the Al-F key.In addition, be adsorbed on SiF on the chamber inner wall 4Perhaps F 2, easy disengaging because energy of adsorption is very little.Thus, the fluorine-containing residue that is present in the chamber can break away from, and produces the problem in the film of sneaking in the film forming.
In addition, usually the qualification rate of goods when improving film forming is stably made goods, and in chamber, supply with free radical, film former and in chamber from a series of circulations such as the outside emission gases of chamber, before making the handled object film forming, all be necessary to be in stable status.That is, identical when before film forming, just process conditions being set with film forming, adding the not consumption on the inwall of chamber etc. of the free radical that generates man-hour, carrying out stably, film forming is necessary.
As described above, solving from the disengagings such as Al-F that are present in chamber inner wall to fall F or break away to fall SiF from the inwall of process chamber 4Or F 2And the problem that the quality that makes film reduces the time, identical viewpoint when setting for the process conditions before the film forming with film forming, after cleaning before the film forming when formation pre-coating film (promptly so-called), when film forming, make the gaseous plasmaization identical with gas supplied, thus, the plasma technology that covers upper film (promptly forming so-called pre-coating film) on the surface of chamber inner wall is well known in the prior art (for example with reference to patent documentation 1)
Patent documentation 1: the spy opens flat 11-340149
Usually, be provided with baffle plate in plasma processing apparatus, the free radical that is used for helping film forming in chamber (below be called the deposition free radical) stream is organized into preferred state.The admittance setting of this baffle plate must little (even gas be not easy to flow through), makes it possible to when film forming substrate be implemented good plasma treatment.Thus, when film forming, by baffle plate process chamber and exhaust chamber are separated, the pressure reduction that strengthens each chamber is (with reference to (A: no gap)) of Fig. 4.Thus, even make that the pressure reduction between process chamber and the exhaust chamber is still very big when forming above-mentioned pre-coating film.
In addition, the film that on the inwall of chamber, forms, its film forming speed DR (Deposition Rate) is represented by following formula (1).
DR=k×P...........(1)
At this, k is a proportionality constant, and P is a pressure.
According to Fig. 4 (A), because the pressure P 1 of process chamber is higher than the pressure P 2 of exhaust chamber, so the film forming speed DR1 of process chamber just is higher than the film forming speed DR2 of exhaust chamber.As a result, just thicker at the pre-coating film that forms on the surface of process chamber inwall than the pre-coating film that on the surface of exhaust chamber inwall, forms.
And in fact gas is to be supplied to process chamber, and preferentially is used in process chamber in order to form pre-coating film, and therefore, the residual quantity that flows to the gas (free radical) of exhaust chamber side diminishes.When considering this point, can think that then the difference of pre-coating film of the process chamber derived by formula (1) and exhaust chamber is greater than theoretical value.
Its result, for pre-coating film, also do not reach at the thickness of its formation and to make fluorine-containing residue that the degree that breaks away from take place and when causing becoming the reason of the membranous reduction on the process chamber inner wall surface, because the pre-coating film that forms on the inner wall surface of exhaust chamber still is very thin state, break away from so can not be suppressed at the fluorine-containing residue that exists on the inner wall surface of exhaust chamber.Its result rises in process chamber the fluorine-containing residue that breaks away from, thereby produces the problem that reduces film quality in exhaust chamber in processed.
On the other hand, for pre-coating film, also do not reach when making fluorine-containing residue from degree that the surface of exhaust chamber inwall breaks away from the thickness of its formation, the pre-coating film on the inner wall surface of process chamber just can be thickeied greater than required thickness.Its result owing to arrive the thickness that film is peeled off quickly adding the thickness that is deposited in pre-coating film on the process chamber inner wall surface man-hour, makes the indoor cycle of clean (at interval) shorten, thereby produces the problem that productivity ratio reduces.
Summary of the invention
In order to address the above problem, the control method of a kind of plasma processing apparatus and plasma processing apparatus is provided in the present invention, make it possible on the inwall of process chamber, cover more equably upper film.
In order to address the above problem, according to a first aspect of the present invention, a kind of plasma processing apparatus is provided, this plasma processing unit has by mounting table and baffle plate will implement the process chamber of plasma treatment and the chamber that exhaust chamber separates to handled object, wherein, by control in above-mentioned mounting table or the above-mentioned baffle plate at least any one, make when on the inner wall surface of above-mentioned chamber, forming pre-coating film, change the aperture opening ratio between above-mentioned mounting table and the above-mentioned chamber sidewall, make the pressure of the pressure of above-mentioned process chamber and above-mentioned exhaust chamber approaching.
Thus, when on the inner wall surface of chamber, forming pre-coating film, control in above-mentioned mounting table or the above-mentioned baffle plate at least any one, make the pressure of the pressure of above-mentioned process chamber and above-mentioned exhaust chamber approaching.When the pressure reduction of process chamber and exhaust chamber reduced, the difference of the film forming speed DR1 of the process chamber of being obtained by formula (1) and the film forming speed DR2 of exhaust chamber diminished.Thus, can make the interior deposition free radical of deposition free radical and exhaust chamber in the process chamber be in much at one state.Its result makes the thickness of the pre-coating film that forms in process chamber further identical with the thickness of the pre-coating film that forms in exhaust chamber, and can make the membranous more even of this film forming.Thus, the film formed time of precoating not only can be shortened significantly, but also the cycle before the wash chamber can be extended to.Its result just can boost productivity.
As such control mounting table to reduce an example of process chamber and exhaust chamber pressure reduction, can enumerate fixed dam on the inwall of above-mentioned each chamber, the above-mentioned mounting table of lifting, make that the aperture opening ratio between the sidewall of mounting table and chamber is greater than the method at the above-mentioned aperture opening ratio that adds man-hour when forming pre-coating film.
In this way, the gap of above-mentioned mounting table of scalable and above-mentioned baffle plate makes when forming pre-coating film and to add man-hour different.In other words, adding man-hour, the lifting mounting table makes the gap smaller between mounting table and the baffle plate.Thus, process chamber is remained under the pressure consistent with treatment process.Its result, owing to will deposit free radical and be closed in the process chamber, and can be on handled object, to carry out the film forming of high uniformity than film forming speed faster.On the other hand, when forming pre-coating film, the lifting mounting table makes and vacate the gap between mounting table and baffle plate.Thus, gas is easy to flow to exhaust chamber from process chamber, and the pressure reduction between process chamber and the exhaust chamber is reduced.Its result can make deposition free radical in the process chamber and the deposition free radical in the exhaust chamber be in much at one state.Its result makes the thickness of pre-coating film of the pre-coating film of process chamber and exhaust chamber more identical, and makes the quality of this film more even.
In addition, as the control mounting table so that another example that the pressure reduction of process chamber and exhaust chamber reduces. can list in above-mentioned chamber or the fixing baffle plate that can load and unload on any one in the above-mentioned mounting table, when handled object is carried out plasma treatment, during the above-mentioned mounting table of lifting, above-mentioned baffle plate is fixed on the above-mentioned mounting table, when on the inner wall surface of above-mentioned chamber, forming pre-coating film, during the above-mentioned mounting table of lifting, above-mentioned baffle plate is fixed on the above-mentioned chamber, thus, regulate the gap between above-mentioned mounting table and the baffle plate, make on the inner wall surface of above-mentioned chamber the method for the above-mentioned aperture opening ratio of above-mentioned aperture opening ratio when handled object is implemented plasma treatment when forming pre-coating film.
In this way, consider that position between mounting table and the baffle plate concerns the influence of article on plasma body processing accuracy, adding man-hour, rising with mounting table, just can when processed, make baffle plate move to optimum position by making baffle plate be fixed on the mounting table side.In other words,, just can accelerate film forming speed, and on handled object, form uniform film handled object by free radical more effectively being enclosed in the process chamber with baffle plate.On the other hand, when forming pre-coating film, by baffle plate is fixed on the chamber side and make mounting table and baffle plate between produce the gap, make the interior deposition free radical of free radical and exhaust chamber in the process chamber be in much at one state, thereby the film forming speed that reduces process chamber and exhaust chamber is poor, thus, make the pre-coating film thickness of process chamber and exhaust chamber more equal, and can make the quality of film forming more even.
In addition, as controlling baffle plate so that the example that the pressure reduction of process chamber and exhaust chamber reduces, can enumerate the baffle plate that has one or two above through holes and make the switching mechanism of this through hole switch, when forming above-mentioned pre-coating film, switching mechanism by the control baffle plate, regulate the aperture of this through hole, thereby make the method for the aperture opening ratio of above-mentioned aperture opening ratio when handled object is implemented plasma treatment.
In this way, the control switching mechanism makes the aperture of baffle plate through hole reduce when processing.Thus, make process chamber keep meeting the pressure of process conditions, will deposit free radical and be enclosed in the process chamber, thus, accelerate film forming speed, and can form uniform film.On the other hand, when forming pre-coating film, control switch mechanism makes the through hole aperture that is arranged on the baffle plate enlarge.Thus, reduce the pressure reduction of process chamber and exhaust chamber, can make the interior deposition free radical of deposition free radical and exhaust chamber in the process chamber be in much at one state.Its result, the film forming speed that reduces process chamber and exhaust chamber is poor, and the thickness that makes what exhaust chamber of process chamber get the pre-coated film further equates, and can form the uniform film of quality.
In addition, according to a second aspect of the present invention, a kind of plasma processing apparatus is provided, this device has a process chamber and a chamber that gives off the exhaust chamber of gas of being implemented plasma treatment by mounting table and the paired handled object of barrier partitions, wherein, after above-mentioned chamber is cleaned, supply with free radical (deposition free radical) to above-mentioned exhaust chamber, to promote on the inner wall surface of above-mentioned chamber, forming pre-coating film.
In general, supply with the gas that is used to form pre-coating film to process chamber, the deposition free radical in the plasma of generation preferentially is used in and forms pre-coating film in the process chamber.As a result, make gas (deposition free radical) residual quantity that flows in the exhaust chamber reduce.But, in the present invention, after cleaning, supply with the deposition free radical to exhaust chamber from other approach.Thus, promote in exhaust chamber, to form pre-coating film.Its result, the pre-coating film thickness of process chamber and exhaust chamber is almost equal, and can be with uniform more quality film forming.
At this moment, the deposition free radical of supplying with to exhaust chamber can be generated by remote plasma generator.In addition, above-mentioned free radical also can generate by supply with the gas that gas supplied is identical with to handled object enforcement plasma treatment the time to remote plasma generator.
In this way, for example, be under CVD (chemical vapour deposition technique) situation about handling in this method, forming pre-coating film time institute gas supplied and be same adding man-hour institute's gas supplied.Thus, pre-coating film is same film with the film that forms on substrate.When operation like this, the process conditions in the time of can setting the preceding process conditions of film forming with film forming are identical.Its result is not owing to consume on chamber inner wall etc., so can more stably carry out superior in quality film forming adding the free radical that generates man-hour.
Above-mentioned plasma processing apparatus can be the processing gaseous plasmaization that will supply with in chamber by seeing through the microwave of dielectric body by the slit, then handled object is implemented the microwave plasma processing apparatus of plasma treatment.
And, the dielectric body of this microwave plasma processing apparatus is made of a plurality of dielectric body parts, each dielectric body part is provided with together or the slit more than the twice, can by by above-mentioned together or the above slit of the twice microwave that sees through each dielectric body part respectively make the processing gaseous plasmaization that supplies in the chamber, thereby handled object is implemented plasma treatment.
In this way, on each dielectric body part, be respectively arranged with the slit, still, compared with prior art, because the area of each dielectric body significantly diminishes, so microwave can pass through each dielectric body part, propagation surface ripple equably on the surface of each dielectric body.As a result, when process window is enlarged, can more stably carry out plasma treatment with better precision.In addition, because can be by the miniaturization of dielectric body window and light-weighted each dielectric body part are constituted, so when can and more easily making microwave plasma processing apparatus, can also correspondingly with the large tracts of land handled object have flexibility with low cost.
In addition, according to a third aspect of the present invention, a kind of control method of plasma processing apparatus is provided, this method is to having a chamber, and the method that this chamber is controlled for the process chamber of handled object being implemented plasma treatment and the plasma processing apparatus that gives off the exhaust chamber of gas by mounting table and barrier partitions, wherein, when handled object is carried out plasma treatment, make above-mentioned mounting table lifting up to preposition, when chamber is cleaned or after cleaning, make above-mentioned mounting table lifting up to making the precalculated position that produces the gap between above-mentioned mounting table and the above-mentioned baffle plate.
In this way, adding man-hour,, keeping process chamber meeting under the pressure of processing conditions, will deposit free radical and be enclosed in the process chamber, accelerating film forming speed thus, and can form uniform film by mounting table is elevated to the precalculated position.On the other hand, after chamber being cleaned or cleaning, make between mounting table and the baffle plate by the lifting mounting table to produce the gap, can reduce the pressure reduction of process chamber and exhaust chamber thus.Thus, make deposition free radical in the process chamber and the deposition free radical in the exhaust chamber be in much at one state, poor by the film forming speed that reduces process chamber and exhaust chamber, make that the thickness of pre-coating film of process chamber and exhaust chamber is more equal, and can carry out film forming with uniform film quality.As a result, not only can shorten the time that forms pre-coating film significantly, and can prolong up to cycle of wash chamber next time.Its result can boost productivity.
In addition, according to a fourth aspect of the present invention, a kind of control method of plasma processing apparatus is provided, this method is to have a chamber, and this chamber is by having one or more through hole and making the baffle plate and the mounting table of the switching mechanism of this through hole switch be divided into the control method of handled object being implemented process chamber with the plasma processing apparatus of the exhaust chamber that gives off gas of plasma treatment, wherein, when handled object is carried out plasma treatment, make above-mentioned switching mechanism slide into preposition, and when chamber is cleaned or after cleaning, make above-mentioned switching mechanism slide into the precalculated position, make on the inner wall surface of above-mentioned chamber the aperture of the above-mentioned through hole when forming pre-coating film greater than the aperture of the above-mentioned through hole when implementing plasma treatment.
In this way, adding man-hour, by control switch mechanism, so that the through hole aperture of baffle plate diminishes, keep process chamber to be in the pressure that meets process conditions, will deposit free radical and be enclosed in the process chamber, thus, film forming speed can be accelerated, and even mulch film can be formed.On the other hand, when forming pre-coating film, by control switch mechanism, make the aperture that is arranged on the through hole on the baffle plate become big, thereby the pressure reduction of process chamber and exhaust chamber is reduced, make deposition free radical in the process chamber and the deposition free radical in the exhaust chamber be in much at one state, poor by the film forming speed that reduces each chamber, make that the pre-coating film thickness of process chamber and exhaust chamber is more equal, and can form quality film more uniformly.
According to a fifth aspect of the present invention, a kind of control method of plasma processing apparatus is provided, this method is to have a chamber, and be divided into by mounting table and baffle plate handled object is implemented the process chamber of plasma treatment and given off the control method of plasma processing apparatus of the exhaust chamber of gas, wherein, after above-mentioned chamber is cleaned, supply with free radical, to promote on the inner wall surface of this chamber, forming pre-coating film.
In this way, promoting in exhaust chamber, to form pre-coating film by the free radical of supplying with to exhaust chamber after the cleaning.Thus, form the thickness process chamber much at one and the pre-coating film of exhaust chamber with uniform more film quality.
As illustrated, can provide the control method of a kind of plasma processing apparatus and this plasma processing unit according to the present invention, the feasible film that the inner wall surface of chamber is covered uniform thickness in the above.
Description of drawings
Fig. 1 is the longitudinal section of the microwave plasma processing apparatus of an execution mode of expression.
Fig. 2 is the figure at top of the chamber of an execution mode of expression.
Fig. 3 be used to illustrate in the first embodiment each operation and the figure of the position of pedestal relation.
Fig. 4 is a graph of a relation of representing the pressure P 2 of the pressure P 1 of process chamber and exhaust chamber according to the gap between pedestal and the baffle plate.
Fig. 5 represents is in order to make power supply with the stagger relation of thickness of the necessary dielectric body of half period of waveguide and microwave phase, is used for illustrating the figure that concerns in the position of each operation of first variation of first execution mode and pedestal.
Fig. 6 is used for the figure that concerns between each operation of second embodiment that illustrates at first execution mode and the base position.
Fig. 7 is near the enlarged drawing the baffle plate in second embodiment of first execution mode.
Fig. 8 is the figure that is used for illustrating in each operation and the relation between the base position of second execution mode.
Label declaration:
10 chambers; 11 pedestals; 18 baffle plates; 18a, 18b bearing piece; 31 handle the gas supply source; 35,60 remote plasma generators (remote plasma); 40 controllers; 100 microwave plasma processing apparatus; The 10u process chamber; The 10d exhaust chamber.
Embodiment
Below, with reference to accompanying drawing, describe preferred implementation of the present invention in detail.In this specification and accompanying drawing, the structural element that has same functional structure is in fact marked same symbol, and the explanation that will repeat is omitted then.
In addition, in this manual, 1mTorr is (10 -3* 101325/760) Pa, 1sccm are (10 -6/ 60) m 3/ sec.
(first execution mode)
(structure of microwave plasma processing apparatus)
At first, the explanation that sees figures.1.and.2 relates to the structure of microwave plasma processing apparatus of first embodiment of the invention, and wherein, Fig. 1 is that Fig. 2 represents that this installs the end face of process chamber with this device sectional view of (vertical direction on the y axle) along the longitudinal.In the following description, describe as example with the gate oxide film formation method of using the microwave plasma processing apparatus that relates to present embodiment.
Microwave plasma processing apparatus 100 has the frame body that is made of chamber 10 and lid 20.Chamber 10 has the shape that end cube cuboid is arranged, and has opening and ground connection at an upper portion thereof.Chamber 10 for example is made of aluminium metals such as (Al).
In the position of the inside of chamber 10 approximate centre, be provided with the pedestal (mounting table) 11 of placing handled objects such as substrate G.Pedestal 11 is for example to be formed by aluminium nitride.
Be provided with power supply 11a and heater 11b in the inside of pedestal 11.On power supply 11a, connecting high frequency electric source 12b via adaptation 12a (for example capacitor).In addition, on power supply 11a, also connecting high-voltage DC power supply 13b via coil 13a.Adaptation 12a, high frequency electric source 12b, coil 13a and high-voltage DC power supply 13b are arranged on the outside of chamber 10, and high frequency electric source 12b and high-voltage DC power supply 13b be ground connection.
Power supply 11a is by from the high-frequency electric power of high frequency electric source 12b output the inside of chamber 10 being applied certain bias voltage.In addition, power supply 11a also carries out electrostatic attraction by the direct voltage by high-voltage DC power supply 13b output to substrate G.
The AC power 14 that is connecting the outside that is arranged on chamber 10 on heater 11b remains on predetermined temperature by the alternating voltage from AC power 14 outputs with substrate G.
The bottom surface opening of chamber 10 becomes tubular, and near the periphery of opening, an end of bellows 15 is installed on the outer wall of chamber 10.On the other end of bellows 15, fixing lifter plate 16.So, make the opening portion of chamber 10 bottom surfaces airtight by bellows 15 and 16 of lifter plates.
In addition, bearing 11 is supported on the cylindrical shell 17 of configuration on the lifter plate 16, makes lifter plate 16 and cylindrical shell 17 1 liftings by the actuating force from motor 16a output.So, motor 16a is adjusted to required height with pedestal 11.
Around pedestal 11, be provided with and be used to control the baffle plate 18 that the air-flow that makes in the chamber 10 is in preferred condition.The inside of chamber 10 is separated into by pedestal 11 and baffle plate 18 carries out the process chamber 10u of plasma treatment and the exhaust chamber 10d of emission gases to substrate G.In addition, be provided with in the roughly middle part of the inwall side of chamber 10 towards the side-prominent bearing piece 18a of pedestal 11.Baffle plate 18 place, neighboring in its lower section is supported on the bearing piece 18a, is fixed on the inwall side of chamber 10 thus.
In chamber 10, be provided with dry pump 19a, APC (automatic pressure control) 19b and TMP (turbomolecular pump) 19c as exhaust gear 19.
Dry pump 19a, the valve predetermined by switch slightly vacuumizes, and reaches certain decompression state in the chamber 10 thereby make, and afterwards, the switch of switch valve reduces the back pressure of TMP.In APC19b, be provided with the valve body that control exhaust chamber 10d and TMP19c are in connected state, make the valve body slip of APC 19b according to the variation of process chamber 10u internal pressure P1, thereby make the connected component of exhaust chamber 10d and TMP19c reach required aperture.Thus, the vacuum degree that can the atmosphere in the chamber 10 be reduced pressure to and be scheduled to according to the aperture of APC19b valve body.
Lid 20 is provided with to such an extent that make the top of chamber 10 airtight.Lid 20 and chamber 10 are the same to be for example to be formed by aluminium nonmagnetic body metals such as (Al).Dielectric and crossbeam 25 that lid 20 is provided with lid body 21, waveguide 22a~22f, slot antenna 23a~23f, is made of dielectric feature 24a~24f.
The O shape circle 26 that chamber 10 and lid 20 are placed between the top excircle place of the following excircle place of lid body 21 and chamber 10 is fixed, thus, and the air-tightness that holding chamber is indoor.
Waveguide 22a~the 22f that forms below lid body 21 as shown in FIG. 2, is parallel to each other on the y direction of principal axis and places side by side.On waveguide 22a and the waveguide 22b, on waveguide 22c and the waveguide 22d and on waveguide 22e and waveguide 22f, connecting branch-waveguide pipe 27a, branch-waveguide pipe 27b and branch-waveguide pipe 27c that its plan view is in the shape of the letter V respectively in its end.On each branch-waveguide pipe 27, connecting microwave generator 28.
Each waveguide 22 is respectively by being that the rectangular waveguide of rectangle is formed with axially vertical cross section.For example, under the situation of TE10 pattern (TE ripple: transverse electromagnetic wave: magnetic field has the ripple of microwave direct of travel composition), each waveguide 22 becomes the H face parallel with magnetic field with the tube wall of axial vertical cross-section long side direction, and the tube wall of short side direction becomes the E face parallel with electric field.How the long side direction of each waveguide and short side direction dispose, and change according to pattern (electromagnetic field distribution in the waveguide).The inside of each waveguide 22 and branch-waveguide pipe 27 all is filled with for example aluminium oxide (Al 2O 3), dielectric such as quartz, fluorine resin.By these dielectric members according to λ G1c/ (ε ε 1) 1/2Formula control the wavelength in pipe λ of each waveguide 22 G1At this, λ cBe the wavelength of free space, ε 1It is the dielectric constant of dielectric material.
As shown in FIG. 1, slot antenna 23a~23f is separately positioned on the bottom surface of waveguide 22a~22f.As shown in FIG. 2, on each slot antenna 23, be respectively arranged with 13 slit 23a that are the through hole form.
The slit 23a of each slot antenna 23 is for example with λ g/ 2 equal intervals configuration.So, just dispose 78 (=13 * 6) slit 23a at the top of chamber 10.
Below slot antenna 23, be provided with the dielectric feature 24 of 39 rectangular flat plate shapes.Each dielectric feature 24 is by the material that can see through microwave, for example quartz glass, aluminium nitride (AlN), aluminium oxide (Al 2O 3), formation such as sapphire, SiN, pottery.
As shown in FIG. 2, beam 25 forms clathrate, is supporting 39 dielectric feature 24 below slot antenna 23.Beam 25 is the conductors that are made of nonmagnetic body metals such as aluminium, make shown in Fig. 1 via slot antenna 23, lid body 21 and chamber 10 ground connection.In the inside of each beam 25, be penetrated with many gas introduction tubes 29, from spray-hole 30 (with reference to Fig. 2) the inject process gas of gas introduction tube 29 front ends.
The processing gas supply source 31 of Fig. 1 is by valve (valve 31a1, valve 31a3, valve 31b1, valve 31b3, valve 31b5, valve 31b7, valve 31c1, valve 31c3), mass flowmenter (mass flowmenter 31a2, mass flowmenter 31b2, mass flowmenter 31b6, mass flowmenter 31c2) and gas supply source (O 2Gas supply source 31a4, SiH 4With gas supply source 31b4, Ar gas supply source 31b8, CF 4Gas supply source 31c4) constitutes.
Handle gas supply source 31,, optionally various processing gases are supplied in the chamber 10 by controlling the switch of each valve.Control the flow of the processing gas of supply respectively by each mass flowmenter, thereby will handle gas regulation to required concentration.
For example, adding man-hour, from O 2Gas supply source 31a4 supplies with O 2Gas is ejected among the process chamber 10u by gas flow 32a.Respectively by SiH 4Gas supply source 31b4 and Ar gas supply source 31b8 supply with SiH 4Gas and Ar gas are ejected among the process chamber 10u by gas passage 32b.
In addition, for example when cleaning, respectively from O 2Gas supply source 31a4 and CF 4Gas supply source 31c4 supplies with O 2Gas and CF 4Gas is ejected among the process chamber 10u by gas passage 32a.
In the outside of microwave plasma processing apparatus 100, be provided with remote plasma generator 35.This remote plasma generator 35 has container handling 35a, coil 35b, high frequency electric source 35c, capacitor C and feed tube 35d, uses when cleaning in to chamber 10.
The dielectric manufacturing that container handling 35a is made of the tubular part of hollow.On the periphery of container handling 35a, coil 35b with helical coil.The end of this coil 35b is connecting high frequency electric source 35c, its other end ground connection.On high frequency electric source 35c, connecting and be used for the capacitor C of isolated DC composition.
To container handling 35a, supply with for example CF from handling gas supply source 31 4Gas, 0 2Gas and Ar gas are as purge gas.As the other example of purge gas, also can supply with NF 3Gas and Ar gas.When the high-frequency electric power of exporting is applied on the coil 35b, around coil 35b, produce high frequency magnetic field from high frequency electric source 35c.The induction field of being responded to over time by this magnetic field makes the purge gas plasmaization in the container handling 35a.In the inductively coupled plasma (ICP) that so generates, the life-span of free radical is long.As a result, have only active F free radical to be fed among the process chamber 10u via conveyance conduit 35d.
In addition, in the outside of microwave plasma processing apparatus 100, cooling water supply source 33 is installed.This cooling water supply source 33 supplies in the water channel that is arranged on lid body 21 inside by making the cooling water circulation, makes the 21 inner coolings of lid body.
And, in the outside of microwave plasma processing apparatus 100, controller 40 is installed also.This controller 40 according to the preset time table respectively to motor 16a and APC19b output drive signal.In process chamber 10u, be provided with first pressure sensor 41 that is connected with controller 40, be used for detecting the pressure P 1 of process chamber 10u.Equally, second pressure sensor 42 that is connected with controller 40 is arranged among the exhaust chamber 10d, is used for detecting the pressure P 2 among the exhaust chamber 10d.
By structure so, to each waveguide 22, see through each dielectric feature 24 again by each slit and be injected among the process chamber 10u from the microwave propagation of 28 outputs of microwave generator shown in Fig. 2.Owing to so inject the electric field energy of the microwave in the process chamber 10u, make from handling the film forming gas plasmaization that gas supply source 31 is supplied with, on substrate G, form gate oxide film.When owing to multi-piece substrate G being implemented film forming and handles the reaction product of piling up on the inner wall surface that makes at chamber and reach preset thickness, handle gas supply source 31 and remote plasma generator 35 and just supply with fluoro-gas as purge gas, by the effect of the F free radical in the plasma that generates by purge gas, the inwall of chamber is cleaned.After cleaning, supply with film forming gas from handling gas supply source 31 again, with film forming the time, on the inwall of chamber, form the same pre-coating film of gate oxide film under the same process conditions.Sending into substrate G when pre-coating film reaches certain thickness once more carries out film forming again and handles.
(lifting action of pedestal 11)
Below, (1) film forming (formation gate oxide film) that illustrates as above is described; (2) clean; As shown in Figure 3 the action of pedestal 11 in each operation demonstrates inventor's result of experiment in practice simultaneously when (3) forming fluoro-gas.
The process conditions that the inventor sets in each operation when experiment are as follows
(1) process conditions during film forming (formation gate oxide film)
This moment process conditions be, the pressure of process chamber 10u is 200mTorr, the power of microwave is 2.55kW * 3 (using 3 microwave generators 28).The kind of gas is used Ar gas, SiH 4Gas and O 2Gas, their flow is 1500sccm for Ar gas, SiH 4Gas is 150sccm, O 2Gas is 950sccm.In addition, the temperature of substrate G is got 300 ℃.In addition, the distance of substrate G and dielectric feature 24 is 166mm.
Process conditions when (2) cleaning
In the above description, enumerate CF as purge gas 4Gas, O 2Gas and Ar gas are example, but use the NF as fluoro-gas when experiment 3Gas and Ar gas.Its gas flow is 1000sccm for Ar gas, NF 3Gas is 1000sccm.In addition, the pressure of process chamber 10u is 2Torr, is 10.8kW from the output of high frequency electric source 35c.In addition, the distance between substrate G and the dielectric feature 24 is 194mm.
When process conditions when (3) forming pre-coating film and film forming is same condition.
(1) film forming
Before beginning to form the technology of gate oxide film, controller 40 sends drive signal to motor 16a, makes pedestal 11 be elevated to the certain altitude of process conditions regulation.Make pedestal 11 rise to predetermined height (on Fig. 3) by power from the corresponding motor 16a output of drive signal therewith.
Under this state, send drive signal by controller 40 to handling gas supply source 31, be used for supplying with film forming gas, make that handling gas supply source 31 supplies with Ar gas, SiH in process chamber 10u 4Gas and O 2Gas.These film forming gas are all by microwave plasmaization.
Rise at pedestal 11 under the state of certain altitude, almost very close to each other between pedestal 11 and the baffle plate 18.In addition, APC19b is controlled and its valve body is opened.Thus, can make process chamber 10u keep meeting the pressure (about 50~500mTorr) of process conditions.As a result, be enclosed in the process chamber 10u, make it possible to accelerate film forming speed, on substrate G, implement the film forming of high uniformity by the deposition free radical in the plasma that film forming gas is generated.
(2) clean
When by on multi-piece substrate G, forming the processing of gate oxide film repeatedly respectively, when making the reaction product of on chamber inner wall, piling up reach certain thickness, to cleaning in the chamber.At this moment, controller 40 is sent drive signal to motor 16a, the feasible gap that adds between big base 11 and the baffle plate 18.Power by the corresponding motor 16a output of drive signal therewith makes pedestal 11 drop to certain height (under Fig. 3).Under this state, between pedestal 11 and baffle plate 18, produce certain clearance (gap S).Therefore, gas flows to exhaust chamber 10d from process chamber 10u easily, makes that the pressure reduction between the pressure P 2 of the pressure P 1 of process chamber 10u and exhaust chamber 10d reduces.
For example, as can be seen, when film forming, as shown in the curve (A) of Fig. 4, when the pressure P 1 in the process chamber 10u was 500mTorr, the pressure P 2 of exhaust chamber 10d was 250mTorr, and the pressure P 1 of process chamber 10u is higher than the pressure P 2 of exhaust chamber 10d.
On the other hand, when cleaning as can be seen, when pedestal 11 declines make gap S become 1cm, as shown in the curve among Fig. 4 (B), when the pressure P 1 of process chamber 10u is 500mTorr, the pressure P 2 of exhaust chamber 10d is 480mTorr, and the pressure reduction of the pressure P 1 of process chamber 10u and the pressure P 2 of exhaust chamber 10d becomes very little.
Under this state, send into the drive signal that is used for supplying with purge gas by making controller 40 to handling gas supply source 31, make that handling gas supply source 31 supplies with NF in process chamber 10u 3Gas and Ar gas.This purge gas is by microwave plasmaization.
By above-mentioned drive signal, handle gas supply source 31 with NF 3Gas and Ar gas supply in the remote plasma generator 35.Remote plasma generator 35 supplies to this purge gas plasmaization in the exhaust chamber 10d with the F free radical.Specifically, purge gas (NF 3, Ar) be fed in the container handling 35a, on coil 35b, apply the high-frequency electric power of high frequency electric source 35c.Thus, gas is by the high-frequency electric field plasmaization by the high frequency magnetic field induction that produces around the coil 35b, has only F free radical in the plasma long and finally be passed among the feed tube 35d and supply in the chamber owing to its life-span.The F radical pair that is supplied to is attached to the SiO on the chamber inner wall xFilm corrodes, and becomes SiF x(SiF 1, SiF 2, SiF 3And SiF 4) gas and being discharged into outside the chamber.Residual O xWith the NF that is fed among the process chamber 10u 3N reaction, generate NO or NO 2Deng gas and be discharged into outside the chamber.
As mentioned above, when cleaning, be positioned at the below, gas is flowed to the exhaust chamber 10d from process chamber 10u easily, thereby reduced the pressure reduction between the pressure P 2 of the pressure P 1 of process chamber 10u and exhaust chamber 10d by making pedestal 11.According to above-mentioned formula (1), because the pressure differential of each chamber diminishes, the F free radical of process chamber 10u and the F free radical of exhaust chamber 10d are under much at one the state, therefore, can make the cleaning speed of each chamber not have difference.Thus, SiF xGas or NO, NO 2Deng the formation speed of gas at process chamber 10u and almost equal in exhaust chamber 10d.Its result when the inwall that makes process chamber 10u and exhaust chamber 10d is subjected to cleaning more equably, can also shorten its scavenging period significantly.
Yet as mentioned above, what use when cleaning microwave plasma processing apparatus 100 is the plasma of fluoro-gas, and still, the body of chamber is made by Al, and the top is to use Al 2O 3Make.Under such situation, as F ion pair Al 2O 3When corroding, can cut off the key between the Al-O, part generates the film of Al-F etc.The bond energy of Al-F key is 159kcal/mol, and the bond energy of Al-O key is 120kcal/mol, and their bonding state is same stable.As a result, when cleaning, the Al at the Al of chamber body and top sometimes 2O 3Fluoridized, chamber inner wall or top can partly become AlF.
In addition, because the SiF that when cleaning, generates 4Perhaps F 2Bonding state be stable, its part can not be discharged into outside the chamber, sometimes by physical absorption on chamber inner wall.The SiF of absorption like this 4Perhaps F 2, easy disengaging because energy of adsorption is smaller.In addition, the partially fluorinated AlF on chamber inner wall as mentioned above, the ion during by film forming cuts off the Al-F key and becomes F, and is discharged in the chamber.So be present in the chamber and the fluorine-containing residue that produces can break away from, sneak into film in the film forming and the generation problem.
In addition, usually the qualification rate of goods when improving film forming is stably made goods, before making the handled object film forming, in chamber 10, supply with free radical, be necessary to make in chamber 10 film former and gaseous emission is in stable status to a series of circulations such as outside of chamber 10.In other words, by with the process conditions in the chamber from setting during to film forming to such an extent that be the same condition before the film forming, make can not consume on inwall etc. adding the free radical that produces man-hour, carry out stable film forming and be necessary.
As illustrated, derive from the F of the disengagings such as Al-F that on chamber inner wall, exist or the SiF that breaks away from from chamber inner wall in the above 4Or F 2Become the reason that reduces film quality, in order to address this problem, simultaneously from setting the viewpoint of same process conditions before the film forming during to film forming, after cleaning (when forming pre-coating film) before the film forming, the identical gaseous plasmaization of gas supplied when making with film forming, plasma is at the surface coverage upper film (promptly forming so-called pre-coating film) of chamber inner wall thus.Below, the lifting action of pedestal 11 when forming this pre-coating film is described.
(3) form pre-coating film
When forming pre-coating film, identical SiO by with processed the time 2Film (gate oxide film) covers the inner wall surface of chamber.At this moment, still produce predetermined gap (gap S) between pedestal 11 and the baffle plate 18.Therefore, keep gas to flow to state the exhaust chamber 10d from process chamber 10u easily in chamber, the pressure reduction of the pressure P 1 of process chamber 10u and the pressure P 2 of exhaust chamber 10d is still very little.
Under this state, send into the drive signal that supply is used to form pre-coating film gas by controller 40 to handling gas supply source 31, handle gas supply source 31 and in process chamber 10u, supply with the gas identical, i.e. Ar gas, SiH once more with film forming gas 4Gas and O 2Gas.This film forming gas is by microwave plasmaization.
As mentioned above, according to above-mentioned formula (1),, make the deposition free radical of the deposition free radical of process chamber 10u and exhaust chamber 10d be in much at one state, thereby can make the film forming speed of each chamber not have difference by reducing the pressure reduction of each chamber.Its result, the thickness of the pre-coating film of process chamber 10u and exhaust chamber 10d is just more equal, and when can forming membranous uniform film, can also shorten the time that forms the certain thickness pre-coating film significantly.
So, in the present embodiment, when cleaning and when forming pre-coating film, the gap between pedestal 11 and the baffle plate 18 is set at gap S.Thus, in wash chamber inwall more equably, also make the thickness of pre-coating film more equal, and can make the quality of film of formation more even.As a result, can shorten the time of scavenging period and formation pre-coating film significantly, to boost productivity.
In addition, when film forming, baffle plate 18 is raise, make between baffle plate 18 and pedestal 11 almost very close to each other.As a result, because the distribution of the deposition free radical of process chamber 10u is become evenly, just can on substrate G, form superior in quality gate oxide film.
(first embodiment of first execution mode)
Below, the structure and the action of the microwave plasma processing apparatus 100 of first embodiment that relates to first execution mode are described with reference to Fig. 5.In this device, the side wall portion of pedestal 11 is provided with the bearing piece of supporting baffle 18, is fixed at baffle plate 18 on this point that can load and unload on any one of the inwall side of chamber 10 or pedestal sidewall that to be fixed on the microwave plasma processing apparatus of first execution mode on the inwall side of chamber 10 different with baffle plate 18.Therefore, be that the center describes with this difference.
At the inwall sidepiece of chamber 10, be equipped with at the approximate centre position to the side-prominent bearing piece 18a of pedestal 11.In addition, also be equipped with at approximate centre position, the side of pedestal 11 to the outstanding bearing piece 18b of the side wall side of chamber 10.The height of baffle plate 18 by pedestal 11 is fixed in chamber 10 or the pedestal 11 on any one and can loads and unloads.
Below, the lifting action at the situation bottom base 11 of present embodiment is described.
(1) film forming
When film forming, controller 40 is sent drive signal to motor 16a, makes motor 16a action according to this drive signal, thereby makes pedestal 11 rise to predetermined height.Between the rising stage, baffle plate 18 is gone up by the bearing piece 18b on the supported inner periphery in its lower section and is fixed on the sidewall of pedestal 11, and baffle plate 18 rises to predetermined height (on Fig. 5) with pedestal 11.
Under this state, almost very close to each other between pedestal 11 and the baffle plate 18.The pressure P 1 of process chamber 10u is remained under the state that meets processing conditions.Its result is enclosed in the process chamber owing to will deposit free radical, makes and accelerates film forming speed, and form the SiO of high homogeneity on substrate G 2Film.
(2) clean
When the reaction product of piling up on chamber inner wall reached certain thickness, controller 40 was sent drive signal to motor 16a, and motor 16a is according to this drive signal action, thereby made pedestal 11 drop to predetermined height (under Fig. 5).Between decrement phase, when the baffle plate 18 on being fixed on pedestal 11 dropped to the height of the bearing piece 18a that chamber 10 sides are provided with, baffle plate 18 bearing piece 18a on the neighboring below it matched.After this, pedestal 11 continues to descend, and the bearing piece 18b on baffle plate 18 and pedestal 11 sides is separated, and makes on its bearing piece 18a that is fixed on chamber 10 inwall sides, has only pedestal 11 to drop to predetermined height.
Under this state, when supplying with purge gas, owing between pedestal 11 and baffle plate 18, produce certain clearance (gap S), make the pressure reduction between the pressure P 2 of the pressure P 1 of process chamber 10u and exhaust chamber 10d reduce, make the deposition free radical of process chamber 10u and the deposition free radical of exhaust chamber 10d be in almost same state, thereby, can make the cleaning speed of each chamber not have difference.Thus, SiF xGas or NO, NO 2Deng the formation speed of gas at process chamber 10u and almost equal in exhaust chamber 10d.Its result, with identical in the situation of first execution mode, the inwall of process chamber 10u and exhaust chamber 10d also can shorten its scavenging period significantly when being subjected to cleaning more equably.
(3) form pre-coating film
When forming pre-coating film, because the height of pedestal 11 also is in original state, the pressure reduction between the pressure P 1 of process chamber 10u and the pressure P 2 of exhaust chamber 10d is still very little.Under this state, identical with the situation of first execution mode, when supply is used to form the gas of pre-coating film, the deposition free radical of generation in process chamber 10u and the state in exhaust chamber 10d much at one, the film forming speed of each chamber does not almost have difference.As a result, the pre-coating film thickness of process chamber 10u and exhaust chamber 10d is just more equal, and in can the adequate relief film forming, can also shorten this pre-coating film significantly and reach the certain thickness time.
So, in the present embodiment, when cleaning and when forming pre-coating film, baffle plate 18 is fixed on the side of chamber inner wall.So, make between pedestal 11 and baffle plate 18, to be provided with gap S, so the state of deposition free radical much at one, thereby, in wash chamber inwall more equably, also make the thickness of pre-coating film more equal, and can be formed uniformly the quality of its film.As a result, can shorten the time of scavenging period and formation pre-coating film significantly.Its result can boost productivity.
On the other hand, in the present embodiment, the baffle plate 18 when film forming is fixed on the side of pedestal 11.Baffle plate 18 and pedestal 11 are risen together.The mounting table of placement substrate G and baffle plate 18 locational relations are to SiO 2The quality of film has very big influence.Thereby, as at present embodiment, by baffle plate 18 and pedestal 11 are moved to optimum position together, and can on substrate G, form superior in quality gate oxide film.
(second embodiment of first execution mode)
Below, explanation relates to the structure and the action of the microwave plasma processing apparatus 100 of first execution mode, second embodiment with reference to Fig. 6.The baffle plate 18 that relates to present embodiment is provided with one or two above through holes and is used for the switching mechanism of this through hole of switch, regulates on the aperture this point of through hole different with the microwave plasma processing apparatus 100 of regulating first execution mode of gap S between pedestal 11 and the baffle plate 18 by lifting base 11 at switching mechanism thus.Therefore be that the center describes with this difference.
As as shown in Fig. 7 of part enlarged drawing shown in the XP of Fig. 6, baffle plate 18 has switching mechanism 18d, is used for switch to have the baffle body 18c and the through hole 18c1 thereof of one or two above through holes (only representing through hole 18c1 in the drawings).
The support of the bearing piece 18b of baffle body 18c by being installed in approximate centre position, pedestal 11 side, and on the pedestal 11 sidewall approximate centre positions of the inner periphery in its lower section of being fixed.Switching mechanism 18d has the shape same with baffle body 18c, has the through hole that through hole with baffle body 18c is in same position and same shape, its closely be arranged on baffle body 18c above.Switching mechanism 18d turning on the power transmission member on its outer peripheral sidewall 50 processes screw thread.The sidewall that power transmission member 50 passes chamber 10 is connected with motor 51.The boundary of the outer wall of chamber 10 and power transmission member 50 thus, keeps the air-tightness in the chamber 10 by 52 sealings of O shape circle.
The power of motor 51 is passed on the switching mechanism 18d through power transmission member 50, thus, switching mechanism 18d is slided on left and right directions.When switching mechanism 18d so slided, the position of the through hole 18c1 of baffle body 18c and the through hole 18d1 of switching mechanism 18d was just moved and is staggered.So pass through to regulate gap (the aperture area S of through hole 18c1 and through hole 18d1), and the aperture opening ratio between control pedestal 11 and chamber 10 sidewalls.
Below, explanation relates to the lifting action of the pedestal 11 of present embodiment with reference to Fig. 6.
(1) film forming
When film forming, controller 40 is sent drive signal to motor 16a.Motor 16a is by the power from the corresponding motor 16a output of drive signal therewith, makes switching mechanism 18d slide a certain amount of (on Fig. 6).The through hole 18c1 that runs through baffle plate 18 and the aperture area S of through hole 18d1 are reduced.Make the value that pressure P 1 keeps and process conditions adapt of process chamber 10u thus.As a result, owing to will deposit free radical and be enclosed in the process chamber, and can accelerate film forming speed on substrate G, the film forming of enforcement high uniformity.
(2) clean
When the reaction product of piling up on chamber inner wall reached certain thickness, controller 40 was sent drive signal to motor 16a.Motor 16a is by the power from the corresponding motor 16a output of drive signal therewith, makes switching mechanism 18d slide on the opposite direction with film forming the time a certain amount of (under Fig. 6).Thus, make the aperture area S of the through hole 18c1 that runs through baffle plate 18 and through hole 18d1 become big.So, enlarge, and can reduce the pressure reduction of the pressure P 2 of the pressure P 1 of process chamber 10u and exhaust chamber 10d by when cleaning, making the aperture opening ratio between pedestal 11 and the baffle plate 18.Under this state, in chamber, supply with purge gas, chamber inner wall is cleaned.As a result, make and when the inwall of process chamber 10u and exhaust chamber 10d is cleaned more equably, can also shorten the time of this cleaning significantly.
(3) form pre-coating film
When forming pre-coating film, film forming gas is supplied with in the position of switching mechanism 18d under the state that remains unchanged.Thus, in process chamber 10u and in exhaust chamber 10d the deposition free radical state much at one.As a result, make the thickness of pre-coating film of process chamber 10u and exhaust chamber 10d more equal, and can form quality film more uniformly.The result can make this pre-coating film form to reach the certain thickness time to shorten significantly.
As illustrated in the above, in the present embodiment, by the switching mechanism 18d of control baffle plate 18, the aperture of regulating one or two above through holes makes when cleaning and the aperture opening ratio of the aperture opening ratio during the formation pre-coating film during greater than film forming.Can reduce the pressure reduction between the pressure P 2 of the pressure P 1 of process chamber 10u and exhaust chamber 10d thus.As a result, by make among the process chamber 10u and exhaust chamber 10d in the deposition free radical state much at one, and can make the film forming speed of each chamber not have difference.Make the pre-coating film thickness of process chamber 10u and exhaust chamber 10d more equal thus, and can form quality film more uniformly.In addition, when film forming,, will deposit free radical and be enclosed in the process chamber, thereby accelerate film forming speed, and can on substrate G, implement uniform film forming by reducing to run through the through hole 18c1 of baffle plate 18 and the aperture area S of through hole 18d1.
(second execution mode)
Below, explanation relates to the structure and the action of the microwave plasma processing apparatus 100 of second execution mode with reference to Fig. 8.In this device, except supply with the film forming use regulate the flow of vital energy body processing gas supply source 31 and supply with the remote plasma generator 35 of purge gas (both be omitted in Fig. 8, with reference to Fig. 1) in addition, just have to supply with and form pre-coating film and do not have the first execution mode microwave plasma processing apparatus of remote plasma generator 60 different with side on remote plasma generator 60 this point of gas at exhaust chamber 10d in the side of exhaust chamber 10d.In the present embodiment, also different on pedestal 11 not lifting this point with first execution mode of pedestal 11 liftings.Be that the center describes only thus with the difference.
Be arranged on the remote plasma generator 60 of microwave plasma processing apparatus 100 outsides, have container handling 60a, coil 60b, high frequency electric source 60c, capacitor C and conveyance conduit 60d, use when in chamber 10, forming pre-coating film.
In container handling 60a, to supply with as forming the pre-coating film gases from handling gas supply source 31, the processing gas of this gas when substrate G is carried out plasma treatment is that identical (at this is SiH 4Gas, O 2Gas, Ar gas).In the time will being applied on the coil 60b from the high-frequency electric power of high frequency electric source 60c output, around coil 60b, just produce high frequency magnetic field.The induction field that induces over time of magnetic field makes the gaseous plasmaization in the container handling 60a thus.In the inductively coupled plasma that so generates, the life-span of free radical is long.The only activated deposition free radical of result is fed among the process chamber 10u through conveyance conduit 60d.
Below, explanation relates to the action of the remote plasma generator 60 of present embodiment with reference to Fig. 8.
(1) during film forming and when cleaning
When film forming and when cleaning, controller 40 is not sent drive signal to remote plasma generator 60.Thus, remote plasma generator 60 does not all have action (on Fig. 8) when film forming and when cleaning.Thereby, when film forming, as shown in FIG. 1, supply with film forming gas to process chamber 10u from handling gas supply source 31, on substrate G, implement film forming and handle.In addition, when cleaning, from handling gas supply source 31 and remote plasma generator 35 to process chamber 10u supply purge gas, to cleaning in the chamber 10.
When (2) forming pre-coating film
When forming pre-coating film, to process chamber 10u, supply with SiH from handling gas supply source 31 4Gas, O 2Gas and Ar gas.Institute's gas supplied sees through dielectric feature 24 and by the electric field energy plasmaization of microwave, thus, forms the gate oxide film as pre-coating film in the inside of chamber 10.
Usually, owing to supply with the film forming that the gas of process chamber 10u preferentially is used for process chamber 10u, gas (deposition free radical) residual quantity that flows among the exhaust chamber 10d tails off.In addition, S almost very close to each other between baffle plate 18 and the pedestal 11.So process chamber 10u and the pressure reduction between the exhaust chamber 10d that is separated by baffle plate 18 just increases, because the deposition free radical is closed in the process chamber 10u, the deposition free radical that flows to exhaust chamber 10d from process chamber 10u is just considerably less.As a result, the pre-coating film in exhaust chamber 10d is compared with the pre-coating film among the process chamber 10u and is just become extremely thin.
But in the present embodiment, remote plasma generator 60 is supplied with the deposition free radical in exhaust chamber 10d.More specifically say so at first, controller 40 is sent drive signal to high frequency electric source 60c.Then, high frequency electric source 60c is according to this drive signal and to coil 66 supply high frequency electrical power (under Fig. 8).
When on coil 60b, applying high-frequency electric power, around coil 60b, produce high frequency magnetic field, the high-frequency electric field of magnetic field induction can make the gaseous plasmaization in the container handling 60a thus.In the inductively coupled plasma that so generates, the life-span of free radical is long.As a result, have only the active deposit free radical to be fed among the process chamber 10u through conveyance conduit 60d.
So, reduce, also can promote on the inner wall surface of exhaust chamber 10d, to form pre-coating film by the deposition free radical of supplying with by remote plasma generator 60 even flow to the residual quantity of the deposition free radical of exhaust chamber 10d from process chamber 10u.Its result is even pedestal 11 not liftings also can make the thickness of pre-coating film of the pre-coating film of process chamber 10u and exhaust chamber 10d more equal, but also can form the uniform film of quality.
As described above, according to each execution mode, make membranous more even on the inwall of the inwall of process chamber 10u and exhaust chamber 10d, and can in the shorter time, form the almost equal pre-coating film of thickness.Thus, adding the thickness that is deposited in the deposit on the chamber inner wall man-hour, arriving the time of film cover thickness owing to prolonged, and can prolong the cycle of wash chamber.As a result, can boost productivity.
In each execution mode, the aperture opening ratio between pedestal 11 and chamber 10 internal side wall is preferably 1.4%.
In first embodiment of first execution mode and first execution mode, when cleaning, sent and make pedestal 11 be reduced to the drive signal in precalculated position.But, can be not yet when cleaning, but when forming pre-coating film, send drive signal by controller 40.If so, pedestal 11 just drops to the precalculated position when forming pre-coating film.
Equally, in second embodiment of first execution mode, be when cleaning, to send the drive signal that makes switching mechanism 18d slide into the precalculated position.But, also can when forming pre-coating film, send drive signal by controller 40.So, when forming pre-coating film, control, and make aperture opening ratio become big.
In the respective embodiments described above, not only can use NF as purge gas 3, SF 6, CF 4Etc. fluorine-containing purge gas, also can use for example Cl or Cl 2Etc. chloride purge gas.
In the respective embodiments described above, in order when cleaning, to generate the F free radical and when forming pre-coating film, to generate the deposition free radical, used the method that generates plasma by remote plasma generator.But the method that generates each free radical is not limited to this, for example generates and also is fine by supplying with heat or light, radioactive ray homenergic.
Particularly when cleaning, can use simultaneously and handle gas supply source 31 and remote plasma generator 35, also can only use remote plasma generator 35, also can only use and handle gas supply source 31.
In the above-described embodiment, the action of each several part is inter-related, considers mutual association, can replace as a series of action.Therefore, by such replacement, can be the execution mode of the execution mode of microwave plasma processing apparatus 100 as controlled microwave plasma processing apparatus 100 methods.
Above with reference to description of drawings preferred implementation of the present invention, but much less the present invention is not limited to related example.Self-evident, so long as those skilled in the art can carry out various changes or correction within the scope of the claims, this is construed as certainly belongs in the technical scope of the present invention.
For example, relating to plasma processing apparatus of the present invention and be not limited to microwave plasma processing apparatus, can be inductance coupling high type plasma processing apparatus, also can be capacitive coupling plasma processing apparatus.
Relating to plasma processing apparatus of the present invention also can be the microwave plasma processing apparatus with a plurality of tile dielectric bodies, can also be the microwave plasma processing apparatus with large tracts of land dielectric body of not cutting apart at tile.
In relating to plasma processing apparatus of the present invention, be not limited to carry out CVD and handle, all can be by generating the processing that plasma carries out can to carry out ashing treatment, etching processing etc.
Utilize possibility on the industry
The applicable inwall in making the chamber, chamber of the present invention covers the plasma treatment of upper film more evenly Device and the method for controlling this plasma treating apparatus.

Claims (8)

1. plasma processing apparatus, it has a chamber, and wherein, this chamber, be is characterized in that for handled object being implemented the process chamber of plasma treatment and given off the exhaust chamber of gas by mounting table and barrier partitions:
By controlling any one in described mounting table or the described baffle plate, make when on the inner wall surface of described chamber, forming pre-coating film, so that the approaching mode of the pressure of the pressure of described process chamber and described exhaust chamber changes the aperture opening ratio between described mounting table and the described chamber sidewall.
2. plasma processing apparatus as claimed in claim 1 is characterized in that:
Described baffle plate is fixed on the inwall of described chamber,
To regulate the gap between described mounting table and the described baffle plate, make on the inner wall surface of described chamber described aperture opening ratio when forming pre-coating film by the described mounting table of lifting greater than the described aperture opening ratio when handled object is carried out plasma treatment.
3. plasma processing apparatus as claimed in claim 1 is characterized in that:
Described baffle plate releasably is fixed on in described chamber or the described mounting table any one,
When handled object is carried out plasma treatment, during the described mounting table of lifting, described baffle plate is fixed on the described mounting table, and when on the inner wall surface of described chamber, forming pre-coating film, during the described mounting table of lifting, described baffle plate is fixed on the described chamber, regulate the gap between described mounting table and the described baffle plate thus, make on described chamber inner wall surface described aperture opening ratio when forming pre-coating film greater than the described aperture opening ratio when handled object is carried out plasma treatment.
4. plasma processing apparatus as claimed in claim 1 is characterized in that:
Described baffle plate has one or two the above through holes and the switching mechanism of this through hole of switch,
By the aperture that the switching mechanism of controlling described baffle plate is regulated described through hole more than one or two, make described aperture opening ratio when on the inner wall surface of described chamber, forming pre-coating film greater than the described aperture opening ratio when handled object is carried out plasma treatment.
5. as each described plasma processing apparatus in the claim 1,2,3, it is characterized in that:
Described plasma processing apparatus is to make the processing gaseous plasmaization of supplying with by the microwave that also sees through dielectric body by the slit in described chamber, handled object is implemented the microwave plasma processing apparatus of plasma treatment.
6. plasma processing apparatus as claimed in claim 5 is characterized in that:
Described dielectric body is made of a plurality of dielectric body parts,
Each dielectric body part is provided with the slit more than or two,
Make the processing gaseous plasmaization of in chamber, supplying with by the microwave that sees through each dielectric body by described or two above slits respectively, handled object is implemented plasma treatment.
7. the control method of a plasma processing apparatus, this method is to have a chamber and by mounting table and baffle plate this chamber is divided into the control method of handled object being implemented process chamber with the plasma processing apparatus of the exhaust chamber that gives off gas of plasma treatment, it is characterized in that:
When handled object is carried out plasma treatment, described mounting table is elevated to preposition,
When this chamber is cleaned or after cleaning, described mounting table is elevated to the precalculated position that is used between described mounting table and baffle plate, forming the gap.
8. the control method of a plasma processing apparatus, this method is to have a chamber and by the baffle plate of the switching mechanism with through hole more than one or two and this through hole of switch and mounting table this chamber is divided into handled object is implemented the process chamber of plasma treatment and given off the control method of plasma processing apparatus of the exhaust chamber of gas, it is characterized in that:
When handled object is carried out plasma treatment, described switching mechanism is slided into the precalculated position,
When chamber is cleaned or after cleaning, described switching mechanism is slided into the precalculated position, make on the inner wall surface of described chamber the aperture of the described through hole when forming pre-coating film greater than the aperture of the described through hole when handled object is carried out plasma treatment.
CNB2006101645216A 2006-01-18 2006-12-05 Plasma processing apparatus and controlling method for plasma processing apparatus Expired - Fee Related CN100454498C (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2006010144A JP5324026B2 (en) 2006-01-18 2006-01-18 Plasma processing apparatus and plasma processing apparatus control method
JP2006010144 2006-01-18

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CNA2008101790740A Division CN101423928A (en) 2006-01-18 2006-12-05 Plasma processing apparatus and controlling method for plasma processing apparatus

Publications (2)

Publication Number Publication Date
CN101005031A CN101005031A (en) 2007-07-25
CN100454498C true CN100454498C (en) 2009-01-21

Family

ID=38449823

Family Applications (2)

Application Number Title Priority Date Filing Date
CNA2008101790740A Pending CN101423928A (en) 2006-01-18 2006-12-05 Plasma processing apparatus and controlling method for plasma processing apparatus
CNB2006101645216A Expired - Fee Related CN100454498C (en) 2006-01-18 2006-12-05 Plasma processing apparatus and controlling method for plasma processing apparatus

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CNA2008101790740A Pending CN101423928A (en) 2006-01-18 2006-12-05 Plasma processing apparatus and controlling method for plasma processing apparatus

Country Status (4)

Country Link
JP (1) JP5324026B2 (en)
KR (1) KR100854808B1 (en)
CN (2) CN101423928A (en)
TW (1) TW200731357A (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106463391A (en) * 2014-06-19 2017-02-22 东京毅力科创株式会社 Plasma processing device

Families Citing this family (319)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8008166B2 (en) 2007-07-26 2011-08-30 Applied Materials, Inc. Method and apparatus for cleaning a substrate surface
KR100927375B1 (en) * 2007-09-04 2009-11-19 주식회사 유진테크 Exhaust unit, exhaust control method using same, substrate processing apparatus including the exhaust unit
CN102084468B (en) 2008-02-08 2014-10-29 朗姆研究公司 Adjustable gap capacitively coupled RF plasma reactor including lateral bellows and non-contact particle seal
KR101490431B1 (en) * 2008-02-15 2015-02-11 엘아이지에이디피 주식회사 Plasma processing apparatus for control of baffle opening volume
JP5202372B2 (en) * 2008-03-14 2013-06-05 東京エレクトロン株式会社 Metal contamination reduction method for film forming apparatus, semiconductor device manufacturing method, storage medium, and film forming apparatus
CN101620981B (en) * 2008-06-30 2011-07-06 中芯国际集成电路制造(北京)有限公司 Inorganic film etching method in semiconductor manufacture procedure and shallow groove isolation area forming method
JP4473344B2 (en) * 2008-07-15 2010-06-02 キヤノンアネルバ株式会社 Plasma processing method and plasma processing apparatus
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
KR20100120336A (en) * 2009-05-06 2010-11-16 인제대학교 산학협력단 Plasma processing apparatus having a diffusion pump
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP2011077442A (en) * 2009-10-01 2011-04-14 Tokyo Electron Ltd Plasma processing method and plasma processing apparatus
JP5085752B2 (en) * 2010-03-24 2012-11-28 株式会社東芝 Semiconductor manufacturing apparatus cleaning method, semiconductor manufacturing apparatus, and management system
JP5171969B2 (en) * 2011-01-13 2013-03-27 東京エレクトロン株式会社 Substrate processing equipment
JP5955062B2 (en) * 2011-04-25 2016-07-20 東京エレクトロン株式会社 Plasma processing equipment
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) * 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9330927B2 (en) * 2013-08-28 2016-05-03 Lam Research Corporation System, method and apparatus for generating pressure pulses in small volume confined process reactor
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
JP2015090916A (en) * 2013-11-06 2015-05-11 東京エレクトロン株式会社 Substrate processing apparatus and substrate processing method
KR102175082B1 (en) * 2013-12-31 2020-11-05 세메스 주식회사 Substrate treating apparatus
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
KR102240923B1 (en) * 2014-06-30 2021-04-15 세메스 주식회사 Apparatus for treating substrate
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (en) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. Semiconductor device and manufacuring method thereof
KR101629213B1 (en) * 2015-02-02 2016-06-10 (주) 일하하이텍 Apparatus and method of processing substrate
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (en) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. Method of forming metal interconnection and method of fabricating semiconductor device using the same
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (en) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and method of operating the same
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102613349B1 (en) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. Exhaust apparatus and substrate processing apparatus and thin film fabricating method using the same
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (en) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Gas supply unit and substrate processing apparatus including the same
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (en) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (en) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
KR102457289B1 (en) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (en) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. Methods for forming a semiconductor device structure and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (en) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR102401446B1 (en) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (en) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (en) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI779134B (en) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 A storage device for storing wafer cassettes and a batch furnace assembly
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (en) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 Deposition method
KR20200108016A (en) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. Method of depositing a gap fill layer by plasma assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
KR102657269B1 (en) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. Method for depositing a ruthenium-containing film on a substrate by a cyclic deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (en) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. Substrate processing method and apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (en) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (en) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. Substrate processing method
KR102444873B1 (en) * 2018-04-06 2022-09-19 주식회사 원익아이피에스 Substrate processing apparatus and method using the same
TWI811348B (en) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
TW202349473A (en) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 Methods for forming a doped metal carbide film on a substrate and related semiconductor device structures
KR102596988B1 (en) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (en) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing system
CN112292477A (en) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 Cyclic deposition methods for forming metal-containing materials and films and structures containing metal-containing materials
TW202409324A (en) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 Cyclic deposition processes for forming metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (en) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (en) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. Method for deposition of a thin film
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (en) 2018-10-01 2020-04-07 Asm Ip控股有限公司 Substrate holding apparatus, system including the same, and method of using the same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (en) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (en) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
KR102605121B1 (en) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (en) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and substrate processing apparatus including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (en) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. A method for cleaning a substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (en) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 Method of forming device structure, structure formed by the method and system for performing the method
TWI819180B (en) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
KR20200091543A (en) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. Semiconductor processing device
CN111524788B (en) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 Method for topologically selective film formation of silicon oxide
KR102627584B1 (en) 2019-02-20 2024-01-22 에이에스엠 아이피 홀딩 비.브이. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
KR20200102357A (en) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for plug fill deposition in 3-d nand applications
KR102626263B1 (en) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. Cyclical deposition method including treatment step and apparatus for same
JP2020136678A (en) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー Method for filing concave part formed inside front surface of base material, and device
JP2020133004A (en) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー Base material processing apparatus and method for processing base material
KR20200108248A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. STRUCTURE INCLUDING SiOCN LAYER AND METHOD OF FORMING SAME
KR20200108242A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer
KR20200108243A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Structure Including SiOC Layer and Method of Forming Same
KR20200116033A (en) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. Door opener and substrate processing apparatus provided therewith
KR20200116855A (en) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. Method of manufacturing semiconductor device
KR20200123380A (en) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. Layer forming method and apparatus
KR20200125453A (en) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system and method of using same
KR20200130121A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Chemical source vessel with dip tube
KR20200130118A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Method for Reforming Amorphous Carbon Polymer Film
KR20200130652A (en) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. Method of depositing material onto a surface and structure formed according to the method
JP7373302B2 (en) * 2019-05-15 2023-11-02 株式会社Screenホールディングス Substrate processing equipment
JP2020188254A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
JP2020188255A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (en) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system including a gas detector
KR20200143254A (en) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (en) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. Temperature control assembly for substrate processing apparatus and method of using same
JP7499079B2 (en) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー Plasma device using coaxial waveguide and substrate processing method
CN112216646A (en) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 Substrate supporting assembly and substrate processing device comprising same
KR20210010307A (en) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210010820A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Methods of forming silicon germanium structures
KR20210010816A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Radical assist ignition plasma system and method
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (en) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー Method of forming topology-controlled amorphous carbon polymer film
TW202113936A (en) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
CN112309900A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112309899A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (en) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. Liquid level sensor for a chemical source vessel
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (en) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. Production apparatus of mixed gas of film deposition raw material and film deposition apparatus
KR20210024423A (en) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for forming a structure with a hole
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (en) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (en) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. Methods for selective deposition using a sacrificial capping layer
KR20210029663A (en) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (en) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process
CN112635282A (en) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 Substrate processing apparatus having connection plate and substrate processing method
KR20210042810A (en) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. Reactor system including a gas distribution assembly for use with activated species and method of using same
KR20210043460A (en) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. Method of forming a photoresist underlayer and structure including same
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (en) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (en) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for selectively etching films
KR20210050453A (en) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (en) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (en) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
CN112951697A (en) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 Substrate processing apparatus
KR20210065848A (en) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. Methods for selectivley forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885692A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
JP2021090042A (en) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. Substrate processing apparatus and substrate processing method
KR20210070898A (en) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
TW202125596A (en) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (en) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. Methods for filling a gap feature on a substrate and related semiconductor structures
TW202140135A (en) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 Gas supply assembly and valve plate assembly
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (en) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 Method of forming thin film and method of modifying surface of thin film
TW202130846A (en) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 Method of forming structures including a vanadium or indium layer
KR20210100010A (en) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. Method and apparatus for transmittance measurements of large articles
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (en) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 System dedicated for parts cleaning
KR20210116249A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. lockout tagout assembly and system and method of using same
KR20210116240A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. Substrate handling device with adjustable joints
CN113394086A (en) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 Method for producing a layer structure having a target topological profile
KR20210124042A (en) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. Thin film forming method
TW202146689A (en) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 Method for forming barrier layer and method for manufacturing semiconductor device
TW202145344A (en) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 Apparatus and methods for selectively etching silcon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132605A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Vertical batch furnace assembly comprising a cooling gas supply
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132600A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
KR20210134226A (en) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. Solid source precursor vessel
KR20210134869A (en) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Fast FOUP swapping with a FOUP handler
KR20210141379A (en) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. Laser alignment fixture for a reactor system
TW202147383A (en) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus
KR20210145078A (en) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Structures including multiple carbon layers and methods of forming and using same
TW202200837A (en) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Reaction system for forming thin film on substrate
TW202201602A (en) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing device
TW202218133A (en) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method for forming a layer provided with silicon
TW202217953A (en) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing method
CN113889391B (en) * 2020-07-02 2024-03-12 中微半导体设备(上海)股份有限公司 Plasma processing apparatus and insulating window assembly thereof
KR20220010438A (en) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. Structures and methods for use in photolithography
TW202204662A (en) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 Method and system for depositing molybdenum layers
JP7450494B2 (en) 2020-08-18 2024-03-15 東京エレクトロン株式会社 Substrate processing equipment and gas switching method for substrate processing equipment
TW202212623A (en) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 Method of forming metal silicon oxide layer and metal silicon oxynitride layer, semiconductor structure, and system
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (en) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing material on stepped structure
KR20220053482A (en) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. Method of depositing vanadium metal, structure, device and a deposition assembly
TW202223136A (en) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 Method for forming layer on substrate, and semiconductor processing system
TW202235675A (en) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 Injector, and substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (en) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate
CN113088936B (en) * 2021-03-31 2023-04-07 长江存储科技有限责任公司 Film layer growth equipment and method
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11846019B2 (en) 2021-10-01 2023-12-19 Applied Materials, Inc. One-body shadow frame support with flow controller
CN117089822B (en) * 2023-10-20 2024-01-02 研微(江苏)半导体科技有限公司 Semiconductor reaction chamber, isolation device and isolation control method thereof

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6296747B1 (en) * 2000-06-22 2001-10-02 Applied Materials, Inc. Baffled perforated shield in a plasma sputtering reactor
JP2003163201A (en) * 2002-09-09 2003-06-06 Hitachi Ltd Plasma etching device
US20040063333A1 (en) * 2002-09-30 2004-04-01 Tokyo Electron Limited Method and apparatus for an improved baffle plate in a plasma processing system
CN1538503A (en) * 2003-04-17 2004-10-20 Ӧ�ò��Ϲ�˾ Apparatus and method to confine plasma and reduce flow resistance in plasma reactor

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03290926A (en) * 1990-04-06 1991-12-20 Sumitomo Metal Ind Ltd Plasma apparatus and use of the apparatus
JP3061346B2 (en) * 1994-03-07 2000-07-10 東京エレクトロン株式会社 Processing equipment
JP3192370B2 (en) * 1995-06-08 2001-07-23 東京エレクトロン株式会社 Plasma processing equipment
JP3258885B2 (en) * 1995-12-27 2002-02-18 東京エレクトロン株式会社 Film processing equipment
US5812403A (en) * 1996-11-13 1998-09-22 Applied Materials, Inc. Methods and apparatus for cleaning surfaces in a substrate processing system
JP3583289B2 (en) * 1998-05-28 2004-11-04 株式会社日立製作所 Plasma processing apparatus and plasma processing method
JP2002043288A (en) * 2000-07-24 2002-02-08 Sony Corp Plasma etching device, seasoning method of this and plasma etching method using them
JP4731760B2 (en) * 2001-08-23 2011-07-27 東京エレクトロン株式会社 Vacuum processing apparatus and vacuum processing method
JP4129855B2 (en) * 2001-12-13 2008-08-06 東京エレクトロン株式会社 Plasma processing equipment
JP4381001B2 (en) * 2003-02-25 2009-12-09 シャープ株式会社 Plasma process equipment

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6296747B1 (en) * 2000-06-22 2001-10-02 Applied Materials, Inc. Baffled perforated shield in a plasma sputtering reactor
JP2003163201A (en) * 2002-09-09 2003-06-06 Hitachi Ltd Plasma etching device
US20040063333A1 (en) * 2002-09-30 2004-04-01 Tokyo Electron Limited Method and apparatus for an improved baffle plate in a plasma processing system
CN1538503A (en) * 2003-04-17 2004-10-20 Ӧ�ò��Ϲ�˾ Apparatus and method to confine plasma and reduce flow resistance in plasma reactor

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106463391A (en) * 2014-06-19 2017-02-22 东京毅力科创株式会社 Plasma processing device
CN106463391B (en) * 2014-06-19 2019-08-02 东京毅力科创株式会社 Plasma processing apparatus
US11101114B2 (en) 2014-06-19 2021-08-24 Tokyo Electron Limited Plasma processing apparatus
US11804366B2 (en) 2014-06-19 2023-10-31 Tokyo Electron Limited Plasma processing apparatus

Also Published As

Publication number Publication date
KR100854808B1 (en) 2008-08-27
KR20070076545A (en) 2007-07-24
TW200731357A (en) 2007-08-16
CN101005031A (en) 2007-07-25
JP2007194361A (en) 2007-08-02
JP5324026B2 (en) 2013-10-23
CN101423928A (en) 2009-05-06

Similar Documents

Publication Publication Date Title
CN100454498C (en) Plasma processing apparatus and controlling method for plasma processing apparatus
US10002745B2 (en) Plasma treatment process for in-situ chamber cleaning efficiency enhancement in plasma processing chamber
CN100576966C (en) The control method of plasma processing apparatus and plasma processing apparatus
US7550090B2 (en) Oxygen plasma clean to remove carbon species deposited on a glass dome surface
US20080230008A1 (en) Plasma species and uniformity control through pulsed vhf operation
WO2002093632A1 (en) Plasma processing device, and method of cleaning the same
KR102049146B1 (en) Plasma etching method, plasma etching apparatus and substrate mounting table
EP2080817B1 (en) Method and apparatus for chamber cleaning by in-situ plasma excitation
KR102061969B1 (en) Substrate processing apparatus
CN102931056B (en) Surface processing method, a member made of silicon carbide, and a plasma processing apparatus
US8277563B2 (en) Plasma processing method
JP2020536462A5 (en)
KR20180014656A (en) Substrate processing apparatus and substrate processing method
JP5715361B2 (en) Cleaning method
EP3414357B1 (en) An apparatus for atomic layer deposition
KR101464867B1 (en) Semiconductor device manufacturing method, substrate processing apparatus, and recording medium
CN109321894A (en) A kind of depositing system and method enhancing cleaning effect
KR101994918B1 (en) Substrate processing apparatus and substrate processing method
JP2001020076A (en) Method and device for cleaning reaction chamber
CN109312461A (en) The construction of plasma processing apparatus and corona treatment reaction vessel
KR20180076303A (en) Purging method
KR20160030364A (en) Plasma processing apparatus and cleaning method
JP5005999B2 (en) Plasma processing apparatus and method of using plasma processing apparatus
JP2005159049A (en) Plasma deposition method
JP2003273081A (en) Plasma processing apparatus

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
C17 Cessation of patent right
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20090121

Termination date: 20131205