JP5940342B2 - Substrate transport apparatus, substrate processing system, substrate transport method, and storage medium - Google Patents

Substrate transport apparatus, substrate processing system, substrate transport method, and storage medium Download PDF

Info

Publication number
JP5940342B2
JP5940342B2 JP2012077694A JP2012077694A JP5940342B2 JP 5940342 B2 JP5940342 B2 JP 5940342B2 JP 2012077694 A JP2012077694 A JP 2012077694A JP 2012077694 A JP2012077694 A JP 2012077694A JP 5940342 B2 JP5940342 B2 JP 5940342B2
Authority
JP
Japan
Prior art keywords
substrate
pick
vacuum processing
processing unit
wafer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2012077694A
Other languages
Japanese (ja)
Other versions
JP2013042112A (en
Inventor
博充 阪上
博充 阪上
雅仁 小沢
雅仁 小沢
雄一 古屋
雄一 古屋
菜奈子 信田
菜奈子 信田
勝人 廣瀬
勝人 廣瀬
稲垣 守人
守人 稲垣
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2012077694A priority Critical patent/JP5940342B2/en
Priority to TW101125036A priority patent/TW201327711A/en
Priority to KR1020120076764A priority patent/KR20130009700A/en
Priority to US13/549,046 priority patent/US20130180448A1/en
Priority to CN201210245908XA priority patent/CN102881618A/en
Publication of JP2013042112A publication Critical patent/JP2013042112A/en
Application granted granted Critical
Publication of JP5940342B2 publication Critical patent/JP5940342B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Robotics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Manipulator (AREA)

Description

本発明は、例えば半導体ウエハ等の基板に熱をともなう真空処理を施す基板処理装置に用いられる基板搬送装置、それを用いた基板処理システムおよび基板搬送方法、ならびに記憶媒体に関する。   The present invention relates to a substrate transport apparatus used in a substrate processing apparatus that performs vacuum processing with heat on a substrate such as a semiconductor wafer, a substrate processing system and a substrate transport method using the same, and a storage medium.

半導体デバイスの製造工程においては、被処理基板である半導体ウエハ(以下、単にウエハと記す)に対し、成膜処理等の真空処理が多用されている。最近では、このような真空処理の効率化の観点、および酸化やコンタミネーション等の汚染を抑制する観点から、複数の真空処理ユニットを真空に保持される搬送室に連結し、この搬送室に設けられた基板搬送装置により各真空処理ユニットにウエハを搬送可能としたクラスターツール型のマルチチャンバタイプの真空処理システムが用いられている(例えば特許文献1)。   In the manufacturing process of a semiconductor device, a vacuum process such as a film forming process is frequently used for a semiconductor wafer (hereinafter simply referred to as a wafer) that is a substrate to be processed. Recently, from the viewpoint of improving the efficiency of such vacuum processing and suppressing contamination such as oxidation and contamination, a plurality of vacuum processing units are connected to a transfer chamber held in a vacuum and provided in this transfer chamber. A cluster tool type multi-chamber type vacuum processing system is used in which a wafer can be transferred to each vacuum processing unit by the substrate transfer apparatus (for example, Patent Document 1).

このようなマルチチャンバ処理システムにおいては、真空に保持されている搬送室に、上述した真空処理ユニットの他に、大気中に置かれているウエハカセットから真空に保持された搬送室へウエハを搬送するためのロードロック室を連結し、搬送室に設けられた基板搬送装置により、真空処理ユニットとロードロック室の間、または真空処理ユニット間でウエハの搬送が行われる。   In such a multi-chamber processing system, a wafer is transferred from a wafer cassette placed in the atmosphere to a transfer chamber held in a vacuum, in addition to the above-described vacuum processing unit, in a transfer chamber held in a vacuum. A load lock chamber is connected to each other, and a wafer is transferred between the vacuum processing unit and the load lock chamber or between the vacuum processing units by a substrate transfer device provided in the transfer chamber.

このとき用いる基板搬送装置においては、ウエハを保持するピックとして、ウエハの裏面のみ、または下面側ベベルのみを保持するものが用いられている。   In the substrate transfer apparatus used at this time, a pick that holds only the back surface of the wafer or only the lower surface side bevel is used as a pick for holding the wafer.

特開2000−208589号公報JP 2000-208589 A

近時、高速でウエハ搬送を行って高スループットで処理を行うことが要求されているが、上述のようにウエハの裏面のみ、または下面側ベベルのみを保持するピックを用いる場合には、高速で搬送するとウエハが滑ってしまいウエハの位置精度が低いものとなってしまう。また、成膜処理のような熱をともなう処理を行う場合には、熱膨張による誤差も重畳されて益々位置精度が低下してしまう。   Recently, it is required to carry wafers at high speed and perform processing at high throughput. However, as described above, when using a pick that holds only the back surface of the wafer or only the lower surface bevel, the speed is high. When the wafer is transferred, the wafer slips and the wafer position accuracy becomes low. In addition, when a process with heat such as a film forming process is performed, errors due to thermal expansion are also superimposed and the position accuracy is further decreased.

本発明はかかる事情に鑑みてなされたものであって、真空において熱をともなう処理を行う基板処理装置において、基板を高速で搬送しても基板の位置精度を高くすることができる基板搬送装置、それを用いた基板処理システム、および基板搬送方法を提供することを課題とする。また、このような搬送方法を実行するプログラムが記憶された記憶媒体を提供することを課題とする。   The present invention has been made in view of such circumstances, and in a substrate processing apparatus that performs processing with heat in a vacuum, a substrate transport apparatus capable of increasing the positional accuracy of a substrate even when the substrate is transported at a high speed, It is an object to provide a substrate processing system and a substrate transfer method using the same. It is another object of the present invention to provide a storage medium storing a program for executing such a transport method.

上記課題を解決するため、本発明の第1の観点では、熱をともなう真空処理が行われる真空処理ユニットと、前記真空処理ユニットが接続され、内部が真空に保持された搬送室とを有する基板処理システムにおいて、前記搬送室内に設けられ、前記真空処理ユニットに対して基板の搬入および搬出を行う基板搬送装置であって、基板を位置決めする位置決めピンを有し、基板を位置決めした状態で保持するピックと、前記ピックにより前記真空処理ユニットに対して基板を搬入および搬出するように前記ピックを駆動させる駆動部と、前記ピックによる基板の搬送動作を制御する搬送制御部とを有し、前記搬送制御部は、基板を前記真空処理ユニットに搬入する際の、常温における前記基板の基準位置をX−Y座標上で予め把握しておき、実処理において、前記基板を前記真空処理ユニットに搬入する際に、前記基準位置からの熱による位置ずれを前記X−Y座標上で求め、その位置ずれ後の前記X−Y座標の位置から前記基板のずれ量を算出し、前記ずれ量を補正して前記基板を前記真空処理ユニットに搬入するように前記駆動部を制御することを特徴とする基板搬送装置を提供する。 In order to solve the above problems, according to a first aspect of the present invention, there is provided a substrate having a vacuum processing unit for performing vacuum processing with heat, and a transfer chamber to which the vacuum processing unit is connected and whose interior is held in vacuum. In the processing system, the substrate transfer apparatus is provided in the transfer chamber and carries the substrate into and out of the vacuum processing unit, and has a positioning pin for positioning the substrate, and holds the substrate in a positioned state. A pick unit; a drive unit that drives the pick so that the substrate is carried into and out of the vacuum processing unit by the pick; and a conveyance control unit that controls a substrate conveyance operation by the pick. control unit, at the time of carrying a substrate into the vacuum processing unit, advance the reference position location of the substrate at room temperature by previously grasped on X-Y coordinate, actual In sense, when loading the substrate into the vacuum processing unit, the positional deviation due to heat from the pre-Symbol reference position determined on said X-Y coordinates, from said position of said X-Y coordinate after the positional deviation There is provided a substrate transport apparatus that calculates a displacement amount of a substrate, controls the drive unit to correct the displacement amount and carry the substrate into the vacuum processing unit.

本発明の第2の観点では、熱をともなう真空処理が行われる真空処理ユニットと、前記真空処理ユニットが接続され、内部が真空に保持された搬送室と、前記搬送室内に設けられ、前記真空処理ユニットに対して基板の搬入および搬出を行う基板搬送装置とを具備する基板処理システムであって、前記基板搬送装置は、基板を位置決めする位置決めピンを有し、基板を位置決めした状態で保持するピックと、前記ピックにより前記真空処理ユニットに対して基板を搬入および搬出するように前記ピックを駆動させる駆動部と、前記ピックによる基板の搬送動作を制御する搬送制御部とを有し、前記搬送制御部は、基板を前記真空処理ユニットに搬入する際の、常温における前記基板の基準位置をX−Y座標上で予め把握しておき、実処理において、前記基板を前記真空処理ユニットに搬入する際に、前記基準位置からの熱による位置ずれを前記X−Y座標上で求め、その位置ずれ後の前記X−Y座標の位置から前記基板のずれ量を算出し、前記ずれ量を補正して前記基板を前記真空処理ユニットに搬入するように前記駆動部を制御することを特徴とする基板処理システムを提供する。 In a second aspect of the present invention, a vacuum processing unit for performing vacuum processing with heat, a transfer chamber to which the vacuum processing unit is connected and maintained in a vacuum, and provided in the transfer chamber, the vacuum A substrate processing system comprising a substrate transfer device that carries a substrate into and out of a processing unit, the substrate transfer device having a positioning pin for positioning the substrate and holding the substrate in a positioned state A pick unit; a drive unit that drives the pick so that the substrate is carried into and out of the vacuum processing unit by the pick; and a conveyance control unit that controls a substrate conveyance operation by the pick. control unit, at the time of carrying a substrate into the vacuum processing unit, advance the reference position location of the substrate at room temperature by previously grasped on X-Y coordinates, you the actual processing Te, the time of loading the substrate into the vacuum processing unit, the positional deviation due to heat from the pre-Symbol reference position determined on said X-Y coordinates, from said position of said X-Y coordinate after the positional deviation substrate The substrate processing system is characterized in that the amount of deviation is calculated, the amount of deviation is corrected, and the drive unit is controlled to carry the substrate into the vacuum processing unit.

上記第1および第2の観点において、前記位置決めピンは、前記ピック上に基板を挟むように配置され、前記ピックを移動した際の慣性で基板を前記位置決めピンに押しつけることにより基板が位置決めされるように構成することができる。   In the first and second aspects, the positioning pins are arranged so as to sandwich the substrate on the pick, and the substrate is positioned by pressing the substrate against the positioning pins by inertia when the pick is moved. It can be constituted as follows.

また、前記ピックは複数の位置決めピンを有し、前記複数の位置決めピンのいずれかを移動させて基板を前記ピック上でクランプするクランプ機構をさらに有する構成とすることもできる。   The pick may include a plurality of positioning pins, and may further include a clamp mechanism that clamps the substrate on the pick by moving any of the plurality of positioning pins.

この場合に、前記ピックおよび他のアームを含む多関節アーム機構を有し、前記ピックは隣接するアームに対し回転可能に設けられ、前記クランプ機構は、前記ピックの回転にともなって変位するカムと、前記カムの変位により前記位置決めピンを進退移動させ、基板をクランプしまたはリリースする移動部材と、前記カムの変位を前記移動部材に伝達させる中間機構とを有し、前記カムは、前記ピックの回転位置に同期して前記位置決めピンの進退が定まるようにその位置が調整されるように構成することができる。   In this case, an articulated arm mechanism including the pick and another arm is provided, the pick is provided so as to be rotatable with respect to an adjacent arm, and the clamp mechanism includes a cam that is displaced as the pick rotates. A moving member that moves the positioning pin forward and backward by the displacement of the cam to clamp or release the substrate, and an intermediate mechanism that transmits the displacement of the cam to the moving member. The position of the positioning pin can be adjusted so as to be determined in synchronization with the rotational position.

また、前記位置決めピンは、前記ピックの先端側に設けられた先端側位置決めピンと、前記ピックの基端側に設けられた基端側位置決めピンとを有し、前記クランプ機構は前記基端側位置決めピンを進退移動させて基板をクランプしまたはリリースするように構成され、前記多関節アーム機構を伸長させて、基板を受け渡すために前記ピック上の基板をリリースする際には、前記ピックの加速度が負となる範囲で基板をリリースし、前記多関節アームを縮退させて、前記ピック上に基板を受け取った後に基板をクランプする際には、前記ピックの加速度が正となる範囲で基板をクランプする構成とすることができる。   The positioning pin includes a distal end side positioning pin provided on the distal end side of the pick, and a proximal end positioning pin provided on the proximal end side of the pick, and the clamp mechanism includes the proximal end positioning pin. Is configured to clamp or release the substrate by advancing and retreating, and when the articulated arm mechanism is extended to release the substrate on the pick to deliver the substrate, the acceleration of the pick is When the substrate is released in the negative range, the articulated arm is retracted, and the substrate is clamped after receiving the substrate on the pick, the substrate is clamped in the range where the acceleration of the pick is positive. It can be configured.

また、上記第1の観点および第2の観点において、前記基準位置は、常温において、前記真空処理ユニットに対して搬入出される基板が通過する位置に設けられた位置検出センサユニットにより基板を検出し、その検出情報に基づいて求めることができる。このとき、基板を前記真空処理ユニットに搬入する際の基板の位置情報は、前記位置検出センサユニットにより基板を検出し、その検出情報に基づいて求められ、このようにして求めた基板の位置情報と前記基準位置とから前記位置ずれを算出することができる。前記位置ずれの検出は、基板を前記真空処理ユニットから搬出する際または前記真空処理ユニットに搬入する際に行われ、前記ずれ量の補正は、基板を前記真空処理ユニットに搬入する際に行わるようにすることができる。 In the above first and second aspects, the reference position location is at room temperature, detecting the substrate by the position detection sensor unit provided at a position where the substrate to be transferred into and out relative to the vacuum processing unit passes And can be obtained based on the detection information. At this time, the position information of the substrate when the substrate is carried into the vacuum processing unit is obtained based on the detection information detected by the position detection sensor unit, and the position information of the substrate thus obtained is obtained. it is possible to calculate the positional deviation from the reference position location and. The detection of the displacement is performed when the substrate is carried out of the vacuum processing unit or when the substrate is carried into the vacuum processing unit, and the correction of the displacement amount is performed when the substrate is carried into the vacuum processing unit. Can be.

また、前記基板処理システムは、前記搬送室に接続され、大気雰囲気と真空との間で圧力可変であり、大気雰囲気から前記搬送室に対して基板を搬送するロードロック室をさらに有し、前記搬送制御部は、基板を前記ロードロック室に搬入する際の、常温における前記基板の基準位置をX−Y座標上で予め把握しておき、実処理において、前記基板を前記ロードロック室に搬入する際に、前記基準位置からの熱による位置ずれを前記X−Y座標上で求め、その位置ずれ後の前記X−Y座標の位置から前記基板のずれ量を算出し、前記ずれ量を補正して前記基板を前記ロードロック室に搬入するように前記駆動部を制御するようにすることができる。 The substrate processing system further includes a load lock chamber that is connected to the transfer chamber, is variable in pressure between an air atmosphere and a vacuum, and transfers a substrate from the air atmosphere to the transfer chamber, conveyance control unit, at the time of transferring the substrate to the load lock chamber, the reference position location of the substrate at normal temperature is previously grasped on X-Y coordinates, in the actual processing, the substrate to the load lock chamber when loading, the positional deviation due to heat from the pre-Symbol reference position determined on said X-Y coordinate, and calculates a shift amount of the substrate from the position of the X-Y coordinate after the positional deviation, the deviation amount The drive unit can be controlled so that the substrate is carried into the load lock chamber by correcting the above.

さらに、前記ピックの前記位置決めピンは、垂直軸に対して回転可能なリング部材を有していることが好ましい。また、前記ピックは、基板の裏面を支持し、基板を位置決めする際の移動方向に回転可能なローラを備えた裏面支持パッドを有することが好ましい。   Furthermore, it is preferable that the positioning pin of the pick has a ring member that is rotatable with respect to a vertical axis. Moreover, it is preferable that the said pick has a back surface support pad provided with the roller which supports the back surface of a board | substrate and can be rotated in the moving direction at the time of positioning a board | substrate.

本発明の第3の観点では、熱をともなう真空処理が行われる真空処理ユニットと、前記真空処理ユニットが接続され、内部が真空に保持された搬送室とを有する基板処理システムにおいて、基板を位置決めする位置決めピンを有し、基板を位置決めした状態で保持するピックと、前記ピックにより前記真空処理ユニットに対して基板を搬入および搬出するように前記ピックを駆動させる駆動部とを有し、前記搬送室に設けられた基板搬送装置を用いて、前記真空処理ユニットに対して基板の搬入および搬出を行う基板搬送方法であって、基板を前記真空処理ユニットに搬入する際の、常温における前記基板の基準位置をX−Y座標上で予め把握しておき、実処理において、前記基板を前記真空処理ユニットに搬入する際に、前記基準位置からの熱による位置ずれを前記X−Y座標上で求め、その位置ずれ後の前記X−Y座標の位置から前記基板のずれ量を算出し、前記ずれ量を補正して前記基板を前記真空処理ユニットに搬入することを特徴とする基板搬送方法を提供する。 In a third aspect of the present invention, a substrate is positioned in a substrate processing system having a vacuum processing unit for performing vacuum processing with heat, and a transfer chamber to which the vacuum processing unit is connected and whose interior is held in vacuum. A pick having a positioning pin for holding the substrate in a positioned state, and a drive unit for driving the pick to carry the substrate into and out of the vacuum processing unit by the pick. A substrate transfer method for carrying a substrate in and out of the vacuum processing unit using a substrate transfer device provided in a chamber, wherein the substrate at room temperature when the substrate is transferred into the vacuum processing unit. the reference position location is previously grasped on X-Y coordinates, in the actual processing, when loading the substrate into the vacuum processing unit, before Symbol reference position Obtain the position displacement due to heat on the X-Y coordinate, and calculates a shift amount of the substrate from the position of the X-Y coordinate after the positional deviation, the said substrate by correcting the amount of deviation vacuum processing unit A substrate carrying method is provided.

本発明の第4の観点では、コンピュータ上で動作し、基板搬送装置を制御するためのプログラムが記憶された記憶媒体であって、前記プログラムは、実行時に、上記第3の観点の基板搬送方法が行われるように、コンピュータに前記基板搬送装置を制御させることを特徴とする記憶媒体を提供する。   According to a fourth aspect of the present invention, there is provided a storage medium that operates on a computer and stores a program for controlling a substrate transport apparatus, and the program is executed when the substrate transport method according to the third aspect. The storage medium is characterized by causing a computer to control the substrate transfer device.

本発明によれば、基板を真空処理ユニットに搬入する際の、常温における基板の基準位置をX−Y座標上で予め把握しておき、実処理において、基板を真空処理ユニットに搬入する際に、基準位置からの熱による位置ずれをX−Y座標上で求め、その位置ずれ後のX−Y座標の位置から基板のずれ量を算出し、そのずれ量を補正して基板を真空処理ユニットに搬送するように駆動部を制御するので、真空での熱をともなう処理を行う基板処理装置において、基板を高速で搬送しても基板の位置ずれが抑制され、また、熱膨張等も補正することができ、基板の位置精度を高くすることができる。 According to the present invention, when the substrate is carried into the vacuum processing unit, the reference position of the substrate at normal temperature is previously grasped on X-Y coordinates, in the actual processing, when the substrate is carried into the vacuum processing unit the displacement caused by the heat from the group reference position determined on the X-Y coordinate, and calculates a shift amount of the substrate from the position of the X-Y coordinate after the positional deviation, the vacuum processing a substrate by correcting the amount of deviation Since the drive unit is controlled so that it is transported to the unit, in substrate processing equipment that performs processing with heat in a vacuum , even if the substrate is transported at high speed, the displacement of the substrate is suppressed, and thermal expansion is also corrected. And the positional accuracy of the substrate can be increased.

本発明の一実施形態に係るマルチチャンバタイプの基板処理システムの概略構造を示す水平断面図である。1 is a horizontal sectional view showing a schematic structure of a multi-chamber type substrate processing system according to an embodiment of the present invention. 基板搬送装置の第1の例を示す平面図である。It is a top view which shows the 1st example of a board | substrate conveyance apparatus. 基板搬送装置の第1の例を示す正面図である。It is a front view which shows the 1st example of a board | substrate conveyance apparatus. 基板搬送装置の第1の例の駆動状態を説明するための図である。It is a figure for demonstrating the drive state of the 1st example of a board | substrate conveyance apparatus. 基板搬送装置の第1の例のピックを説明するための斜視図である。It is a perspective view for demonstrating the pick of the 1st example of a board | substrate conveyance apparatus. 基板搬送装置の第1の例のピックの裏面支持パッドの好ましい例を説明するための図である。It is a figure for demonstrating the preferable example of the back surface support pad of the pick of the 1st example of a board | substrate conveyance apparatus. 図6の裏面支持パッドの構成を示す分解斜視図である。It is a disassembled perspective view which shows the structure of the back surface support pad of FIG. 基板搬送装置の第1の例のピックのストッパピンの好ましい例を説明するための斜視図および断面図である。It is the perspective view and sectional drawing for demonstrating the preferable example of the stopper pin of the pick of the 1st example of a board | substrate conveyance apparatus. 基板搬送装置の第1の例におけるピックのストッパピンの他の好ましい例を説明するための断面図である。It is sectional drawing for demonstrating the other preferable example of the stopper pin of the pick in the 1st example of a board | substrate conveyance apparatus. 基板搬送装置の第2の例の要部を示す平面図である。It is a top view which shows the principal part of the 2nd example of a board | substrate conveyance apparatus. 基板搬送装置の第2の例のクランプ機構を示す図である。It is a figure which shows the clamp mechanism of the 2nd example of a board | substrate conveyance apparatus. 基板搬送装置の第2の例において、クランプ機構によるクランプ開始時と完了時における、多関節アーム機構の状態およびクランプ機構の状態を説明するための図である。It is a figure for demonstrating the state of the articulated arm mechanism and the state of a clamp mechanism in the 2nd example of a board | substrate conveyance apparatus at the time of the clamp start by a clamp mechanism, and completion. 基板搬送装置の第2の例において、多関節アーム機構のストロークとピックにおけるキャプチャレンジとの関係を示す図である。It is a figure which shows the relationship between the stroke of an articulated arm mechanism, and the capture range in a pick in the 2nd example of a board | substrate conveyance apparatus. 基板搬送装置の第2の例において、多関節アーム機構の伸長時の速度・加速度曲線とリリースタイミング、および縮退時の速度・加速度曲線とクランプタイミングを示す図である。In the 2nd example of a board | substrate conveyance apparatus, it is a figure which shows the speed-acceleration curve and release timing at the time of expansion | extension of an articulated arm mechanism, and the speed-acceleration curve and clamp timing at the time of degeneracy. 基板搬送装置のピックでウエハを保持した際の熱膨張による変位の様子を説明するための図である。It is a figure for demonstrating the mode of the displacement by thermal expansion at the time of hold | maintaining a wafer with the pick of a board | substrate conveyance apparatus. 基板搬送装置における熱膨張による位置ずれの補正の手順を示すフローチャートである。It is a flowchart which shows the procedure of the correction | amendment of the position shift by the thermal expansion in a board | substrate conveyance apparatus. 熱膨張による位置ずれの補正の際におけるセンサによるウエハの位置の計測態様を説明するための図である。It is a figure for demonstrating the measurement aspect of the position of the wafer by the sensor in the case of correction | amendment of the position shift by thermal expansion. 熱膨張による位置ずれの補正の際において、実際にずれ量を補正する態様を説明するための図である。It is a figure for demonstrating the aspect which correct | amends deviation | shift amount actually in the case of the correction | amendment of the position shift by thermal expansion. ウエハの基準位置の計測と、ウエハのずれ量の算出とを説明するための図である。It is a figure for demonstrating the measurement of the reference | standard position of a wafer, and calculation of the deviation | shift amount of a wafer. 多関節アーム機構の伸長時の速度・加速度曲線と基板搬送装置の第1の例および第2の例における光学センサ設置可能領域、ならびに縮退時の速度・加速度曲線と基板搬送装置の第1の例および第2の例における光学センサ設置可能領域を示す図である。Speed / acceleration curve at the time of extension of the articulated arm mechanism and the optical sensor installable area in the first and second examples of the substrate transfer device, and a speed / acceleration curve at the time of degeneration and the first example of the substrate transfer device It is a figure which shows the optical sensor installation possible area | region in a 2nd example. アーム機構の伸び補正に用いる、レーザー変位計で測定した伸びと、位置検出センサユニットでの測定結果との相関関係を示す図である。It is a figure which shows the correlation of the elongation measured with the laser displacement meter used for the elongation correction of an arm mechanism, and the measurement result in a position detection sensor unit. アーム機構の温度とレーザー変位計で測定したアーム機構の伸びとの関係を示す図である。It is a figure which shows the relationship between the temperature of an arm mechanism, and the elongation of the arm mechanism measured with the laser displacement meter. アイドリング時間とレーザー変位計で測定したアーム機構の伸びの関係を示す図である。It is a figure which shows the relationship between the idling time and the elongation of the arm mechanism measured with the laser displacement meter.

以下、添付図面を参照して本発明の実施形態について具体的に説明する。   Hereinafter, embodiments of the present invention will be specifically described with reference to the accompanying drawings.

<本発明の一実施形態に係る基板処理システム>
図1は、本発明の一実施形態に係るマルチチャンバタイプの基板処理システムの概略構造を示す水平断面図である。
<Substrate Processing System According to One Embodiment of the Present Invention>
FIG. 1 is a horizontal sectional view showing a schematic structure of a multi-chamber type substrate processing system according to an embodiment of the present invention.

基板処理システム100は、例えば成膜処理のような熱をともなう高温処理を行う4つの真空処理ユニット1、2、3、4を備えており、これらの各真空処理ユニット1〜4は六角形をなす搬送室5の4つの辺にそれぞれ対応して設けられている。また、搬送室5の他の2つの辺にはそれぞれ本実施形態に係るロードロック室6、7が設けられている。これらロードロック室6、7の搬送室5と反対側には搬入出室8が設けられており、搬入出室8のロードロック室6、7と反対側には被処理基板としてのウエハWを収容する容器であるフープFを取り付ける3つのポート9、10、11が設けられている。真空処理ユニット1、2、3、4は、その中で処理プレート上に被処理体を載置した状態で所定の真空処理、例えばエッチングや成膜処理を行うようになっている。   The substrate processing system 100 includes four vacuum processing units 1, 2, 3, and 4 that perform high-temperature processing with heat such as film formation processing, and each of these vacuum processing units 1 to 4 has a hexagonal shape. It is provided corresponding to each of the four sides of the transfer chamber 5 formed. In addition, load lock chambers 6 and 7 according to the present embodiment are provided on the other two sides of the transfer chamber 5, respectively. A load / unload chamber 8 is provided on the opposite side of the load lock chambers 6 and 7 from the transfer chamber 5, and a wafer W as a substrate to be processed is placed on the opposite side of the load lock chambers 6 and 7 of the load / unload chamber 8. Three ports 9, 10, and 11 for attaching a hoop F that is a container to be accommodated are provided. The vacuum processing units 1, 2, 3, and 4 are configured to perform predetermined vacuum processing, for example, etching or film formation processing, with the object to be processed placed on the processing plate.

真空処理ユニット1〜4は、同図に示すように、搬送室5の各辺にゲートバルブGを介して接続され、これらは対応するゲートバルブGを開放することにより搬送室5と連通され、対応するゲートバルブGを閉じることにより搬送室5から遮断される。また、ロードロック室6,7は、搬送室5の残りの辺のそれぞれに、第1のゲートバルブG1を介して接続され、また、搬入出室8に第2のゲートバルブG2を介して接続されている。ロードロック室6,7は、ウエハWを載置するステージを有し、高速で大気圧と真空状態の間で変化させることができ、真空状態にして第1のゲートバルブG1を開放することにより搬送室5に連通され、第1のゲートバルブG1を閉じることにより搬送室5から遮断される。また、第2のゲートバルブG2を開放することにより搬入出室8に連通され、第2のゲートバルブG2を閉じることにより搬入出室8から遮断される。   The vacuum processing units 1 to 4 are connected to the sides of the transfer chamber 5 via gate valves G as shown in the figure, and these are communicated with the transfer chamber 5 by opening the corresponding gate valves G. By closing the corresponding gate valve G, the transfer chamber 5 is shut off. The load lock chambers 6 and 7 are connected to the remaining sides of the transfer chamber 5 via the first gate valve G1 and connected to the loading / unloading chamber 8 via the second gate valve G2. Has been. The load lock chambers 6 and 7 have a stage on which the wafer W is placed, can be changed between atmospheric pressure and a vacuum state at a high speed, and are opened in a vacuum state by opening the first gate valve G1. It communicates with the transfer chamber 5 and is shut off from the transfer chamber 5 by closing the first gate valve G1. The second gate valve G2 is opened to communicate with the loading / unloading chamber 8, and the second gate valve G2 is closed to shut off the loading / unloading chamber 8.

搬送室5内には、真空処理ユニット1〜4、ロードロック室6,7に対して、ウエハWの搬入出を行う本実施形態に係る基板搬送装置12が設けられている。この基板搬送装置12は、搬送室5の略中央に配設されており、2つの多関節アーム機構41および42を有している。なお、基板搬送装置12の詳細な構造は後述する。   In the transfer chamber 5, there is provided a substrate transfer apparatus 12 according to this embodiment that carries in and out the wafer W with respect to the vacuum processing units 1 to 4 and the load lock chambers 6 and 7. The substrate transfer device 12 is disposed substantially at the center of the transfer chamber 5 and has two articulated arm mechanisms 41 and 42. The detailed structure of the substrate transfer device 12 will be described later.

搬入出室8のポート9,10、11にはそれぞれ図示しないシャッターが設けられており、ウエハWを収容した、または空のフープFがステージS上に載置された状態で、ポート9,10,11に直接取り付けられ、取り付けられた際にシャッターが外れて外気の侵入を防止しつつ搬入出室8と連通するようになっている。また、搬入出室8の側面にはアライメントチャンバ15が設けられており、そこでウエハWのアライメントが行われる。   The ports 9, 10, 11 of the loading / unloading chamber 8 are each provided with a shutter (not shown), and the ports 9, 10 are accommodated in a state where the wafer W is accommodated or an empty hoop F is placed on the stage S. , 11, and when attached, the shutter comes off and communicates with the loading / unloading chamber 8 while preventing intrusion of outside air. An alignment chamber 15 is provided on the side surface of the loading / unloading chamber 8, and the wafer W is aligned there.

搬送室5内における真空処理ユニット1〜4、およびロードロック室6、7の搬入出口の近傍には、これらに対して搬入出されるウエハWが通過する位置にそれぞれ位置検出センサユニット22が設けられている。位置検出センサユニットは、基板搬送装置12の多関節アーム機構41,42に載せられているウエハWの位置を検出するためのものであり、各位置検出センサユニット22は、2つの光学センサ23a,23bを有している。光学センサ23a,23bとしては、例えば透過式のものが用いられる。   In the vicinity of the loading / unloading ports of the vacuum processing units 1 to 4 and the load lock chambers 6 and 7 in the transfer chamber 5, position detection sensor units 22 are respectively provided at positions where wafers W loaded / unloaded pass through. ing. The position detection sensor unit is for detecting the position of the wafer W placed on the multi-joint arm mechanisms 41 and 42 of the substrate transfer apparatus 12. Each position detection sensor unit 22 includes two optical sensors 23a, 23b. As the optical sensors 23a and 23b, for example, transmission type sensors are used.

搬入出室8内には、フープFに対するウエハWの搬入出およびロードロック室6,7に対するウエハWの搬入出を行う基板搬送装置16が設けられている。この基板搬送装置16は、多関節アーム構造を有しており、フープFの配列方向に沿ってレール18上を走行可能となっていて、その先端の支持アーム17上にウエハWを載せてその搬送を行う。搬入出室8には清浄空気のダウンフローが形成されるようになっている。   In the loading / unloading chamber 8, a substrate transfer device 16 for loading / unloading the wafer W into / from the FOUP F and loading / unloading the wafer W into / from the load lock chambers 6, 7 is provided. The substrate transfer device 16 has an articulated arm structure, and can run on the rail 18 along the direction in which the hoops F are arranged, and the wafer W is placed on the support arm 17 at the tip thereof. Transport. A downflow of clean air is formed in the carry-in / out chamber 8.

この基板処理システム100における各構成部、例えば、真空処理ユニット1〜4、搬送室5、およびロードロック室6,7におけるガス供給系や排気系、基板搬送装置12、16、ゲートバルブ等は、マイクロプロセッサ(コンピュータ)を備えたコントローラを有する全体制御部30により制御されるようになっている。全体制御部30は実際に制御を行うコントローラの他、基板処理システム100のプロセスシーケンスおよび制御パラメータであるプロセスレシピを記憶した記憶部や、入力手段およびディスプレイ等を備えており、選択されたプロセスレシピに従って基板処理システム100を制御するようになっている。   Each component in this substrate processing system 100, for example, the vacuum processing units 1 to 4, the transfer chamber 5, and the gas supply system and exhaust system in the load lock chambers 6 and 7, the substrate transfer devices 12, 16 and the gate valve, It is controlled by an overall control unit 30 having a controller equipped with a microprocessor (computer). In addition to the controller that actually controls, the overall control unit 30 includes a storage unit that stores a process sequence of the substrate processing system 100 and a process recipe that is a control parameter, an input unit, a display, and the like. Accordingly, the substrate processing system 100 is controlled.

<基板搬送装置の第1の例>
次に、上記処理システムに搭載される基板搬送装置の第1の例について説明する。
図2は基板搬送装置の第1の例を示す平面図、図3はその正面図である。基板搬送装置12は、ベースとなる搬送室5の底板5aに回転自在に支持された回転基台40と、この回転基台40に旋回および屈伸可能に支持され、ウエハWを保持するピック41cおよび42cを有する第1多関節アーム機構41および第2多関節アーム機構42と、これら第1多関節アーム機構41および第2多関節アーム機構42の一方を選択的に屈伸させる駆動リンク機構43と、回転基台40を回転させる駆動機構および駆動リンク機構43を揺動させる駆動機構を有する駆動部44と、搬送動作制御を行う搬送制御部45とを有している。搬送制御部45は全体制御部30により制御されるようになっている。駆動部44の各駆動機構は、一定角度のパルス数で制御するステッピングモータを有している。
<First Example of Substrate Transfer Device>
Next, a first example of the substrate transfer apparatus mounted on the processing system will be described.
FIG. 2 is a plan view showing a first example of the substrate transfer apparatus, and FIG. 3 is a front view thereof. The substrate transfer device 12 includes a rotary base 40 that is rotatably supported by the bottom plate 5a of the transfer chamber 5 serving as a base, and a pick 41c that holds the wafer W and is supported by the rotary base 40 so as to be capable of turning and bending. A first multi-joint arm mechanism 41 and a second multi-joint arm mechanism 42 having 42c, and a drive link mechanism 43 for selectively bending and extending one of the first multi-joint arm mechanism 41 and the second multi-joint arm mechanism 42; It has a drive unit 44 having a drive mechanism for rotating the rotation base 40 and a drive mechanism for swinging the drive link mechanism 43, and a transport control unit 45 for controlling the transport operation. The transport control unit 45 is controlled by the overall control unit 30. Each drive mechanism of the drive unit 44 has a stepping motor that is controlled by the number of pulses at a constant angle.

回転基台40は駆動部44に内蔵された駆動機構により中空軸50を介して回転されるようになっている。回転基台40を回転させることにより、第1多関節アーム機構41および第2多関節アーム機構42を所望のユニットへアクセスすることが可能となる。   The rotation base 40 is rotated via a hollow shaft 50 by a drive mechanism built in the drive unit 44. By rotating the rotation base 40, the first multi-joint arm mechanism 41 and the second multi-joint arm mechanism 42 can access a desired unit.

第1多関節アーム機構41は、基端部が回転基台40に軸51により旋回可能に接続された第1アーム41aと、基端部が第1アーム41aの先端部に軸52により旋回可能に接続された第2アーム41bと、基端部が第2アーム41bの先端部に軸53により旋回可能に接続されたウエハW保持用のピック41cとを有している。各軸には所定の径を有するプーリが固定されており、プーリにはベルトが掛け渡されており、第1アーム41a、第2アーム41b、ピック41cは所定の回転角度比で旋回され、ピック41cが真空処理ユニット1〜4およびロードロック室6,7に対して直線的に移動可能となっており、これら真空処理ユニット1〜4およびロードロック室6,7に対してウエハWの搬入・搬出が可能となっている。   The first articulated arm mechanism 41 includes a first arm 41a having a base end connected to the rotary base 40 so as to be turnable by a shaft 51, and a base end capable of turning by a shaft 52 to the tip of the first arm 41a. And a pick 41c for holding the wafer W, the base end of which is pivotally connected to the distal end of the second arm 41b by a shaft 53. A pulley having a predetermined diameter is fixed to each shaft, and a belt is stretched around the pulley. The first arm 41a, the second arm 41b, and the pick 41c are swung at a predetermined rotation angle ratio. 41c is linearly movable with respect to the vacuum processing units 1 to 4 and the load lock chambers 6 and 7, and the wafer W is loaded into the vacuum processing units 1 to 4 and the load lock chambers 6 and 7. Unloading is possible.

第2多関節アーム機構42は、第1多関節アーム機構41と同様の構造で対称に設けられており、基端部が回転基台40に軸54により旋回可能に接続された第1アーム42aと、基端部が第1アーム42aの先端部に軸55により旋回可能に接続された第2アーム42bと、基端部が第2アーム42bの先端部に軸56により旋回可能に接続されたウエハW保持用のピック42cとを有しており、第1多関節アーム機構41と同様に動作することが可能となっている。   The second multi-joint arm mechanism 42 has a structure similar to that of the first multi-joint arm mechanism 41 and is provided symmetrically, and a first arm 42 a whose base end is pivotally connected to the rotary base 40 by a shaft 54. A second arm 42b whose base end is pivotally connected to the distal end of the first arm 42a by a shaft 55, and a base end is pivotally connected to the distal end of the second arm 42b by a shaft 56. It has a pick 42c for holding the wafer W, and can operate in the same manner as the first articulated arm mechanism 41.

すなわち、基板搬送装置12は、多関節アーム機構41,42および駆動リンク機構43の機構部を介して駆動部44により駆動させることにより、ピック41c、42cを、真空処理ユニット1〜4およびロードロック室6,7に対してアクセスすることが可能であり、ピック41c、42cを用いて真空処理ユニット1〜4およびロードロック室6,7に対してウエハWを搬入・搬出するようになっている。   In other words, the substrate transfer device 12 is driven by the drive unit 44 via the mechanical units of the multi-joint arm mechanisms 41 and 42 and the drive link mechanism 43, whereby the picks 41c and 42c are moved to the vacuum processing units 1 to 4 and the load lock. The chambers 6 and 7 can be accessed, and the wafers W are carried into and out of the vacuum processing units 1 to 4 and the load lock chambers 6 and 7 using the picks 41c and 42c. .

駆動リンク機構43は、駆動部44に内蔵された駆動機構により、中空軸50の内部に同軸状に設けられた軸60を介して揺動可能に設けられた駆動アーム61と、この駆動アーム61の揺動端に一端が回転自在に連結され、他端がそれぞれ第1多関節アーム機構41の第1アーム41aの下部および第2多関節アーム機構42の第1アーム42aの下部に回転自在に連結された2つの従動アーム62および63とを有している。そして、軸60を回転させて図示しないプーリおよびベルトを介して駆動アーム61を正逆に揺動することにより、第1多関節アーム機構41および第2多関節アーム機構42の一方を伸長させ、他方を屈曲させた状態とすることができる。すなわち、駆動アーム61を一方側へ揺動させることにより一方の多関節アーム機構が伸長し、他方側へ揺動させることにより他方の多関節アーム機構が伸長する。   The drive link mechanism 43 includes a drive arm 61 provided so as to be swingable via a shaft 60 provided coaxially inside the hollow shaft 50 by a drive mechanism built in the drive unit 44, and the drive arm 61. One end of the first articulated arm mechanism 41 is rotatably connected to the lower part of the first arm 41a and the second articulated arm mechanism 42 is rotatably connected to the lower part of the first arm 42a. It has two driven arms 62 and 63 connected. Then, by rotating the shaft 60 and swinging the drive arm 61 forward and backward via a pulley and a belt (not shown), one of the first multi-joint arm mechanism 41 and the second multi-joint arm mechanism 42 is extended, The other can be bent. That is, one articulated arm mechanism is extended by swinging the drive arm 61 to one side, and the other articulated arm mechanism is extended by swinging the drive arm 61 to the other side.

具体的には、図4に示すように、駆動アーム61を矢印A方向に揺動させることにより第1多関節アーム機構41の第1アーム41aが矢印B方向に回動し、第1多関節アーム機構41が伸長し、ピック41cは矢印C方向に直線移動する。   Specifically, as shown in FIG. 4, by swinging the drive arm 61 in the direction of arrow A, the first arm 41a of the first multi-joint arm mechanism 41 rotates in the direction of arrow B, so that the first multi-joint The arm mechanism 41 extends, and the pick 41c moves linearly in the arrow C direction.

図5に示すように、ピック41c,42cはいずれも、ウエハWの裏面を支持する4つの裏面支持パッド71と、先端側でウエハWの端部を支持する2つの先端側ストッパピン72と、基端側でウエハWの端部を支持する2つの基端側ストッパピン73とを有しており、ウエハWの裏面を裏面支持パッド71で支持した状態で先端側ストッパピン72および基端側ストッパピン73でウエハWを挟み、多関節アーム機構を伸長させたときの慣性でウエハWが先端側ストッパピン72に押しつけられることによりピック41c,42c上でウエハWが位置決めされる。すなわち、2つの先端側ストッパピンが位置決めピンとして機能する。これにより、高速で搬送してもピック41c,42c上でのウエハWの位置精度を高く保つことができる。   As shown in FIG. 5, each of the picks 41c and 42c includes four back surface support pads 71 that support the back surface of the wafer W, two front end side stopper pins 72 that support the end portion of the wafer W on the front end side, The base end side has two base end side stopper pins 73 that support the end portion of the wafer W, and the front end side stopper pins 72 and the base end side are supported while the back surface of the wafer W is supported by the back surface support pad 71. The wafer W is positioned on the picks 41c and 42c by pressing the wafer W against the distal end side stopper pin 72 by the inertia when the wafer W is sandwiched between the stopper pins 73 and the articulated arm mechanism is extended. That is, the two distal end side stopper pins function as positioning pins. Thereby, the positional accuracy of the wafer W on the picks 41c and 42c can be kept high even if it is transported at a high speed.

このように、ピック41c,42c上において、多関節アーム機構を伸長させたときの慣性でウエハWを先端側ストッパピン72に押しつけて位置決めするので、位置精度(位置再現性)を良好にする観点から裏面支持パッド71は、その上のウエハWが移動しやすい構造であることが好ましい。このため、滑りが良好なもの、例えば自己潤滑性を有する炭素のみで構成されたカーボン球を固定された状態で用いることができる。ただし、真空中では摩擦係数が増大して位置再現性が低下してしまうため、固定パッドではなく、図6に示すようなウエハWが慣性で移動する方向に転がるローラ(滑車)75を有するローラパッドを用いることが好ましい。この場合には、裏面支持パッド71は、例えば、図7に示すように、回転軸76にローラ75を取り付けた状態とし、これを受け部材77の凹部77aに挿入し、回転軸76を保持するため凹部77aを蓋体78で塞いでローラ75を蓋体78から回転可能に突出させた状態とする。このようなローラ75、ローラを受ける受け部材77および蓋体78の材質は硬質樹脂(例えばポリベンゾイミタゾール(PBI)樹脂)を用いることが好ましい。   In this way, on the picks 41c and 42c, the wafer W is pressed against the front end side stopper pin 72 and positioned by the inertia when the multi-joint arm mechanism is extended, so that the position accuracy (position reproducibility) is improved. The back surface support pad 71 preferably has a structure in which the wafer W on the back support pad 71 can easily move. For this reason, it is possible to use a carbon sphere having a good sliding property, for example, a carbon sphere composed only of carbon having self-lubricating properties. However, since the friction coefficient increases in vacuum and the position reproducibility decreases, a roller having a roller (pulley) 75 that rolls in a direction in which the wafer W moves with inertia as shown in FIG. 6 instead of a fixed pad. It is preferable to use a pad. In this case, for example, as shown in FIG. 7, the back surface support pad 71 is in a state in which a roller 75 is attached to the rotating shaft 76, and is inserted into the recess 77 a of the receiving member 77 to hold the rotating shaft 76. Therefore, the recess 77 a is closed with the lid 78, and the roller 75 is protruded from the lid 78 so as to be rotatable. The material of the roller 75, the receiving member 77 that receives the roller, and the lid 78 is preferably a hard resin (for example, polybenzimitazole (PBI) resin).

先端側ストッパピン72および基端側ストッパピン73は、摩擦が小さく発塵しにくい材料、例えばPBI樹脂を用いることが好ましい。しかし、このような発塵しにくい材料を用いても、ウエハ温度が上昇するとストッパピン72,73とウエハWとの摩擦が大きくなり、そのため、これらにウエハWが接触して擦れたときに発塵してパーティクルが発生するおそれがある。このため、先端側ストッパピン72および基端側ストッパピン73の構造を、図8に示すように、ピックに垂直に固定された円柱状のコア部81とその外側に遊嵌され回転可能に構成されたリング部材82とを有するものとすることが好ましい。これによりウエハWがストッパピン72,73に接触した際にリング部材82が回転するため、接線方向の力を逃がすことができ、摩擦による発塵を低減することができる。図8の例では、リング部材82の上部内周に溝82aが形成され、コア部81の上端にフランジ81aが設けられ、フランジ81aが溝82aに係合されている。また図9に示すように、リング部材82とコア部81との係合部がラビリンス構造となるように、リング部材82の内周上部の溝82bとコア部81の上端のフランジ81bを形成してもよい。このようにラビリンス構造とすることにより、リング部材82とコア部81の摩耗で発生するパーティクルが飛散されにくくなるといったメリットがある。   The distal end side stopper pin 72 and the proximal end side stopper pin 73 are preferably made of a material that is low in friction and hardly generates dust, such as PBI resin. However, even when such a material that hardly generates dust is used, the friction between the stopper pins 72 and 73 and the wafer W increases as the wafer temperature rises. There is a risk of generating particles due to dust. For this reason, as shown in FIG. 8, the structure of the distal end side stopper pin 72 and the proximal end side stopper pin 73 is configured to be freely fitted on the outside of the columnar core 81 fixed perpendicular to the pick and to be rotatable. The ring member 82 is preferably provided. As a result, the ring member 82 rotates when the wafer W comes into contact with the stopper pins 72 and 73, so that a tangential force can be released and dust generation due to friction can be reduced. In the example of FIG. 8, a groove 82a is formed in the upper inner periphery of the ring member 82, a flange 81a is provided at the upper end of the core portion 81, and the flange 81a is engaged with the groove 82a. Further, as shown in FIG. 9, a groove 82b at the inner peripheral upper portion of the ring member 82 and a flange 81b at the upper end of the core portion 81 are formed so that the engaging portion between the ring member 82 and the core portion 81 has a labyrinth structure. May be. By adopting the labyrinth structure in this way, there is an advantage that particles generated due to wear of the ring member 82 and the core portion 81 are hardly scattered.

搬送制御部45は、駆動部44の駆動機構を制御して基板搬送装置12におけるウエハWの搬送動作を制御する他、熱膨張によるウエハWの位置のずれを補正する。本実施形態では、ピック41c,42c内でウエハWの位置決めを行うため、真空処理ユニット1,2,3,4で熱をともなう処理を行う場合に、多関節アーム機構41,42のアームやピックが、これらユニットのチャンバやウエハWからの熱で膨張するとウエハWの中心位置がずれてしまう。このため、真空処理ユニット1〜4、およびロードロック室6、7の搬入出口の近傍に設けられた位置検出センサユニット22の光学センサ23a,23bを用いてウエハWの基準位置を計測して搬送制御部45に記憶させておき、実際に真空処理ユニット1〜4、およびロードロック室6、7のいずれかにウエハWを搬入する際に、位置検出センサユニット22の光学センサ23a,23bを用いてウエハWの位置を計測し、搬送制御部45ではこの測定結果と記憶されている基準位置情報とを比較してウエハWのずれ量を把握し、そのずれの分だけ補正して搬入するように制御する。   The transfer control unit 45 controls the drive mechanism of the drive unit 44 to control the transfer operation of the wafer W in the substrate transfer apparatus 12 and corrects the position shift of the wafer W due to thermal expansion. In the present embodiment, since the wafer W is positioned in the picks 41c and 42c, when the heat treatment is performed in the vacuum processing units 1, 2, 3, and 4, the arms and picks of the articulated arm mechanisms 41 and 42 are used. However, when the unit W expands due to heat from the chamber or the wafer W, the center position of the wafer W is shifted. For this reason, the reference position of the wafer W is measured and transferred using the optical sensors 23a and 23b of the position detection sensor unit 22 provided in the vicinity of the loading / unloading ports of the vacuum processing units 1 to 4 and the load lock chambers 6 and 7. When the wafer W is actually loaded into one of the vacuum processing units 1 to 4 and the load lock chambers 6 and 7, the optical sensors 23a and 23b of the position detection sensor unit 22 are used. The position of the wafer W is measured, and the transfer control unit 45 compares this measurement result with the stored reference position information to grasp the amount of deviation of the wafer W, and corrects the amount of deviation and carries it in. To control.

<基板搬送装置の第2の例>
次に、上記処理システムに搭載される基板搬送装置の第2の例について説明する。
上記基板搬送装置の第1の例では、ピック41c,42c上において、ウエハWを先端側ストッパピン72および基端側ストッパピン73とでウエハWを挟み、多関節アーム機構を伸長させたときの慣性でウエハWを先端側ストッパピン72に押しつけることでウエハWが位置決めされるが、搬送速度がさらに高速化した場合には、ウエハWが先端側ストッパピン72に当たった際のパーティクルの発生や、多関節アーム機構41,42を旋回させたときのウエハWのずれや、位置検出センサユニット22による計測時のウエハWの位置ずれが懸念される。
<Second Example of Substrate Transfer Device>
Next, a second example of the substrate transfer apparatus mounted on the processing system will be described.
In the first example of the substrate transfer apparatus, the wafer W is sandwiched between the distal end side stopper pins 72 and the proximal end side stopper pins 73 on the picks 41c and 42c, and the articulated arm mechanism is extended. The wafer W is positioned by pressing the wafer W against the front end side stopper pins 72 due to inertia, but when the transfer speed is further increased, generation of particles when the wafer W hits the front end side stopper pins 72 and There is a concern that the wafer W may be displaced when the articulated arm mechanisms 41 and 42 are rotated, or the wafer W may be displaced during measurement by the position detection sensor unit 22.

このため、本例では、図10およびその拡大図である図11に示すように、第1の例の第1多関節アーム機構41および第2多関節アーム機構42の、ピック41c,42cの先端側ストッパピン72および基端側ストッパピン73の間にウエハWを載せた後ウエハWをクランプするクランプ機構90を付加している。その他の構成は第1の例の基板搬送装置と同じである。なお、以下の説明においては、便宜上、第1多関節アーム機構41のピック41cのみについて説明するが、第2多関節アーム機構42についても全く同様である。   Therefore, in this example, as shown in FIG. 10 and FIG. 11 which is an enlarged view thereof, the tips of the picks 41c and 42c of the first articulated arm mechanism 41 and the second articulated arm mechanism 42 of the first example. A clamp mechanism 90 for clamping the wafer W after the wafer W is placed between the side stopper pins 72 and the base end side stopper pins 73 is added. Other configurations are the same as those of the substrate transfer apparatus of the first example. In the following description, only the pick 41c of the first multi-joint arm mechanism 41 will be described for convenience, but the same applies to the second multi-joint arm mechanism 42.

クランプ機構90は、ピック41cの回転機構を利用して、ピック41cの回転にともなうカムの変位によりウエハWをクランプするものであり、ピック41cの回転軸46に取り付けられたカム91と、カム91の変位により伸縮する伸縮部材93と、カム91の変位を伸縮部材93に伝達するリンク機構92と、伸縮部材93の伸縮により基端側ストッパピン73を進退移動させてウエハWのクランプまたはクランプ解除を行う移動部材95と、移動部材95をガイドするリニアガイド94とを有する。また、リンク機構92と伸縮部材93の間には、キャプチャレンジを調整するためのキャプチャレンジ調整部材96が設けられている。   The clamp mechanism 90 uses the rotation mechanism of the pick 41c to clamp the wafer W by the displacement of the cam accompanying the rotation of the pick 41c. The clamp mechanism 90 includes a cam 91 attached to the rotation shaft 46 of the pick 41c, and the cam 91. The wafer W is clamped or released by moving the base end side stopper pin 73 forward / backward by the expansion / contraction of the expansion / contraction member 93, the link mechanism 92 transmitting the displacement of the cam 91 to the expansion / contraction member 93. And a linear guide 94 for guiding the moving member 95. A capture range adjustment member 96 for adjusting the capture range is provided between the link mechanism 92 and the expansion / contraction member 93.

伸縮部材93は、コイルバネ93aと、バネ固定ブロック93bと、移動ブロック93cと、バネ固定ブロック93bの位置を調整してバネ力を調整する位置調整部93dとを有しており、このコイルバネ93aの付勢力により移動ブロック93cおよびキャプチャレンジ調整部材96を介して移動部材95を押圧し、移動部材95が基端側ストッパピン73を押圧してウエハWの端部をクランプするようになっている。   The telescopic member 93 has a coil spring 93a, a spring fixing block 93b, a moving block 93c, and a position adjusting portion 93d for adjusting the spring force by adjusting the position of the spring fixing block 93b. The moving member 95 is pressed by the urging force via the moving block 93c and the capture range adjusting member 96, and the moving member 95 presses the base end side stopper pin 73 to clamp the end portion of the wafer W.

カム91は、第1多関節アーム機構41の動作時に、ピック41cが回転機構により第2アーム41bに対して回転する際に、ピック41cに対して相対的に回転するようになっており、リンク機構92を押圧する大径部91aとリンク機構92を押圧しない小径部91bと、これらの間の傾斜部91cとを有している。   The cam 91 rotates relative to the pick 41c when the pick 41c rotates relative to the second arm 41b by the rotation mechanism during the operation of the first articulated arm mechanism 41. A large-diameter portion 91a that presses the mechanism 92, a small-diameter portion 91b that does not press the link mechanism 92, and an inclined portion 91c between them.

そして、カム91の大径部91aがリンク機構92に対応した位置にある場合には、カム91がリンク機構92を押圧することによりキャプチャレンジ調整部材96を介して伸縮部材93の移動ブロック93cが押圧され、移動部材95とともに基端側ストッパピン73が退避されてウエハWの受け取り受け渡しが可能となる。また、カム91の小径部91bがリンク機構92に対応した位置にある場合には、リンク機構92は押圧されず、上述のように移動部材95が基端側ストッパピン73を押圧してウエハWの端部をクランプする。さらに、傾斜部91cがリンク機構92に対応する際には、基端側ストッパピン73がクランプ方向または退避方向に移動するようになっている。   When the large-diameter portion 91 a of the cam 91 is at a position corresponding to the link mechanism 92, the cam 91 presses the link mechanism 92, whereby the moving block 93 c of the telescopic member 93 is moved via the capture range adjustment member 96. The base end side stopper pin 73 is retracted together with the moving member 95 so that the wafer W can be received and delivered. When the small-diameter portion 91b of the cam 91 is at a position corresponding to the link mechanism 92, the link mechanism 92 is not pressed, and the moving member 95 presses the base end side stopper pin 73 as described above, and the wafer W Clamp the end of the. Further, when the inclined portion 91 c corresponds to the link mechanism 92, the proximal end side stopper pin 73 moves in the clamping direction or the retracting direction.

カム91は、第1多関節アーム機構41のピック41cの位置に同期して基端側ストッパピン73の位置が定まるようにその位置が調整されている。ウエハWを受け取ってからクランプする場合を例に取ると、ウエハWを受け取る第1多関節アーム41が伸長した状態では、カム91は大径部91aによりリンク機構92を押圧する位置にあり、リンク機構92を介して伸縮部材93を押圧して、移動部材95により基端側ストッパピン73が退避された状態となっている。ウエハWを受け取った後、第1多関節アーム機構41が縮退する過程において、図12(a)に示すように、カム91のリンク機構92に対応する位置が大径部91aの端部に達し、その時点でウエハWのクランプが開始される。第1多関節アーム機構41がさらに縮退し、カム91のリンク機構92に対応する位置が傾斜部91cを経て、図12(b)に示すように小径部91bに達した時点でウエハWのクランプが完了する。ウエハWのクランプを外してウエハWを受け渡し可能にする際には、全く逆の動きをする。   The position of the cam 91 is adjusted so that the position of the base end side stopper pin 73 is determined in synchronization with the position of the pick 41 c of the first articulated arm mechanism 41. Taking the case of clamping after receiving the wafer W as an example, in the state where the first articulated arm 41 receiving the wafer W is extended, the cam 91 is in a position to press the link mechanism 92 by the large diameter portion 91a. The telescopic member 93 is pressed via the mechanism 92, and the proximal end stopper pin 73 is retracted by the moving member 95. In the process of retracting the first articulated arm mechanism 41 after receiving the wafer W, the position of the cam 91 corresponding to the link mechanism 92 reaches the end of the large-diameter portion 91a as shown in FIG. At that time, clamping of the wafer W is started. When the first articulated arm mechanism 41 is further retracted and the position of the cam 91 corresponding to the link mechanism 92 reaches the small diameter portion 91b as shown in FIG. 12B through the inclined portion 91c, the clamp of the wafer W is performed. Is completed. When removing the clamp of the wafer W and enabling the delivery of the wafer W, the movement is completely reversed.

この際の第1多関節アーム41のストロークとクランプ機構90によるキャプチャレンジとの関係を図13に示す。ここでキャプチャレンジとは、基端側ストッパピン73の押圧部からウエハWの反対側端部までの長さをいい、本例ではウエハWの径が300mmで、ウエハWをクランプしているときのキャプチャレンジは300mmであり、ウエハWをリリースしているときのキャプチャレンジは306mmである。また、第1多関節アーム41のストロークは、回転基台40の中心(軸60の中心)と、ピック41c上のウエハWの中心との距離であり、第1多関節アーム41が最も縮退したときのストロークが308mm、最も伸長したときのストロークが980mmである。   FIG. 13 shows the relationship between the stroke of the first articulated arm 41 and the capture range by the clamp mechanism 90 at this time. Here, the capture range refers to the length from the pressing portion of the base end side stopper pin 73 to the opposite end portion of the wafer W. In this example, the diameter of the wafer W is 300 mm and the wafer W is clamped. The capture range is 300 mm, and the capture range when the wafer W is released is 306 mm. The stroke of the first articulated arm 41 is the distance between the center of the rotation base 40 (center of the shaft 60) and the center of the wafer W on the pick 41c, and the first articulated arm 41 is most degenerated. The stroke at the time is 308 mm, and the stroke when it is most extended is 980 mm.

ウエハWのクランプ時においては、図13のaはウエハWの受け取りを行う範囲であり、カム91は大径部91aがリンク機構92を押圧する位置にあり、キャプチャレンジは最大の306mmである。bはカム91のリンク機構92に対応する位置が大径部91aから傾斜部91cに移行するところであり、クランプ開始位置となる。cはカム91のリンク機構92に対応する位置が傾斜部91cであり、ウエハWのクランプ動作を行う範囲であり、キャプチャレンジが減少していく。dはカム91のリンク機構92に対応する位置が傾斜部91cから小径部91bに移行するところであり、クランプ終了位置であり、キャプチャレンジが300mmとなる。eはさらにストロークが小さくなる範囲であり、カム91のリンク機構92に対応する位置が小径部91bに対応し、ウエハWはクランプされたままである。   At the time of clamping the wafer W, a in FIG. 13 is a range where the wafer W is received, the cam 91 is in a position where the large diameter portion 91a presses the link mechanism 92, and the capture range is 306 mm at the maximum. b is a position where the position of the cam 91 corresponding to the link mechanism 92 shifts from the large diameter portion 91a to the inclined portion 91c, which is a clamp start position. The position c corresponding to the link mechanism 92 of the cam 91 is an inclined portion 91c, which is a range in which the wafer W is clamped, and the capture range decreases. d is a position where the position of the cam 91 corresponding to the link mechanism 92 shifts from the inclined portion 91c to the small diameter portion 91b, which is a clamp end position, and the capture range is 300 mm. e is a range in which the stroke is further reduced. The position of the cam 91 corresponding to the link mechanism 92 corresponds to the small diameter portion 91b, and the wafer W remains clamped.

リリース時は全く逆になり、クランプ状態のeからdに達すると、カム91のリンク機構92に対応する位置が小径部91bから傾斜部91cに移行し、リリース開始位置となる。そしてcではキャプチャレンジが広がっていきウエハWをリリースする過程であり、bはリリース終了位置となる。そして、aの範囲でウエハWの受け渡しを行う。   At the time of release, the situation is completely reversed, and when reaching e to d in the clamped state, the position of the cam 91 corresponding to the link mechanism 92 shifts from the small diameter portion 91b to the inclined portion 91c and becomes the release start position. In c, the capture range is expanded and the wafer W is released, and b is the release end position. Then, the wafer W is transferred within the range a.

図14は第1多関節アーム機構41の伸長時(リリース)の速度・加速度曲線と縮退時(クランプ)の速度・加速度曲線を示す。図14aに示すように、第1多関節アーム機構41を伸長させてウエハWをリリースする際には、第1多関節アーム機構41のストロークが長い方の範囲で加速度が負の領域、すなわち減速領域となる。伸長時には加速度が負の領域でウエハWは先端側ストッパピン72に押しつけられるため、この範囲でウエハWのクランプ解除(ウエハWのリリース)をすればよい。また、図14bに示すように、第1多関節アーム機構41を縮退させてウエハWをクランプする際には、第1多関節アーム機構41が長い方の範囲で加速度が正の領域、すなわち加速領域となる。縮退時には加速度が正の領域でウエハWは先端側ストッパピン72に押しつけられるため、この範囲でウエハWをクランプすればよい。このようにウエハWが先端側ストッパピン72押しつけられている際に、クランプ動作およびクランプ解除動作を行うことにより、その際にウエハWは移動せず、位置精度の低下等が生じない。   FIG. 14 shows a speed / acceleration curve when the first multi-joint arm mechanism 41 is extended (released) and a speed / acceleration curve when it is retracted (clamped). As shown in FIG. 14a, when the first articulated arm mechanism 41 is extended and the wafer W is released, the acceleration is negative in the longer range of the first articulated arm mechanism 41, that is, the deceleration. It becomes an area. At the time of extension, the wafer W is pressed against the front end side stopper pin 72 in a region where the acceleration is negative. Therefore, it is sufficient to release the clamp of the wafer W (release the wafer W) within this range. Further, as shown in FIG. 14b, when the first articulated arm mechanism 41 is retracted to clamp the wafer W, the acceleration is positive in the longer range of the first articulated arm mechanism 41, that is, acceleration. It becomes an area. At the time of degeneration, the wafer W is pressed against the front end side stopper pin 72 in a region where the acceleration is positive. Therefore, the wafer W may be clamped within this range. As described above, when the wafer W is pressed against the front end side stopper pin 72, the clamping operation and the clamping release operation are performed, so that the wafer W does not move at that time, and the positional accuracy is not lowered.

この第2の例においても、第1の例と同様、搬送制御部45により、駆動部44の駆動機構を制御して基板搬送装置12におけるウエハWの搬送動作を制御する他、熱膨張によるウエハWの位置のずれを補正する。   In the second example, similarly to the first example, the transfer control unit 45 controls the driving mechanism of the drive unit 44 to control the transfer operation of the wafer W in the substrate transfer device 12, and also the wafer caused by thermal expansion. The position shift of W is corrected.

<基板処理システムの動作>
次に、基板処理システム100の動作について説明する。
まず、基板搬送装置16により搬入出室8に接続されたフープFからウエハWを取り出し、ロードロック室6(または7)に搬入する。このとき、ロードロック室6(または7)内は大気雰囲気にされ、その後第2のゲートバルブG2が開放された状態でウエハWが搬入される。
<Operation of substrate processing system>
Next, the operation of the substrate processing system 100 will be described.
First, the wafer W is taken out from the FOUP F connected to the loading / unloading chamber 8 by the substrate transfer device 16 and loaded into the load lock chamber 6 (or 7). At this time, the inside of the load lock chamber 6 (or 7) is set to an air atmosphere, and then the wafer W is loaded with the second gate valve G2 opened.

そして、ロードロック室6(または7)内を搬送室5に対応する圧力になるまで真空排気し、第1のゲートバルブG1を開放して基板搬送装置12の第1多関節アーム41または第2多関節アーム42によりロードロック室6(または7)内のウエハWを受け取って、いずれかの真空処理ユニットのゲートバルブGを開いてその中にウエハWを搬入し、ウエハWに対して成膜等の熱をともなう真空処理を行う。   Then, the load lock chamber 6 (or 7) is evacuated to a pressure corresponding to the transfer chamber 5, the first gate valve G1 is opened, and the first articulated arm 41 or the second of the substrate transfer device 12 is opened. The wafer W in the load lock chamber 6 (or 7) is received by the articulated arm 42, the gate valve G of any vacuum processing unit is opened, the wafer W is loaded therein, and film formation is performed on the wafer W. Vacuum treatment with heat such as is performed.

真空処理が終了した時点で、ゲートバルブGを開放し、基板搬送装置12が対応する真空処理ユニットからウエハWを搬出し、第1のゲートバルブG1を開放してウエハWをロードロック室6および7のいずれかに搬入し、その中でウエハWを冷却しつつ大気圧に戻す。その後、第2のゲートバルブG2を開け、基板搬送装置16により、フープFに処理後のウエハWを収納する。このような動作をフープF内のウエハWの数だけ繰り返す。   When the vacuum processing is completed, the gate valve G is opened, the substrate transfer apparatus 12 carries out the wafer W from the corresponding vacuum processing unit, the first gate valve G1 is opened, and the wafer W is loaded into the load lock chamber 6 and Then, the wafer W is returned to atmospheric pressure while cooling the wafer W. Thereafter, the second gate valve G2 is opened, and the processed wafer W is stored in the FOUP F by the substrate transfer device 16. Such an operation is repeated by the number of wafers W in the FOUP F.

このとき、基板搬送装置12として第1の例の基板搬送装置を用いた場合には、ウエハWの搬送に際して、第1多関節アーム機構41および第2多関節アーム機構42のウエハWを保持するピック41cおよび42cは、先端側ストッパピン72および基端側ストッパピン73を有しており、その間にウエハWを挟むようになっている。そして、多関節アーム機構を伸長させたときの慣性でウエハWが先端側ストッパピン72に押しつけられることによりウエハWはピック41c,42c上で位置決めされる。このため、ウエハWを高速で搬送してもピック41c,42c上でのウエハWが滑ることが防止され、ウエハの位置精度を高く保つことができる。さらに、ストッパピン72、73(コア部81またはリング部材82)が摩耗しても、ウエハWが先端側ストッパピン72に押しつけられることによりウエハWはピック41c,42c上に位置決めされる。   At this time, when the substrate transfer apparatus of the first example is used as the substrate transfer apparatus 12, the wafer W of the first multi-joint arm mechanism 41 and the second multi-joint arm mechanism 42 is held when the wafer W is transferred. The picks 41c and 42c have a distal end side stopper pin 72 and a proximal end side stopper pin 73, and the wafer W is sandwiched therebetween. Then, the wafer W is positioned on the picks 41c and 42c by pressing the wafer W against the distal end side stopper pin 72 by inertia when the multi-joint arm mechanism is extended. For this reason, even if the wafer W is conveyed at high speed, the wafer W on the picks 41c and 42c is prevented from slipping, and the wafer positional accuracy can be kept high. Further, even if the stopper pins 72 and 73 (the core portion 81 or the ring member 82) are worn, the wafer W is positioned on the picks 41c and 42c by pressing the wafer W against the distal end side stopper pins 72.

このように多関節アーム機構を伸長させたときの慣性でウエハWを先端側ストッパピン72に押しつけて位置決めする場合には、裏面支持パッド71上でウエハWが移動しやすいことが要求される。裏面支持パッド71をカーボン球のような潤滑性のよい材料で構成することにより、ある程度の位置精度が得られるが、本実施形態のようにウエハWを真空中で搬送する場合には、常圧で潤滑性が良好な材料でも摩擦が大きくなる。これに対し、図6に示すようなウエハWが慣性で移動する方向に転がるローラ(滑車)75を有するローラパッドを用いることにより、真空中でもウエハWが移動しやすく、ウエハWを高精度で位置決めすることができる。   Thus, when the wafer W is pressed against the front end side stopper pin 72 and positioned by inertia when the multi-joint arm mechanism is extended, the wafer W is required to be easily moved on the back surface support pad 71. By configuring the back support pad 71 with a material having good lubricity such as a carbon sphere, a certain degree of positional accuracy can be obtained. However, when the wafer W is transported in a vacuum as in this embodiment, normal pressure is used. Even with a material with good lubricity, friction increases. On the other hand, by using a roller pad having a roller (pulley) 75 that rolls in a direction in which the wafer W moves with inertia as shown in FIG. 6, the wafer W can easily move even in a vacuum, and the wafer W is positioned with high accuracy. can do.

また、ピック41c,42cが、先端側ストッパピン72および基端側ストッパピン73によりウエハWを保持する構成をとる場合には、本実施形態のようにウエハWが高温になると、ストッパピン72,73として発塵しにくい材料を用いても、ウエハ温度が上昇するとストッパピン72,73とウエハWとの摩擦が大きくなり、これらにウエハWが接触して擦れたときに発塵してパーティクルが発生するおそれがある。しかし、上述した図8、図9に示すように、外周側に回転可能なリング部材82を設けることにより、接線方向の力を逃がして摩擦による発塵を低減することができる。   Further, when the picks 41c and 42c are configured to hold the wafer W by the distal end side stopper pins 72 and the proximal end side stopper pins 73, when the wafer W becomes high temperature as in this embodiment, the stopper pins 72, Even if a material that does not easily generate dust is used as 73, the friction between the stopper pins 72 and 73 and the wafer W increases as the wafer temperature rises. May occur. However, as shown in FIG. 8 and FIG. 9 described above, by providing the rotatable ring member 82 on the outer peripheral side, the tangential force can be released and dust generation due to friction can be reduced.

ところで、上記基板搬送装置の第1の例では、ピック41c,42c上において、ウエハWを先端側ストッパピン72および基端側ストッパピン73とでウエハWを挟み、多関節アーム機構を伸長させたときの慣性でウエハWを先端側ストッパピン72に押しつけることでウエハWが位置決めされるが、ウエハWは先端側ストッパピン72および基端側ストッパピン73との間で移動可能であるため、搬送速度がさらに高速化した場合には、ウエハWが先端側ストッパピン72に当たった際におけるパーティクルの発生や、多関節アーム機構41,42を旋回させたときのウエハWのずれが懸念される。   By the way, in the first example of the substrate transfer device, the wafer W is sandwiched between the distal end side stopper pin 72 and the proximal end side stopper pin 73 on the picks 41c and 42c, and the articulated arm mechanism is extended. The wafer W is positioned by pressing the wafer W against the front end side stopper pins 72 with inertia, but the wafer W is movable between the front end side stopper pins 72 and the base end side stopper pins 73, so that the wafer W is transferred. When the speed is further increased, there is a concern about generation of particles when the wafer W hits the front end side stopper pin 72 and displacement of the wafer W when the multi-joint arm mechanisms 41 and 42 are swung.

そこで、基板搬送装置の第2の例では、ピック41c,42c上において、ウエハWを先端側ストッパピン72および基端側ストッパピン73との間に置いた後、クランプ機構90により基端側ストッパピン73をウエハWに押圧させてウエハWをクランプする。   Therefore, in the second example of the substrate transfer apparatus, the wafer W is placed between the distal end side stopper pin 72 and the proximal end side stopper pin 73 on the picks 41c and 42c, and then the proximal end side stopper is clamped by the clamp mechanism 90. The pins 73 are pressed against the wafer W to clamp the wafer W.

このように、ウエハWをクランプすることにより、搬送速度が一層高速化しても、ウエハWが先端側ストッパピン72に当たることが防止され、パーティクルの発生を有効に防止することができる。また、多関節アーム機構41,42を旋回させたときのウエハWのずれを防止することができる。   In this way, by clamping the wafer W, even if the transfer speed is further increased, the wafer W is prevented from hitting the front end side stopper pins 72, and the generation of particles can be effectively prevented. Further, it is possible to prevent the wafer W from being displaced when the articulated arm mechanisms 41 and 42 are turned.

クランプ機構90としては、上述したように第1多関節アーム機構41を例にとると、ピック41cの回転機構を利用して、ピック41cの回転にともなうカム91の変位によりウエハWをクランプするものを用いる。カム91は、第1多関節アーム機構41のピック41cの回転位置に同期して基端側ストッパピン73の進退が定まるようにその位置が調整される。具体的には、ウエハWを受け取って縮退する際にクランプする場合は、ウエハWを受け取る第1多関節アーム機構41が伸長した状態では、カム91は大径部91aによりリンク機構92を押圧する位置にあり、リンク機構92を介して伸縮部材93を押圧し、基端側ストッパピン73が退避され、ウエハWを受け取った後、第1多関節アーム機構41が縮退する過程において、カム91のリンク機構92に対応する位置が大径部91aの端部に達し、その時点でウエハWのクランプが開始され、第1多関節アーム機構41がさらに縮退し、カム91のリンク機構92に対応する位置が傾斜部91cを経て、小径部91bに達した時点でウエハWのクランプが完了する(図12参照)。ウエハWのクランプを外してウエハWを受け渡し可能にする際には、全く逆の動きをする。   As described above, when the first multi-joint arm mechanism 41 is taken as an example of the clamp mechanism 90, the wafer W is clamped by the displacement of the cam 91 accompanying the rotation of the pick 41c using the rotation mechanism of the pick 41c. Is used. The position of the cam 91 is adjusted so that the advance / retreat of the proximal end stopper pin 73 is determined in synchronization with the rotational position of the pick 41 c of the first articulated arm mechanism 41. More specifically, when clamping when receiving and retracting the wafer W, the cam 91 presses the link mechanism 92 by the large-diameter portion 91a when the first articulated arm mechanism 41 receiving the wafer W is extended. In the process where the first articulated arm mechanism 41 is retracted after the base end side stopper pin 73 is retracted and the wafer W is received after the telescopic member 93 is pressed via the link mechanism 92. The position corresponding to the link mechanism 92 reaches the end of the large-diameter portion 91a. At that time, the clamping of the wafer W is started, and the first multi-joint arm mechanism 41 is further retracted, and corresponds to the link mechanism 92 of the cam 91. When the position reaches the small diameter portion 91b through the inclined portion 91c, the clamping of the wafer W is completed (see FIG. 12). When removing the clamp of the wafer W and enabling the delivery of the wafer W, the movement is completely reversed.

このように、カム91を利用したクランプ機構90を用い、ピック41cの回転機構を利用して、ピック41cの回転にともなうカム91の動作によりウエハWをクランプし、またクランプを解除するので、クランプのための特別な動力や制御機構が不要であり、設備が大がかりになることがない。また、このようにウエハWを先端側ストッパピン72と基端側ストッパピン73との間にウエハWを置いてからクランプ機構90によりクランプするので、クランプ前のキャプチャレンジを第1の例の基板搬送機構の場合よりも大きくしてウエハWの受け取りおよび受け渡しを行いやすくすることができる。   In this way, the clamp mechanism 90 using the cam 91 is used, the rotation mechanism of the pick 41c is used, the wafer W is clamped by the operation of the cam 91 accompanying the rotation of the pick 41c, and the clamp is released. No special power or control mechanism is required, and the equipment does not become large. Further, since the wafer W is clamped by the clamp mechanism 90 after the wafer W is placed between the distal end side stopper pin 72 and the proximal end side stopper pin 73 in this way, the capture range before clamping is set to the substrate of the first example. The wafer W can be made larger and easier to receive and deliver than the transfer mechanism.

また、第1多関節アーム機構41を伸長させてウエハWをリリースする際には、第1多関節アーム機構41のストロークが長い方の範囲の加速度が負の領域、すなわち減速領域においてウエハWのクランプ解除(ウエハWのリリース)を行い、また第1多関節アーム機構41を縮退させてウエハWをクランプする際には、第1多関節アーム機構41が長い方の範囲の加速度が正の領域、すなわち加速領域においてウエハWをクランプするようにすることにより、ウエハWが先端側ストッパピン72に押しつけられた状態でウエハWのクランプおよびクランプ解除を行うことができる。このため、ウエハWのクランプ時およびクランプ解除時にウエハWが移動せず、位置精度の低下等が生じない。   When the first multi-joint arm mechanism 41 is extended to release the wafer W, the acceleration of the range where the stroke of the first multi-joint arm mechanism 41 is longer is negative, that is, in the deceleration region, the wafer W When clamping is performed (release of the wafer W) and the first multi-joint arm mechanism 41 is retracted to clamp the wafer W, the first multi-joint arm mechanism 41 has a positive acceleration in the longer range. That is, by clamping the wafer W in the acceleration region, the wafer W can be clamped and released in a state where the wafer W is pressed against the front end side stopper pin 72. For this reason, when the wafer W is clamped and when the clamp is released, the wafer W does not move, and the positional accuracy is not lowered.

ところで、上記第1の例および第2の例の基板搬送機構のいずれにおいても、ピック41c,42cが、先端側ストッパピン72および基端側ストッパピン73によりウエハWを保持する構成をとる場合には、図15に模式的に示すように、ウエハWはピック41c(42c)で位置決めされているため、真空処理ユニット1〜4の熱によって多関節アーム機構41,42のアームやピックが熱膨張すると、ウエハWの位置がその熱膨張によって変位してしまう。このようにウエハWの位置がずれたままの状態で真空処理ユニット1〜4や、ロードロック室6,7にウエハWを搬送すると、ウエハWはステージ上の所定の位置からずれた位置に載置されることとなる。   By the way, in any of the substrate transport mechanisms of the first example and the second example, the picks 41c and 42c are configured to hold the wafer W by the front end side stopper pins 72 and the base end side stopper pins 73. As schematically shown in FIG. 15, since the wafer W is positioned by the pick 41c (42c), the arms and picks of the articulated arm mechanisms 41 and 42 are thermally expanded by the heat of the vacuum processing units 1 to 4. Then, the position of the wafer W is displaced by the thermal expansion. When the wafer W is transferred to the vacuum processing units 1 to 4 or the load lock chambers 6 and 7 in a state where the position of the wafer W is shifted as described above, the wafer W is placed at a position shifted from a predetermined position on the stage. Will be placed.

そこで、本実施形態では、ウエハWが正しい位置に搬送されるように、以下に説明する手順にてこのような熱膨張による位置ずれの補正を行う。   Therefore, in the present embodiment, such a positional deviation correction due to thermal expansion is performed by the procedure described below so that the wafer W is transferred to the correct position.

<熱膨張によるウエハの位置ずれの補正>
このような熱膨張によるウエハの位置ずれの補正は、図16のフローチャートのような手順で行うことができる。
<Correction of wafer misalignment due to thermal expansion>
The correction of the wafer position shift due to such thermal expansion can be performed according to the procedure shown in the flowchart of FIG.

まず、真空処理ユニット1〜4、ロードロック室6,7の各モジュールに対して、対応する位置検出センサユニット22の光学センサ23a,23bの検出値に基づきウエハの基準位置を求め、搬送制御部45に記憶させる(ステップ1)。   First, for each module of the vacuum processing units 1 to 4 and the load lock chambers 6 and 7, the wafer reference position is obtained based on the detection values of the optical sensors 23a and 23b of the corresponding position detection sensor unit 22, and the transfer control unit 45 (step 1).

実際のウエハWの搬送に際しては、基板搬送装置12の第1および第2多関節アーム機構41,42の旋回時に、どのモジュールの光学センサ23a,23bを使用するのかを決定する(ステップ2)。   When the wafer W is actually transferred, it is determined which module of the optical sensor 23a, 23b is used when the first and second multi-joint arm mechanisms 41, 42 of the substrate transfer apparatus 12 are turned (step 2).

図17に示すように、そのモジュール(真空処理ユニット1〜4およびロードロック室6,7のいずれか)にウエハWを搬入する際、またはそのモジュールからウエハWを搬送室5に戻す際に、光学センサ23a,23bの検出信号に基づいて搬送制御部45によりウエハWの位置を計測する(ステップ3)。   As shown in FIG. 17, when the wafer W is loaded into the module (one of the vacuum processing units 1 to 4 and the load lock chambers 6 and 7) or when the wafer W is returned from the module to the transfer chamber 5, Based on the detection signals of the optical sensors 23a and 23b, the position of the wafer W is measured by the transfer control unit 45 (step 3).

搬送制御部45は、この計測結果に基づいてウエハWの基準位置からのずれ量を算出し、図18に示すように、そのモジュールへウエハWを搬入する際に、そのずれ量を補正するように基板搬送装置12の駆動部44を制御する(ステップ4)。   The transfer control unit 45 calculates a deviation amount of the wafer W from the reference position based on the measurement result, and corrects the deviation amount when the wafer W is loaded into the module as shown in FIG. Next, the drive unit 44 of the substrate transfer device 12 is controlled (step 4).

次に、ウエハWの基準位置の計測およびずれ量の算出の具体的手法について説明する。駆動部44の各駆動機構はステッピングモータを用いているため、パルス値により位置情報を把握することができる。   Next, a specific method for measuring the reference position of the wafer W and calculating the shift amount will be described. Since each drive mechanism of the drive unit 44 uses a stepping motor, position information can be grasped from a pulse value.

[ウエハの基準位置の計測]
ウエハWの基準位置の計測は、常温において、対応するモジュール内のウエハWをピック上に載置して搬送室5に戻す際に行われる。このとき、ウエハWを載置したピックは直線的に移動される。図19(a)に示すように、ウエハWが光学センサS1,S2の照射した光を遮光した点をA、Cとし、さらにウエハWを移動して光学センサS1,S2の照射した光が透光するようになった点をB、Dとする。既知の値として、基準のウエハ半径を150mmとする。
[Measurement of wafer reference position]
The measurement of the reference position of the wafer W is performed when the wafer W in the corresponding module is placed on the pick and returned to the transfer chamber 5 at room temperature. At this time, the pick on which the wafer W is placed is moved linearly. As shown in FIG. 19A, the points where the wafer W shields the light irradiated by the optical sensors S1 and S2 are A and C, and the wafer W is further moved to transmit the light irradiated by the optical sensors S1 and S2. The points that have come to light are designated as B and D. As a known value, the reference wafer radius is 150 mm.

(a)センサ間距離HH′の算出手順
この条件でまず、以下の1〜5の手順でセンサ間距離HH′を算出する。
1.A−Dのパルス値を実際のアーム位置に変換する。
2.AB、CDの長さを算出する。
3.三平方の定理により、OH=AO−(AB÷2)が成り立つから、この式からOHの長さを算出する。
4.OH′の長さを上記1〜3と同様にして算出する。
5.上記3,4からHH′=OH+OH′としてHH′を算出する。
(A) Calculation procedure of inter-sensor distance HH 'First, under this condition, the inter-sensor distance HH' is calculated by the following procedures 1 to 5.
1. The AD pulse value is converted into an actual arm position.
2. The lengths of AB and CD are calculated.
3. Since OH 2 = AO 2 − (AB ÷ 2) 2 holds according to the three-square theorem, the length of OH is calculated from this equation.
4). The length of OH ′ is calculated in the same manner as 1 to 3 above.
5). From the above 3 and 4, HH ′ is calculated as HH ′ = OH + OH ′.

(b)基準ウエハ位置Oの座標の算出手順
次に、以下の6〜8の手順で基準ウエハ位置Oの座標(x1,y1)を算出する。
6.S1をX座標の基準(X=0)とする。
7.上記3によりOHの長さが算出済みであるため、基準ウエハ位置OのX座標(x1)はx1=OHとなる。
8.基準ウエハ位置OのY座標(y1)は、Bのアーム位置+(AB÷2)で求めることができる。
(B) Calculation procedure of coordinates of reference wafer position O Next, the coordinates (x1, y1) of the reference wafer position O are calculated by the following procedures 6 to 8.
6). Let S1 be the X coordinate reference (X = 0).
7). Since the length of OH has already been calculated according to 3 above, the X coordinate (x1) of the reference wafer position O is x1 = OH.
8). The Y coordinate (y1) of the reference wafer position O can be obtained by B arm position + (AB ÷ 2).

[ウエハのずれ量の算出]
ウエハWのずれ量の算出は、実際の処理の際に、対応するモジュール内のウエハWをピック上に載置して搬送室5に戻す際に行われる。このとき、基準位置の計測の際と同様、ウエハWを載置したピックは直線的に移動される。既知の値としてセンサ間距離HH′、基準ウエハ位置Oの座標を用いる。図19(b)に示すように、基準位置の計測の際と同様、ウエハWが光学センサS1,S2の照射した光を遮光した点をA、Cとし、さらにウエハWを移動して光学センサS1,S2の照射した光が透光するようになった点をB、Dとする。
[Calculation of wafer displacement]
The amount of deviation of the wafer W is calculated when the wafer W in the corresponding module is placed on the pick and returned to the transfer chamber 5 during actual processing. At this time, as in the measurement of the reference position, the pick on which the wafer W is placed is moved linearly. As the known values, the inter-sensor distance HH ′ and the coordinates of the reference wafer position O are used. As shown in FIG. 19B, as in the measurement of the reference position, the points where the wafer W shields the light irradiated by the optical sensors S1 and S2 are A and C, and the wafer W is further moved to move the optical sensor. B and D are points where the light irradiated by S1 and S2 is transmitted.

(a)ウエハ半径r、ウエハ位置O′のX座標:x2の算出手順
以下の9〜11の手順でウエハ半径rおよびウエハ位置O′のX座標:x2を算出する。
9.A−Dのパルス値を実際のアーム位置に変換する。
10.AB、CDの長さを算出する。
11.三平方の定理により、以下の2つの式が成り立つから、連立方程式によりr、x2を算出する。
=(x2)+(AB÷2)
=(HH′−x2)+(CD÷2)
(A) Calculation Procedure of Wafer Radius r and X Position of Wafer Position O ′: x2 The X radius: x2 of the wafer radius r and wafer position O ′ is calculated by the following procedures 9 to 11.
9. The AD pulse value is converted into an actual arm position.
10. The lengths of AB and CD are calculated.
11. Since the following two equations hold according to the three-square theorem, r and x2 are calculated by simultaneous equations.
r 2 = (x2) 2 + (AB ÷ 2) 2
r 2 = (HH′−x2) 2 + (CD ÷ 2) 2

(b)ウエハ位置O′のY座標:y2の算出手順
以下の12によりウエハ位置O′のY座標:y2を算出する。
12.y2=Bのアーム位置+(AB÷2)
(B) Calculation procedure of Y coordinate: y2 of wafer position O 'Y coordinate: y2 of wafer position O' is calculated according to the following 12.
12 y2 = B arm position + (AB ÷ 2)

(c)ウエハのずれ量の算出手順
以下の13によりウエハのずれ量を算出する。
13.O′の座標(x2,y2)と基準位置Oの座標(x1,y1)から以下の式でずれ量を算出する。
ずれ量=(x2−x1)+(y2−y1)
(C) Calculation procedure of wafer displacement amount The wafer displacement amount is calculated according to the following 13:
13. The shift amount is calculated from the coordinates (x2, y2) of O ′ and the coordinates (x1, y1) of the reference position O by the following formula.
Deviation amount 2 = (x2-x1) 2 + (y2-y1) 2

このように、ピック41c,42c内でウエハWを位置決めして、その位置補正を各モジュールに対応して設けられたセンサを用いて行うので、アームやピックの熱膨張、さらにはウエハW自体の熱膨張によってウエハWの位置がずれても、高い位置精度でウエハWを搬送することができる。また、熱膨張のみならず、他の要因でウエハWの位置がずれた場合にもウエハWの位置補正を行うことができる。例えば、ストッパピン72、73(コア部81またはリング部材82)が摩耗しても、ウエハWが先端側ストッパピン72に押しつけられることによりウエハWはピック41c,42c上に位置決めすることができ、上記方法でウエハWの位置補正を行うことができる。また、このようなずれ量が大きくなることで、ピックやアームの交換時期を把握することも可能となる。   As described above, the wafer W is positioned in the picks 41c and 42c, and the position correction is performed by using the sensors provided for the respective modules. Even if the position of the wafer W is shifted due to thermal expansion, the wafer W can be transferred with high positional accuracy. Further, not only the thermal expansion but also the position of the wafer W can be corrected when the position of the wafer W is shifted due to other factors. For example, even if the stopper pins 72 and 73 (the core portion 81 or the ring member 82) are worn, the wafer W can be positioned on the picks 41c and 42c by pressing the wafer W against the distal end side stopper pins 72. The position correction of the wafer W can be performed by the above method. In addition, since the amount of deviation increases, it is possible to grasp the pick and arm replacement timing.

ただし、第1の例の基板搬送装置の場合には、減速時にピック41c,42c上でウエハWが移動する可能性があるため、位置検出センサユニット22による計測時のウエハWの位置ずれが懸念される。つまり、第1の例の場合には、加速度が正の領域、すなわち加速領域においてはウエハWがいずれかのストッパピンに押しつけられた状態となるため、その領域に位置検出ユニット22の光学センサ23a,23bを設置すれば、ウエハWの位置ずれは実質的に生じない。しかし、加速度が負の領域、すなわち減速領域に位置検出ユニット22の光学センサ23a,23bを設置すれば、ウエハWが移動中に計測することになるため、誤差が大きくなってしまう。具体的には、多関節アーム機構伸長時、つまりウエハWをモジュールへ搬入する場合には、図20(a)に示すように、多関節アーム機構のストロークが短い範囲であるAの範囲でしか精度良く計測できず、また多関節アーム機構縮退時、つまりウエハWをモジュールから戻す場合には、図20(b)に示すように、多関節アーム機構のストロークが長い範囲であるBの範囲でしか精度良く測定することができない。したがって、光学センサ23a,23bを所定の位置に設置して、モジュールへ搬送する際およびモジュールから戻す際の両方ともウエハWの位置ずれを生じさせずに精度良く計測することは困難である。また、光学センサ23a,23bの設置位置に制限がある場合には、精度良く計測できない場合も生じる。   However, in the case of the substrate transfer apparatus of the first example, there is a possibility that the wafer W may move on the picks 41c and 42c at the time of deceleration, so there is a concern about the positional deviation of the wafer W during measurement by the position detection sensor unit 22. Is done. That is, in the case of the first example, since the wafer W is pressed against one of the stopper pins in the positive acceleration region, that is, in the acceleration region, the optical sensor 23a of the position detection unit 22 is in that region. , 23b, the wafer W is not substantially displaced. However, if the optical sensors 23a and 23b of the position detection unit 22 are installed in a region where the acceleration is negative, that is, a deceleration region, the measurement is performed while the wafer W is moving, and thus the error becomes large. Specifically, when the multi-joint arm mechanism is extended, that is, when the wafer W is loaded into the module, as shown in FIG. 20A, the multi-joint arm mechanism has a short stroke range A. When the articulated arm mechanism is retracted, that is, when the wafer W is returned from the module, as shown in FIG. 20B, the articulated arm mechanism has a long stroke range B as shown in FIG. However, it can only be measured accurately. Therefore, it is difficult to accurately measure the optical sensors 23a and 23b at a predetermined position without causing a positional shift of the wafer W both when the optical sensors 23a and 23b are transferred to the module and returned from the module. In addition, when the installation positions of the optical sensors 23a and 23b are limited, there are cases where measurement cannot be performed with high accuracy.

これに対し、ウエハWをクランプする第2の例の場合には、図20(a)のCの範囲、図20(b)のDの範囲と、ウエハWをモジュールに搬入する際およびモジュールから戻す際のいずれもほぼ全域でウエハWの位置を精度良く測定することができる。   On the other hand, in the case of the second example in which the wafer W is clamped, the range C in FIG. 20A, the range D in FIG. 20B, and when the wafer W is loaded into the module and from the module In any case of returning, the position of the wafer W can be measured with accuracy over almost the entire area.

<アーム機構の伸び補正>
以上の手順でウエハの熱膨張による位置ずれの補正を行うことができるが、長期間のアイドリングの後、再度処理を行う場合には、基板搬送装置12の第1多関節アーム機構41および第2多関節アーム機構42のアームやピックの実際の伸び量が不明であり、アイドリング直前のデータに基づいてそのまま搬送動作を実施すると、ウエハWをピックに載せる際に、ウエハWが先端側ストッパピン72または基端側ストッパピン73に乗り上げるおそれがある。このため、第1多関節アーム機構41および第2多関節アーム機構42(以下単にアーム機構という)の伸び補正を行うことが好ましい。
<Extension correction of arm mechanism>
The positional deviation due to the thermal expansion of the wafer can be corrected by the above procedure. However, when processing is performed again after a long period of idling, the first articulated arm mechanism 41 and the second articulated arm mechanism 41 of the substrate transfer apparatus 12 If the actual extension amount of the arm or pick of the articulated arm mechanism 42 is unknown and the carrying operation is performed as it is based on the data immediately before idling, the wafer W is placed on the front end side stopper pin 72 when the wafer W is placed on the pick. Or, there is a risk of riding on the base end side stopper pin 73. For this reason, it is preferable to perform extension correction of the first multi-joint arm mechanism 41 and the second multi-joint arm mechanism 42 (hereinafter simply referred to as an arm mechanism).

アーム機構の伸び補正を行うに際しては、予めレーザー変位計のような変位計によりアーム機構の伸び量を測定し、図21に示すように、レーザー変位計で測定した伸びと、位置検出センサユニット22での測定結果との相関関係を求めておく。そして、図22に示すように、レーザー変位計でアーム機構の温度とアーム機構の伸びとの関係を求め、アイドリング時間とアーム機構の温度との関係から、図23に示すようにアイドリング時間とアーム機構の伸びの関係を求める。アイドリング終了後、搬送動作の開始時に、アイドリング時間から図23に基づいてアーム機構の伸び量を算出し、その伸び量を補正値としてアーム機構の動作を行う。具体的にはアイドリング状態になった直後にピックにウエハを載せ、アイドリング時の熱膨張経時変化のデータを元に処理再開時のアーム機構の伸び量(補正値)を決定し、図21の関係に基づいて位置補正を行う。   When correcting the extension of the arm mechanism, the amount of extension of the arm mechanism is measured in advance by a displacement meter such as a laser displacement meter, and the elongation measured by the laser displacement meter and the position detection sensor unit 22 are shown in FIG. The correlation with the measurement result is obtained in advance. Then, as shown in FIG. 22, the relationship between the temperature of the arm mechanism and the extension of the arm mechanism is obtained with a laser displacement meter. From the relationship between the idling time and the temperature of the arm mechanism, as shown in FIG. Find the relationship of mechanism elongation. After completion of idling, when the transport operation is started, the extension amount of the arm mechanism is calculated based on the idling time based on FIG. 23, and the arm mechanism is operated using the extension amount as a correction value. Specifically, the wafer is placed on the pick immediately after the idling state is reached, and the extension amount (correction value) of the arm mechanism at the time of resuming the processing is determined based on the data of the thermal expansion change at the time of idling. Based on the position correction.

これにより、長時間アイドリングを行った後でも、アーム機構の伸び量を把握することができ、ウエハWをピックに載せる際に、ウエハWが先端側ストッパピン72または基端側ストッパピン73に乗り上げることを防止することができる。   As a result, the extension amount of the arm mechanism can be grasped even after idling for a long time, and when the wafer W is placed on the pick, the wafer W rides on the distal end side stopper pin 72 or the proximal end side stopper pin 73. This can be prevented.

なお、以上のようにレーザー変位計の測定値とアイドリング時間との相関関係を予めとっておく代わりに、基板処理システム100内、例えばロードロック室6または7の入り口部分にレーザー変位計等の変位計を設けておき、直接アーム機構の変位を測定するようにしてもよい。   As described above, instead of taking the correlation between the measured value of the laser displacement meter and the idling time in advance, the displacement of the laser displacement meter or the like is introduced into the substrate processing system 100, for example, at the entrance of the load lock chamber 6 or 7. A meter may be provided to measure the displacement of the arm mechanism directly.

<他の適用>
なお、本発明は上記実施形態に限定されることなく種々変形可能である。例えば、上記実施形態では基板搬送機構として多関節アーム機構を用いたが、これに限らず、直動機構等の他の機構であってもよい。また、位置検出センサユニットのセンサとして光学センサを用いたが、位置を検出するものであればこれに限るものではなく、また、1つの位置検出センサユニットにつき2つのセンサを用いたが、1つでもよい。また、位置検出センサユニットを、ウエハの搬出入の対象モジュール(真空処理ユニットおよびロードロック室のいずれか)の搬入出口近傍に設けたが、ウエハを保持するピックがウエハの搬入および搬出のために直動する範囲であればよい。さらに、上記実施形態では、真空処理ユニットを4つ、ロードロック室を2つ設けた基板処理システムを例にとって説明したが、これらの数に限定されるものではない。さらにまた、真空処理ユニットを複数設けたマルチチャンバタイプの真空処理装置に限らず、真空処理ユニットが1個のシステムであっても適用可能である。さらにまた、被処理基板についても、半導体ウエハに限らず、FPD用ガラス基板などの他の基板を対象にすることができることはいうまでもない。
<Other applications>
The present invention can be variously modified without being limited to the above embodiment. For example, in the above-described embodiment, the articulated arm mechanism is used as the substrate transport mechanism, but the present invention is not limited to this, and other mechanisms such as a linear motion mechanism may be used. Further, the optical sensor is used as the sensor of the position detection sensor unit. However, the present invention is not limited to this as long as the position is detected, and two sensors are used for one position detection sensor unit. But you can. In addition, the position detection sensor unit is provided in the vicinity of the loading / unloading port of the wafer loading / unloading target module (either the vacuum processing unit or the load lock chamber), but the pick holding the wafer is used for loading and unloading the wafer. It may be in a range that moves linearly. Furthermore, in the above-described embodiment, the substrate processing system provided with four vacuum processing units and two load lock chambers has been described as an example, but the number is not limited thereto. Furthermore, the present invention is not limited to a multi-chamber type vacuum processing apparatus provided with a plurality of vacuum processing units, and can be applied to a system having one vacuum processing unit. Furthermore, it goes without saying that the substrate to be processed is not limited to a semiconductor wafer but can be another substrate such as a glass substrate for FPD.

1〜4;真空処理ユニット
5;搬送室
6,7;ロードロック室
8;搬入出室
12,16;基板搬送装置
22;位置検出センサユニット
23a,23b;光学センサ
30;全体制御部
40;回転基台
41;第1多関節アーム機構
41a,42a;第1アーム
41b,42b;第2アーム
41c,42c;ピック
43;駆動リンク機構
44;駆動部
45;搬送制御部
50;中空軸
51,52,53,54,55,56,60;軸
61;駆動アーム
62,63;従動アーム
71;裏面支持パッド
72;先端側ストッパピン
73;基端側ストッパピン
75;ローラ(滑車)
76;回転軸
81;コア部
82;リング部材
90;クランプ機構
91;カム
92;リンク機構
93;伸縮部材
94;リニアガイド
95;移動部材
100;基板処理システム
W;半導体ウエハ
1-4; Vacuum processing unit 5; Transfer chamber 6, 7; Load lock chamber 8; Loading / unloading chamber 12, 16; Substrate transfer device 22; Position detection sensor unit 23a, 23b; Optical sensor 30; Base 41; first articulated arm mechanism 41a, 42a; first arm 41b, 42b; second arm 41c, 42c; pick 43; drive link mechanism 44; drive unit 45; transport control unit 50; , 53, 54, 55, 56, 60; shaft 61; drive arm 62, 63; driven arm 71; back support pad 72; distal end side stopper pin 73; proximal end side stopper pin 75; roller (pulley)
76; Rotating shaft 81; Core portion 82; Ring member 90; Clamp mechanism 91; Cam 92; Link mechanism 93; Telescopic member 94; Linear guide 95; Moving member 100; Substrate processing system W;

Claims (28)

熱をともなう真空処理が行われる真空処理ユニットと、前記真空処理ユニットが接続され、内部が真空に保持された搬送室とを有する基板処理システムにおいて、前記搬送室内に設けられ、前記真空処理ユニットに対して基板の搬入および搬出を行う基板搬送装置であって、
基板を位置決めする位置決めピンを有し、基板を位置決めした状態で保持するピックと、
前記ピックにより前記真空処理ユニットに対して基板を搬入および搬出するように前記ピックを駆動させる駆動部と、
前記ピックによる基板の搬送動作を制御する搬送制御部と
を有し、
前記搬送制御部は、
基板を前記真空処理ユニットに搬入する際の、常温における前記基板の基準位置をX−Y座標上で予め把握しておき、
実処理において、前記基板を前記真空処理ユニットに搬入する際に、前記基準位置からの熱による位置ずれを前記X−Y座標上で求め、その位置ずれ後の前記X−Y座標の位置から前記基板のずれ量を算出し、
前記ずれ量を補正して前記基板を前記真空処理ユニットに搬入するように前記駆動部を制御することを特徴とする基板搬送装置。
In a substrate processing system having a vacuum processing unit for performing vacuum processing with heat and a transfer chamber to which the vacuum processing unit is connected and whose interior is kept in vacuum, the substrate processing system is provided in the transfer chamber, A substrate transfer device that carries in and out substrates.
A pick having positioning pins for positioning the substrate and holding the substrate in a positioned state;
A drive unit for driving the pick so as to carry the substrate in and out of the vacuum processing unit by the pick;
A transfer control unit for controlling the transfer operation of the substrate by the pick,
The conveyance control unit
When loading the substrate into the vacuum processing unit, advance the reference position location of the substrate at room temperature by previously grasped on X-Y coordinates,
In actual processing, when loading the substrate into the vacuum processing unit, the positional deviation due to heat from the pre-Symbol reference position determined on said X-Y coordinate from the position of the X-Y coordinate after the positional deviation Calculating the amount of deviation of the substrate ;
The substrate transfer apparatus, wherein the drive unit is controlled so as to correct the shift amount and carry the substrate into the vacuum processing unit.
前記位置決めピンは、前記ピック上に基板を挟むように配置され、前記ピックを移動した際の慣性で基板を前記位置決めピンに押しつけることにより基板が位置決めされることを特徴とする請求項1に記載の基板搬送装置。   The positioning pin is disposed so as to sandwich the substrate on the pick, and the substrate is positioned by pressing the substrate against the positioning pin with inertia when the pick is moved. Substrate transfer device. 前記ピックは複数の位置決めピンを有し、前記複数の位置決めピンのいずれかを移動させて基板を前記ピック上でクランプするクランプ機構をさらに有することを特徴とする請求項1に記載の基板搬送装置。   The substrate transport apparatus according to claim 1, wherein the pick has a plurality of positioning pins, and further includes a clamp mechanism that moves any of the plurality of positioning pins to clamp the substrate on the pick. . 前記ピックおよび他のアームを含む多関節アーム機構を有し、前記ピックは隣接するアームに対し回転可能に設けられ、前記クランプ機構は、前記ピックの回転にともなって変位するカムと、前記カムの変位により前記位置決めピンを進退移動させ、基板をクランプしまたはリリースする移動部材と、前記カムの変位を前記移動部材に伝達させる中間機構とを有し、前記カムは、前記ピックの回転位置に同期して前記位置決めピンの進退が定まるようにその位置が調整されることを特徴とする請求項3に記載の基板搬送装置。   An articulated arm mechanism including the pick and another arm, wherein the pick is rotatably provided to an adjacent arm, and the clamp mechanism includes a cam that is displaced as the pick rotates, A displacement member that moves the positioning pin forward and backward by displacement to clamp or release the substrate; and an intermediate mechanism that transmits the displacement of the cam to the displacement member. The cam is synchronized with the rotational position of the pick. 4. The substrate transfer apparatus according to claim 3, wherein the position of the positioning pin is adjusted so that the advancement / retraction of the positioning pin is determined. 前記位置決めピンは、前記ピックの先端側に設けられた先端側位置決めピンと、前記ピックの基端側に設けられた基端側位置決めピンとを有し、前記クランプ機構は前記基端側位置決めピンを進退移動させて基板をクランプしまたはリリースするように構成され、前記多関節アーム機構を伸長させて、基板を受け渡すために前記ピック上の基板をリリースする際には、前記ピックの加速度が負となる範囲で基板をリリースし、前記多関節アームを縮退させて、前記ピック上に基板を受け取った後に基板をクランプする際には、前記ピックの加速度が正となる範囲で基板をクランプすることを特徴とする請求項4に記載の基板搬送装置。   The positioning pin includes a distal end side positioning pin provided on the distal end side of the pick and a proximal end positioning pin provided on the proximal end side of the pick, and the clamp mechanism advances and retracts the proximal end positioning pin. The pick is configured to clamp or release the substrate, and when the articulated arm mechanism is extended to release the substrate on the pick for delivery, the pick acceleration is negative. When clamping the substrate after releasing the substrate in a certain range, retracting the articulated arm and receiving the substrate on the pick, clamping the substrate in a range where the acceleration of the pick is positive The board | substrate conveyance apparatus of Claim 4 characterized by the above-mentioned. 前記基準位置は、常温において、前記真空処理ユニットに対して搬入出される基板が通過する位置に設けられた位置検出センサユニットにより基板を検出し、その検出情報に基づいて求められることを特徴とする請求項1から請求項5のいずれか1項に記載の基板搬送装置。 The reference position location has a feature in that at room temperature, to detect the substrate by the position detection sensor unit provided at a position where the substrate to be transferred into and out relative to the vacuum processing unit to pass, is determined based on the detected information The board | substrate conveyance apparatus of any one of Claim 1 to 5. 基板を前記真空処理ユニットに搬入する際の基板の位置情報は、前記位置検出センサユニットにより基板を検出し、その検出情報に基づいて求められ、このようにして求めた基板の位置情報と前記基準位置とから前記位置ずれを算出することを特徴とする請求項6に記載の基板搬送装置。 The position information of the substrate when the substrate is carried into the vacuum processing unit is obtained based on the detection information detected by the position detection sensor unit, and the position information of the substrate thus obtained and the reference substrate transfer device according to the position to claim 6, characterized in that to calculate the positional deviation. 前記位置ずれの検出は、基板を前記真空処理ユニットから搬出する際または前記真空処理ユニットに搬入する際に行われ、前記ずれ量の補正は、基板を前記真空処理ユニットに搬入する際に行われることを特徴とする請求項7に記載の基板搬送装置。 The detection of the displacement is performed when the substrate is unloaded from the vacuum processing unit or when the substrate is loaded into the vacuum processing unit, and the correction of the displacement is performed when the substrate is loaded into the vacuum processing unit. The substrate transfer apparatus according to claim 7. 前記基板処理システムは、前記搬送室に接続され、大気雰囲気と真空との間で圧力可変であり、大気雰囲気から前記搬送室に対して基板を搬送するロードロック室をさらに有し、
前記搬送制御部は、
基板を前記ロードロック室に搬入する際の、常温における前記基板の基準位置をX−Y座標上で予め把握しておき、
実処理において、前記基板を前記ロードロック室に搬入する際に、前記基準位置からの熱による位置ずれを前記X−Y座標上で求め、その位置ずれ後の前記X−Y座標の位置から前記基板のずれ量を算出し、
前記ずれ量を補正して前記基板を前記ロードロック室に搬入するように前記駆動部を制御することを特徴とする請求項1から請求項8のいずれか1項に記載の基板搬送装置。
The substrate processing system further includes a load lock chamber connected to the transfer chamber, variable in pressure between an atmospheric atmosphere and vacuum, and transferring a substrate from the atmospheric atmosphere to the transfer chamber,
The conveyance control unit
When the substrate is carried into the said load lock chamber, it leaves the reference position location of the substrate at room temperature by previously grasped on X-Y coordinates,
In actual processing, when loading the substrate into the load lock chamber, the displacement caused by the heat from the pre-Symbol reference position determined on said X-Y coordinate from the position of the X-Y coordinate after the positional deviation Calculating the amount of deviation of the substrate ;
9. The substrate transfer apparatus according to claim 1, wherein the driving unit is controlled so as to correct the shift amount and carry the substrate into the load lock chamber. 10.
前記ピックの前記位置決めピンは、垂直軸に対して回転可能なリング部材を有していることを特徴とする請求項1から請求項9のいずれか1項に記載の基板搬送装置。   The substrate transfer apparatus according to claim 1, wherein the positioning pin of the pick includes a ring member that is rotatable with respect to a vertical axis. 前記ピックは、基板の裏面を支持し、基板を位置決めする際の移動方向に回転可能なローラを備えた裏面支持パッドを有することを特徴とする請求項1から請求項10のいずれか1項に記載の基板搬送装置。   11. The pick according to claim 1, wherein the pick has a back support pad provided with a roller that supports a back surface of the substrate and is rotatable in a moving direction when the substrate is positioned. The board | substrate conveyance apparatus of description. 熱をともなう真空処理が行われる真空処理ユニットと、
前記真空処理ユニットが接続され、内部が真空に保持された搬送室と、
前記搬送室内に設けられ、前記真空処理ユニットに対して基板の搬入および搬出を行う基板搬送装置と
を具備する基板処理システムであって、
前記基板搬送装置は、
基板を位置決めする位置決めピンを有し、基板を位置決めした状態で保持するピックと、
前記ピックにより前記真空処理ユニットに対して基板を搬入および搬出するように前記ピックを駆動させる駆動部と、
前記ピックによる基板の搬送動作を制御する搬送制御部と
を有し、
前記搬送制御部は、
基板を前記真空処理ユニットに搬入する際の、常温における前記基板の基準位置をX−Y座標上で予め把握しておき、
実処理において、前記基板を前記真空処理ユニットに搬入する際に、前記基準位置からの熱による位置ずれを前記X−Y座標上で求め、その位置ずれ後の前記X−Y座標の位置から前記基板のずれ量を算出し、
前記ずれ量を補正して前記基板を前記真空処理ユニットに搬入するように前記駆動部を制御することを特徴とする基板処理システム。
A vacuum processing unit for performing vacuum processing with heat;
A transfer chamber to which the vacuum processing unit is connected and the inside is kept in vacuum; and
A substrate processing system provided in the transfer chamber and including a substrate transfer device that carries the substrate in and out of the vacuum processing unit;
The substrate transfer device includes:
A pick having positioning pins for positioning the substrate and holding the substrate in a positioned state;
A drive unit for driving the pick so as to carry the substrate in and out of the vacuum processing unit by the pick;
A transfer control unit for controlling the transfer operation of the substrate by the pick,
The conveyance control unit
When loading the substrate into the vacuum processing unit, advance the reference position location of the substrate at room temperature by previously grasped on X-Y coordinates,
In actual processing, when loading the substrate into the vacuum processing unit, the positional deviation due to heat from the pre-Symbol reference position determined on said X-Y coordinate from the position of the X-Y coordinate after the positional deviation Calculating the amount of deviation of the substrate ;
The substrate processing system, wherein the drive unit is controlled so as to correct the shift amount and to carry the substrate into the vacuum processing unit.
前記位置決めピンは、前記ピック上に基板を挟むように配置され、前記ピックを移動した際の慣性で基板を前記位置決めピンに押しつけることにより基板が位置決めされることを特徴とする請求項12に記載の基板処理システム。   The positioning pin is disposed so as to sandwich the substrate on the pick, and the substrate is positioned by pressing the substrate against the positioning pin with inertia when the pick is moved. Substrate processing system. 前記ピックは複数の位置決めピンを有し、前記複数の位置決めピンのいずれかを移動させて基板を前記ピック上でクランプするクランプ機構をさらに有することを特徴とする請求項12に記載の基板処理システム。   The substrate processing system according to claim 12, wherein the pick includes a plurality of positioning pins, and further includes a clamp mechanism that moves any of the plurality of positioning pins to clamp the substrate on the pick. . 前記基板搬送装置は、前記ピックおよび他のアームを含む多関節アーム機構を有し、前記ピックは隣接するアームに対し回転可能に設けられ、前記クランプ機構は、前記ピックの回転にともなって変位するカムと、前記カムの変位により前記位置決めピンを進退移動させ、基板をクランプしまたはリリースする移動部材と、前記カムの変位を前記移動部材に伝達させる中間機構とを有し、前記カムは、前記ピックの回転位置に同期して前記位置決めピンの進退が定まるようにその位置が調整されることを特徴とする請求項14に記載の基板処理システム。   The substrate transfer apparatus has an articulated arm mechanism including the pick and another arm, the pick is provided to be rotatable with respect to an adjacent arm, and the clamp mechanism is displaced with the rotation of the pick. A cam, a moving member that moves the positioning pin forward and backward by displacement of the cam, and clamps or releases the substrate, and an intermediate mechanism that transmits the displacement of the cam to the moving member. The substrate processing system according to claim 14, wherein the position of the positioning pin is adjusted so as to be determined in synchronization with a rotational position of the pick. 前記位置決めピンは、前記ピックの先端側に設けられた先端側位置決めピンと、前記ピックの基端側に設けられた基端側位置決めピンとを有し、前記クランプ機構は前記基端側位置決めピンを進退移動させて基板をクランプしまたはリリースするように構成され、前記多関節アーム機構を伸長させて、基板を受け渡すために前記ピック上の基板をリリースする際には、前記ピックの加速度が負となる範囲で基板をリリースし、前記多関節アームを縮退させて、前記ピック上に基板を受け取った後に基板をクランプする際には、前記ピックの加速度が正となる範囲で基板をクランプすることを特徴とする請求項15に記載の基板処理システム。   The positioning pin includes a distal end side positioning pin provided on the distal end side of the pick and a proximal end positioning pin provided on the proximal end side of the pick, and the clamp mechanism advances and retracts the proximal end positioning pin. The pick is configured to clamp or release the substrate, and when the articulated arm mechanism is extended to release the substrate on the pick for delivery, the pick acceleration is negative. When clamping the substrate after releasing the substrate in a certain range, retracting the articulated arm and receiving the substrate on the pick, clamping the substrate in a range where the acceleration of the pick is positive The substrate processing system according to claim 15, characterized in that: 前記基準位置は、常温において、前記真空処理ユニットに対して搬入出される基板が通過する位置に設けられた位置検出センサユニットにより基板を検出し、その検出情報に基づいて求められることを特徴とする請求項12から請求項16のいずれか1項に記載の基板処理システム。 The reference position location has a feature in that at room temperature, to detect the substrate by the position detection sensor unit provided at a position where the substrate to be transferred into and out relative to the vacuum processing unit to pass, is determined based on the detected information The substrate processing system according to any one of claims 12 to 16. 基板を前記真空処理ユニットに搬入する際の基板の位置情報は、前記位置検出センサユニットにより基板を検出し、その検出情報に基づいて求められ、このようにして求めた基板の位置情報と前記基準位置とから前記位置ずれを算出することを特徴とする請求項17に記載の基板処理システム。 The position information of the substrate when the substrate is carried into the vacuum processing unit is obtained based on the detection information detected by the position detection sensor unit, and the position information of the substrate thus obtained and the reference the substrate processing system of claim 17, wherein the calculating the positional deviation from the position. 前記位置ずれの検出は、基板を前記真空処理ユニットから搬出する際または前記真空処理ユニットに搬入する際に行われ、前記ずれ量の補正は、基板を前記真空処理ユニットに搬入する際に行われることを特徴とする請求項18に記載の基板処理システム。 The detection of the displacement is performed when the substrate is unloaded from the vacuum processing unit or when the substrate is loaded into the vacuum processing unit, and the correction of the displacement is performed when the substrate is loaded into the vacuum processing unit. The substrate processing system according to claim 18. 前記搬送室に接続され、大気雰囲気と真空との間で圧力可変であり、大気雰囲気から前記搬送室に対して基板を搬送するロードロック室をさらに具備し、
前記搬送制御部は、
基板を前記ロードロック室に搬入する際の、常温における前記基板の基準位置をX−Y座標上で予め把握しておき、
実処理において、前記基板を前記ロードロック室に搬入する際に、前記基準位置からの熱による位置ずれを前記X−Y座標上で求め、その位置ずれ後の前記X−Y座標の位置から前記基板のずれ量を算出し、
前記ずれ量を補正して前記基板を前記ロードロック室に搬入するように前記駆動部を制御することを特徴とする請求項12から請求項19のいずれか1項に記載の基板処理システム。
Connected to the transfer chamber, variable in pressure between an atmospheric atmosphere and vacuum, further comprising a load lock chamber for transferring a substrate from the atmospheric atmosphere to the transfer chamber;
The conveyance control unit
When the substrate is carried into the said load lock chamber, it leaves the reference position location of the substrate at room temperature by previously grasped on X-Y coordinates,
In actual processing, when loading the substrate into the load lock chamber, the displacement caused by the heat from the pre-Symbol reference position determined on said X-Y coordinate from the position of the X-Y coordinate after the positional deviation Calculating the amount of deviation of the substrate ;
20. The substrate processing system according to claim 12, wherein the driving unit is controlled so as to correct the shift amount and carry the substrate into the load lock chamber.
前記ピックの前記位置決めピンは、垂直軸に対して回転可能なリング部材を有していることを特徴とする請求項12から請求項20のいずれか1項に記載の基板処理システム。   21. The substrate processing system according to claim 12, wherein the positioning pin of the pick includes a ring member that is rotatable with respect to a vertical axis. 前記ピックは、基板の裏面を支持し、基板を位置決めする際の移動方向に回転可能なローラを備えた裏面支持パッドを有することを特徴とする請求項12から請求項21のいずれか1項に記載の基板処理システム。   The pick according to any one of claims 12 to 21, wherein the pick has a back surface support pad provided with a roller that supports the back surface of the substrate and is rotatable in a moving direction when positioning the substrate. The substrate processing system as described. 熱をともなう真空処理が行われる真空処理ユニットと、前記真空処理ユニットが接続され、内部が真空に保持された搬送室とを有する基板処理システムにおいて、基板を位置決めする位置決めピンを有し、基板を位置決めした状態で保持するピックと、前記ピックにより前記真空処理ユニットに対して基板を搬入および搬出するように前記ピックを駆動させる駆動部とを有し、前記搬送室に設けられた基板搬送装置を用いて、前記真空処理ユニットに対して基板の搬入および搬出を行う基板搬送方法であって、
基板を前記真空処理ユニットに搬入する際の、常温における前記基板の基準位置をX−Y座標上で予め把握しておき、
実処理において、前記基板を前記真空処理ユニットに搬入する際に、前記基準位置からの熱による位置ずれを前記X−Y座標上で求め、その位置ずれ後の前記X−Y座標の位置から前記基板のずれ量を算出し、
前記ずれ量を補正して前記基板を前記真空処理ユニットに搬入することを特徴とする基板搬送方法。
In a substrate processing system having a vacuum processing unit for performing vacuum processing with heat, and a transfer chamber to which the vacuum processing unit is connected and whose interior is held in vacuum, the substrate processing system has positioning pins for positioning the substrate, A pick that is held in a positioned state; and a drive unit that drives the pick so that the pick is carried into and out of the vacuum processing unit by the pick, and a substrate transfer device provided in the transfer chamber is provided A substrate carrying method for carrying in and carrying out the substrate to and from the vacuum processing unit,
When loading the substrate into the vacuum processing unit, advance the reference position location of the substrate at room temperature by previously grasped on X-Y coordinates,
In actual processing, when loading the substrate into the vacuum processing unit, the positional deviation due to heat from the pre-Symbol reference position determined on said X-Y coordinate from the position of the X-Y coordinate after the positional deviation Calculating the amount of deviation of the substrate ;
A substrate transfer method comprising correcting the shift amount and carrying the substrate into the vacuum processing unit.
前記基準位置は、常温において、前記真空処理ユニットに対して搬入出される基板が通過する位置に設けられた位置検出センサユニットにより基板を検出し、その検出情報に基づいて求められることを特徴とする請求項23に記載の基板搬送方法。 The reference position location has a feature in that at room temperature, to detect the substrate by the position detection sensor unit provided at a position where the substrate to be transferred into and out relative to the vacuum processing unit to pass, is determined based on the detected information The substrate carrying method according to claim 23. 基板を前記真空処理ユニットに搬入する際の基板の位置情報は、前記位置検出センサユニットにより基板を検出し、その検出情報に基づいて求められ、このようにして求めた基板の位置情報と前記基準位置とから前記位置ずれを算出することを特徴とする請求項24に記載の基板搬送方法。 The position information of the substrate when the substrate is carried into the vacuum processing unit is obtained based on the detection information detected by the position detection sensor unit, and the position information of the substrate thus obtained and the reference substrate transfer method according to claim 24, characterized in that for calculating the positional deviation from the position. 前記位置ずれの検出は、基板を前記真空処理ユニットから搬出する際または前記真空処理ユニットに搬入する際に行われ、前記ずれ量の補正は、基板を前記真空処理ユニットに搬入する際に行われることを特徴とする請求項25に記載の基板搬送方法。 The detection of the displacement is performed when the substrate is unloaded from the vacuum processing unit or when the substrate is loaded into the vacuum processing unit, and the correction of the displacement is performed when the substrate is loaded into the vacuum processing unit. 26. The substrate carrying method according to claim 25. 前記基板処理システムは、前記搬送室に接続され、大気雰囲気と真空との間で圧力可変であり、大気雰囲気から前記搬送室に対して基板を搬送するロードロック室をさらに有し、
基板を前記ロードロック室に搬入する際の、常温における前記基板の基準位置をX−Y座標上で予め把握しておき、
実処理において、前記基板を前記ロードロック室に搬入する際に、前記基準位置からの熱による位置ずれを前記X−Y座標上で求め、その位置ずれ後の前記X−Y座標の位置から前記基板のずれ量を算出し、
前記ずれ量を補正して前記基板を前記ロードロック室に搬入することを特徴とする請求項23から請求項26のいずれか1項に記載の基板搬送方法。
The substrate processing system further includes a load lock chamber connected to the transfer chamber, variable in pressure between an atmospheric atmosphere and vacuum, and transferring a substrate from the atmospheric atmosphere to the transfer chamber,
When the substrate is carried into the said load lock chamber, it leaves the reference position location of the substrate at room temperature by previously grasped on X-Y coordinates,
In actual processing, when loading the substrate into the load lock chamber, the displacement caused by the heat from the pre-Symbol reference position determined on said X-Y coordinate from the position of the X-Y coordinate after the positional deviation Calculating the amount of deviation of the substrate ;
27. The substrate transfer method according to claim 23, wherein the substrate is carried into the load lock chamber after correcting the shift amount .
コンピュータ上で動作し、基板搬送装置を制御するためのプログラムが記憶された記憶媒体であって、前記プログラムは、実行時に、請求項23から請求項27のいずれかの基板搬送方法が行われるように、コンピュータに前記基板搬送装置を制御させることを特徴とする記憶媒体。   A storage medium that operates on a computer and stores a program for controlling the substrate transfer apparatus, wherein the program is executed by the substrate transfer method according to any one of claims 23 to 27. And a computer for controlling the substrate transfer apparatus.
JP2012077694A 2011-07-15 2012-03-29 Substrate transport apparatus, substrate processing system, substrate transport method, and storage medium Active JP5940342B2 (en)

Priority Applications (5)

Application Number Priority Date Filing Date Title
JP2012077694A JP5940342B2 (en) 2011-07-15 2012-03-29 Substrate transport apparatus, substrate processing system, substrate transport method, and storage medium
TW101125036A TW201327711A (en) 2011-07-15 2012-07-12 Substrate transfer device, substrate processing system, substrate transfer method, and storage medium
KR1020120076764A KR20130009700A (en) 2011-07-15 2012-07-13 Substrate transfer device, substrate processing system, substrate transfer method, and storage medium
US13/549,046 US20130180448A1 (en) 2011-07-15 2012-07-13 Substrate transfer device and substrate processing system
CN201210245908XA CN102881618A (en) 2011-07-15 2012-07-16 Substrate transfer device, substrate processing system, substrate transfer method, and storage medium

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2011157162 2011-07-15
JP2011157162 2011-07-15
JP2012077694A JP5940342B2 (en) 2011-07-15 2012-03-29 Substrate transport apparatus, substrate processing system, substrate transport method, and storage medium

Publications (2)

Publication Number Publication Date
JP2013042112A JP2013042112A (en) 2013-02-28
JP5940342B2 true JP5940342B2 (en) 2016-06-29

Family

ID=47890208

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2012077694A Active JP5940342B2 (en) 2011-07-15 2012-03-29 Substrate transport apparatus, substrate processing system, substrate transport method, and storage medium

Country Status (3)

Country Link
US (1) US20130180448A1 (en)
JP (1) JP5940342B2 (en)
TW (1) TW201327711A (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2021080706A1 (en) * 2019-10-23 2021-04-29 Eugenus, Inc. Systems and methods of placing substrates in semiconductor manufacturing equipment

Families Citing this family (348)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
KR101147192B1 (en) * 2011-11-11 2012-05-25 주식회사 엘에스테크 Apparatus for purge native oxide of wafer
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
JP6063716B2 (en) * 2012-11-14 2017-01-18 東京エレクトロン株式会社 Substrate processing apparatus and substrate transfer method
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
JP6703937B2 (en) * 2013-03-15 2020-06-03 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Substrate deposition system, robot transfer apparatus, and method for electronic device manufacturing
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
JP6224437B2 (en) * 2013-11-26 2017-11-01 東京エレクトロン株式会社 Substrate transfer device
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
KR101575129B1 (en) * 2014-01-13 2015-12-08 피에스케이 주식회사 Apparatus and method for transporting substrate, and apparatus for treating substrate
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9343350B2 (en) * 2014-04-03 2016-05-17 Asm Ip Holding B.V. Anti-slip end effector for transporting workpiece using van der waals force
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
CN107107336B (en) * 2014-11-18 2021-04-02 柿子技术公司 Robot adaptive placement system with end effector position estimation
KR102300403B1 (en) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. Method of depositing thin film
KR102263121B1 (en) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. Semiconductor device and manufacuring method thereof
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
JP6311639B2 (en) * 2015-04-13 2018-04-18 東京エレクトロン株式会社 Substrate processing apparatus, operation method of substrate processing apparatus, and storage medium
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10134623B2 (en) 2015-07-13 2018-11-20 Brooks Automation, Inc. On the fly automatic wafer centering method and apparatus
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
JP6629012B2 (en) * 2015-08-31 2020-01-15 豊田鉄工株式会社 Work transfer device for heating furnace
JP6339057B2 (en) * 2015-09-29 2018-06-06 株式会社日立国際電気 Substrate processing apparatus, semiconductor device manufacturing method, and program
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
JP6710518B2 (en) * 2015-12-03 2020-06-17 東京エレクトロン株式会社 Conveying device and correction method
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
JP6574715B2 (en) * 2016-02-01 2019-09-11 東京エレクトロン株式会社 Substrate transport method and substrate processing system
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (en) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. Method of forming metal interconnection and method of fabricating semiconductor device using the same
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (en) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (en) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and method of operating the same
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
JP6774276B2 (en) * 2016-09-13 2020-10-21 川崎重工業株式会社 Board transfer device
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (en) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Gas supply unit and substrate processing apparatus including the same
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
TWI742201B (en) * 2016-12-02 2021-10-11 美商應用材料股份有限公司 Integrated atomic layer deposition tool
KR20180068582A (en) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (en) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (en) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (en) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. Methods for forming a semiconductor device structure and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (en) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (en) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (en) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI791689B (en) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 Apparatus including a clean mini environment
JP7214724B2 (en) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. Storage device for storing wafer cassettes used in batch furnaces
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
JP6958338B2 (en) 2017-12-22 2021-11-02 東京エレクトロン株式会社 How to operate the board processing device and the board processing device
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (en) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 Method for depositing gap filling layer by plasma auxiliary deposition
TW202325889A (en) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 Deposition method
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
JP7124098B2 (en) 2018-02-14 2022-08-23 エーエスエム・アイピー・ホールディング・ベー・フェー Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (en) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. Substrate processing method and apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (en) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (en) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. Substrate processing method
KR20190128558A (en) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
TW202349473A (en) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 Methods for forming a doped metal carbide film on a substrate and related semiconductor device structures
KR102596988B1 (en) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (en) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing system
CN112292478A (en) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 Cyclic deposition methods for forming metal-containing materials and films and structures containing metal-containing materials
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (en) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
JP2020017645A (en) * 2018-07-26 2020-01-30 株式会社Kokusai Electric Substrate processing apparatus
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (en) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. Method for deposition of a thin film
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (en) 2018-10-01 2020-04-07 Asm Ip控股有限公司 Substrate holding apparatus, system including the same, and method of using the same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (en) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
JP7008609B2 (en) * 2018-10-18 2022-01-25 東京エレクトロン株式会社 Board processing device and transfer position correction method
KR102605121B1 (en) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
KR102546322B1 (en) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (en) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and substrate processing apparatus including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (en) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. A method for cleaning a substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (en) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー Method of forming device structure using selective deposition of gallium nitride, and system for the same
US11011397B2 (en) * 2018-12-20 2021-05-18 Axcelis Technologies, Inc. Wafer soak temperature readback and control via thermocouple embedded end effector for semiconductor processing equipment
JP7202176B2 (en) * 2018-12-21 2023-01-11 キヤノン株式会社 Conveyor, Substrate Processing Apparatus, and Article Manufacturing Method
TWI819180B (en) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
KR20200091543A (en) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. Semiconductor processing device
CN111524788B (en) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 Method for topologically selective film formation of silicon oxide
KR102626263B1 (en) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. Cyclical deposition method including treatment step and apparatus for same
TW202104632A (en) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
TW202044325A (en) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 Method of filling a recess formed within a surface of a substrate, semiconductor structure formed according to the method, and semiconductor processing apparatus
KR20200102357A (en) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for plug fill deposition in 3-d nand applications
TW202100794A (en) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus and method for processing substrate
KR20200108242A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Structure Including SiOC Layer and Method of Forming Same
KR20200116033A (en) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. Door opener and substrate processing apparatus provided therewith
KR20200116855A (en) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. Method of manufacturing semiconductor device
KR20200123380A (en) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. Layer forming method and apparatus
US11872691B2 (en) * 2019-04-24 2024-01-16 Illinois Institute Of Technology Soft, adaptive, self-cleaning electrostatic gecko-like adhesive and gripper
KR20200125453A (en) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system and method of using same
KR20200130121A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Chemical source vessel with dip tube
KR20200130118A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Method for Reforming Amorphous Carbon Polymer Film
KR20200130652A (en) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. Method of depositing material onto a surface and structure formed according to the method
JP2020188255A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (en) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system including a gas detector
KR20200143254A (en) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (en) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. Temperature control assembly for substrate processing apparatus and method of using same
JP2021015791A (en) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. Plasma device and substrate processing method using coaxial waveguide
CN112216646A (en) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 Substrate supporting assembly and substrate processing device comprising same
KR20210010307A (en) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210010816A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Radical assist ignition plasma system and method
KR20210010820A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Methods of forming silicon germanium structures
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (en) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 Method of forming topologically controlled amorphous carbon polymer films
TW202113936A (en) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
CN112309899A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112309900A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (en) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 Liquid level sensor for chemical source container
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (en) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. Production apparatus of mixed gas of film deposition raw material and film deposition apparatus
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (en) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for forming a structure with a hole
KR20210024420A (en) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (en) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. Methods for selective deposition using a sacrificial capping layer
KR20210029663A (en) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (en) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process
TW202129060A (en) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 Substrate processing device, and substrate processing method
KR20210043460A (en) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. Method of forming a photoresist underlayer and structure including same
KR20210045930A (en) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. Method of Topology-Selective Film Formation of Silicon Oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (en) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (en) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (en) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (en) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885692A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885693A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
JP2021090042A (en) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. Substrate processing apparatus and substrate processing method
KR20210070898A (en) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (en) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. Methods for filling a gap feature on a substrate and related semiconductor structures
KR20210095050A (en) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. Method of forming thin film and method of modifying surface of thin film
TW202130846A (en) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 Method of forming structures including a vanadium or indium layer
TW202146882A (en) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method of verifying an article, apparatus for verifying an article, and system for verifying a reaction chamber
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (en) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method for growing phosphorous-doped silicon layer and system of the same
JP2021136397A (en) * 2020-02-28 2021-09-13 川崎重工業株式会社 Board holding hand and board transfer robot
KR20210116249A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. lockout tagout assembly and system and method of using same
KR20210116240A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. Substrate handling device with adjustable joints
KR20210117157A (en) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. Method for Fabricating Layer Structure Having Target Topological Profile
JP7394006B2 (en) * 2020-03-31 2023-12-07 本田技研工業株式会社 Progressive press equipment and fuel cell separator manufacturing equipment
KR20210124042A (en) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. Thin film forming method
TW202146689A (en) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 Method for forming barrier layer and method for manufacturing semiconductor device
TW202145344A (en) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 Apparatus and methods for selectively etching silcon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
CN113555279A (en) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 Method of forming vanadium nitride-containing layers and structures including the same
KR20210132605A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Vertical batch furnace assembly comprising a cooling gas supply
KR20210134226A (en) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. Solid source precursor vessel
KR20210134869A (en) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Fast FOUP swapping with a FOUP handler
KR20210141379A (en) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. Laser alignment fixture for a reactor system
KR20210143653A (en) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210145078A (en) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Structures including multiple carbon layers and methods of forming and using same
TW202201602A (en) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing device
TW202218133A (en) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method for forming a layer provided with silicon
TW202217953A (en) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing method
KR20220010438A (en) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. Structures and methods for use in photolithography
TW202204662A (en) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 Method and system for depositing molybdenum layers
TW202212623A (en) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 Method of forming metal silicon oxide layer and metal silicon oxynitride layer, semiconductor structure, and system
JP2022041221A (en) * 2020-08-31 2022-03-11 東京エレクトロン株式会社 Control method of substrate transfer system and substrate transfer system
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (en) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing material on stepped structure
TW202217037A (en) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing vanadium metal, structure, device and a deposition assembly
CN112249685B (en) * 2020-10-27 2022-04-01 光驰科技(上海)有限公司 Mechanism for carrying out rapid alternate carrying of substrates and carrying method thereof
TW202223136A (en) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 Method for forming layer on substrate, and semiconductor processing system
KR20220076343A (en) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. an injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (en) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2699883B2 (en) * 1994-08-31 1998-01-19 日本電気株式会社 Exposure equipment
US5955858A (en) * 1997-02-14 1999-09-21 Applied Materials, Inc. Mechanically clamping robot wrist
JP2000100920A (en) * 1998-09-18 2000-04-07 Hitachi Ltd Wafer holding device
JP2002127063A (en) * 2000-10-23 2002-05-08 Meikikou:Kk Carrying device
KR20040010280A (en) * 2002-07-22 2004-01-31 어플라이드 머티어리얼스, 인코포레이티드 High temperature substrate transfer robot
JP4277100B2 (en) * 2002-11-14 2009-06-10 東京エレクトロン株式会社 Reference position correction apparatus and reference position correction method for transport mechanism
US7505832B2 (en) * 2003-05-12 2009-03-17 Applied Materials, Inc. Method and apparatus for determining a substrate exchange position in a processing system
JP2006351883A (en) * 2005-06-16 2006-12-28 Tokyo Electron Ltd Substrate conveyance mechanism and processing system
JP4534886B2 (en) * 2005-07-15 2010-09-01 東京エレクトロン株式会社 Processing system
KR100832772B1 (en) * 2006-05-22 2008-05-27 주식회사 나온테크 Semiconductor material handling system
US9437469B2 (en) * 2007-04-27 2016-09-06 Brooks Automation, Inc. Inertial wafer centering end effector and transport apparatus
JP5005428B2 (en) * 2007-05-31 2012-08-22 株式会社アルバック Substrate transport method and substrate transport apparatus
JP4837642B2 (en) * 2007-09-26 2011-12-14 東京エレクトロン株式会社 Substrate transport position alignment method, substrate processing system, and computer-readable storage medium
US7963736B2 (en) * 2008-04-03 2011-06-21 Asm Japan K.K. Wafer processing apparatus with wafer alignment device
JP2010098130A (en) * 2008-10-16 2010-04-30 Hirata Corp End effector
JP5208800B2 (en) * 2009-02-17 2013-06-12 東京エレクトロン株式会社 Substrate processing system and substrate transfer method

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2021080706A1 (en) * 2019-10-23 2021-04-29 Eugenus, Inc. Systems and methods of placing substrates in semiconductor manufacturing equipment
US11328944B2 (en) 2019-10-23 2022-05-10 Eugenus, Inc. Systems and methods of placing substrates in semiconductor manufacturing equipment

Also Published As

Publication number Publication date
TW201327711A (en) 2013-07-01
US20130180448A1 (en) 2013-07-18
JP2013042112A (en) 2013-02-28

Similar Documents

Publication Publication Date Title
JP5940342B2 (en) Substrate transport apparatus, substrate processing system, substrate transport method, and storage medium
KR20130009700A (en) Substrate transfer device, substrate processing system, substrate transfer method, and storage medium
US7547209B2 (en) Vertical heat treatment system and automatic teaching method for transfer mechanism
JP4993614B2 (en) Teaching method for conveying means, storage medium, and substrate processing apparatus
JP4451854B2 (en) Vertical heat treatment apparatus and control method of transfer mechanism in vertical heat treatment apparatus
US8167521B2 (en) Substrate transfer apparatus and vertical heat processing apparatus
JP5516482B2 (en) Substrate transport method, substrate transport apparatus, and coating and developing apparatus
KR101245464B1 (en) Heat treatment apparatus, heat treatment method and storage medium
JP6063716B2 (en) Substrate processing apparatus and substrate transfer method
TWI309070B (en)
JP5231274B2 (en) Industrial robot
WO2005091355A1 (en) Method for detecting transfer shift of transfer mechanism and semiconductor processing equipment
JP5303301B2 (en) Industrial robot
JP4835839B2 (en) Transfer robot and position correction method for transfer robot
WO2004084297A1 (en) Method of conveyance positioning for workpiece processing systems and, workpiece processing system
JP4837642B2 (en) Substrate transport position alignment method, substrate processing system, and computer-readable storage medium
CN113165169A (en) Substrate transfer robot and substrate transfer method
JP4922915B2 (en) Substrate processing apparatus and substrate alignment method
JP2009049200A (en) Substrate processing apparatus, substrate processing method and storage medium
JP3965131B2 (en) Substrate processing equipment
KR200436002Y1 (en) Dual arm robot
US20090092470A1 (en) End effector with sensing capabilities
US20110218663A1 (en) Pre-aligner apparatus
KR20220090424A (en) Substrate transfer apparatus, substrate processing system and substrate processing method
JP4976811B2 (en) Substrate processing system, substrate transfer apparatus, substrate transfer method, and recording medium

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20150121

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20150820

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20150901

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20151029

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20160419

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20160518

R150 Certificate of patent or registration of utility model

Ref document number: 5940342

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250