JP5792918B2 - 高集積メモリデバイス - Google Patents

高集積メモリデバイス Download PDF

Info

Publication number
JP5792918B2
JP5792918B2 JP2002520307A JP2002520307A JP5792918B2 JP 5792918 B2 JP5792918 B2 JP 5792918B2 JP 2002520307 A JP2002520307 A JP 2002520307A JP 2002520307 A JP2002520307 A JP 2002520307A JP 5792918 B2 JP5792918 B2 JP 5792918B2
Authority
JP
Japan
Prior art keywords
layer
region
charge storage
source
vertical semiconductor
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP2002520307A
Other languages
English (en)
Other versions
JP2004507091A (ja
JP2004507091A5 (ja
Inventor
トーマス・エイチ・リー
ビベク・スブラマニアン
ジェイムズ・エム・クリーブズ
アンドリュー・ジェイ・ウォーカー
クリストファー・ペティ
イゴール・ジー・コウズネトゾフ
マーク・ジー・ジョンソン
ポール・エム・ファームウォルド
ブラッド・ハーナー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
SanDisk 3D LLC
Original Assignee
SanDisk 3D LLC
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by SanDisk 3D LLC filed Critical SanDisk 3D LLC
Publication of JP2004507091A publication Critical patent/JP2004507091A/ja
Publication of JP2004507091A5 publication Critical patent/JP2004507091A5/ja
Application granted granted Critical
Publication of JP5792918B2 publication Critical patent/JP5792918B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B43/23EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B43/27EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C16/00Erasable programmable read-only memories
    • G11C16/02Erasable programmable read-only memories electrically programmable
    • G11C16/04Erasable programmable read-only memories electrically programmable using variable threshold transistors, e.g. FAMOS
    • G11C16/0466Erasable programmable read-only memories electrically programmable using variable threshold transistors, e.g. FAMOS comprising cells with charge storage in an insulating layer, e.g. metal-nitride-oxide-silicon [MNOS], silicon-oxide-nitride-oxide-silicon [SONOS]
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C16/00Erasable programmable read-only memories
    • G11C16/02Erasable programmable read-only memories electrically programmable
    • G11C16/06Auxiliary circuits, e.g. for writing into memory
    • G11C16/10Programming or data input circuits
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C16/00Erasable programmable read-only memories
    • G11C16/02Erasable programmable read-only memories electrically programmable
    • G11C16/06Auxiliary circuits, e.g. for writing into memory
    • G11C16/10Programming or data input circuits
    • G11C16/14Circuits for erasing electrically, e.g. erase voltage switching circuits
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C16/00Erasable programmable read-only memories
    • G11C16/02Erasable programmable read-only memories electrically programmable
    • G11C16/06Auxiliary circuits, e.g. for writing into memory
    • G11C16/26Sensing or reading circuits; Data output circuits
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C16/00Erasable programmable read-only memories
    • G11C16/02Erasable programmable read-only memories electrically programmable
    • G11C16/06Auxiliary circuits, e.g. for writing into memory
    • G11C16/34Determination of programming status, e.g. threshold voltage, overprogramming or underprogramming, retention
    • G11C16/3418Disturbance prevention or evaluation; Refreshing of disturbed memory data
    • G11C16/3427Circuits or methods to prevent or reduce disturbance of the state of a memory cell when neighbouring cells are read or written
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76819Smoothing of the dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8221Three dimensional integrated circuits stacked in different levels
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • H01L27/0688Integrated circuits having a three-dimensional layout
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1203Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0607Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions for preventing surface leakage or controlling electric field concentration
    • H01L29/0611Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions for preventing surface leakage or controlling electric field concentration for increasing or controlling the breakdown voltage of reverse biased devices
    • H01L29/0615Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions for preventing surface leakage or controlling electric field concentration for increasing or controlling the breakdown voltage of reverse biased devices by the doping profile or the shape or the arrangement of the PN junction, or with supplementary regions, e.g. junction termination extension [JTE]
    • H01L29/0626Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions for preventing surface leakage or controlling electric field concentration for increasing or controlling the breakdown voltage of reverse biased devices by the doping profile or the shape or the arrangement of the PN junction, or with supplementary regions, e.g. junction termination extension [JTE] with a localised breakdown region, e.g. built-in avalanching region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0661Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body specially adapted for altering the breakdown voltage by removing semiconductor material at, or in the neighbourhood of, a reverse biased junction, e.g. by bevelling, moat etching, depletion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/1604Amorphous materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42324Gate electrodes for transistors with a floating gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42324Gate electrodes for transistors with a floating gate
    • H01L29/42332Gate electrodes for transistors with a floating gate with the floating gate formed by two or more non connected parts, e.g. multi-particles flating gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42364Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the insulating layer, e.g. thickness or uniformity
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • H01L29/513Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being perpendicular to the channel plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/518Insulating materials associated therewith the insulating material containing nitrogen, e.g. nitride, oxynitride, nitrogen-doped material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66825Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a floating gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66833Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a charge trapping gate insulator, e.g. MNOS transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/70Bipolar devices
    • H01L29/72Transistor-type devices, i.e. able to continuously respond to applied control signals
    • H01L29/739Transistor-type devices, i.e. able to continuously respond to applied control signals controlled by field-effect, e.g. bipolar static induction transistors [BSIT]
    • H01L29/7391Gated diode structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78642Vertical transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/788Field effect transistors with field effect produced by an insulated gate with floating gate
    • H01L29/7881Programmable transistors with only two possible levels of programmation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/788Field effect transistors with field effect produced by an insulated gate with floating gate
    • H01L29/7889Vertical transistors, i.e. transistors having source and drain not in the same horizontal plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/792Field effect transistors with field effect produced by an insulated gate with charge trapping gate insulator, e.g. MNOS-memory transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/792Field effect transistors with field effect produced by an insulated gate with charge trapping gate insulator, e.g. MNOS-memory transistors
    • H01L29/7926Vertical transistors, i.e. transistors having source and drain not in the same horizontal plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/86Types of semiconductor device ; Multistep manufacturing processes therefor controllable only by variation of the electric current supplied, or only the electric potential applied, to one or more of the electrodes carrying the current to be rectified, amplified, oscillated or switched
    • H01L29/861Diodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/86Types of semiconductor device ; Multistep manufacturing processes therefor controllable only by variation of the electric current supplied, or only the electric potential applied, to one or more of the electrodes carrying the current to be rectified, amplified, oscillated or switched
    • H01L29/861Diodes
    • H01L29/8616Charge trapping diodes
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B20/00Read-only memory [ROM] devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B20/00Read-only memory [ROM] devices
    • H10B20/60Peripheral circuit regions
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/20Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/20Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B41/23Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B41/27Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/30Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the memory core region
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/40Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the peripheral circuit region
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/60Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates the control gate being a doped region, e.g. single-poly memory cell
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/10EEPROM devices comprising charge-trapping gate insulators characterised by the top-view layout
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/30EEPROM devices comprising charge-trapping gate insulators characterised by the memory core region
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/40EEPROM devices comprising charge-trapping gate insulators characterised by the peripheral circuit region
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B69/00Erasable-and-programmable ROM [EPROM] devices not provided for in groups H10B41/00 - H10B63/00, e.g. ultraviolet erasable-and-programmable ROM [UVEPROM] devices
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C2211/00Indexing scheme relating to digital stores characterized by the use of particular electric or magnetic storage elements; Storage elements therefor
    • G11C2211/56Indexing scheme relating to G11C11/56 and sub-groups for features not covered by these groups
    • G11C2211/561Multilevel memory cell aspects
    • G11C2211/5612Multilevel memory cell with more than one floating gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B43/23EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Geometry (AREA)
  • Semiconductor Memories (AREA)
  • Non-Volatile Memory (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)
  • Thin Film Transistor (AREA)

Description

(関連出願)
本出願は、2001年3月6日付けで出願された米国特許出願第09/801,233号の一部継続出願であり、この出願は、2000年12月21日付けで出願された米国特許出願第09/745,125号の一部継続出願であり、これら両方の特許出願は一体のものとしてここに統合される。本出願は、同様に、2000年8月14日付けで出願された米国特許出願第09/639,579号の一部継続出願であり、この特許出願は一体のものとしてここに統合される。本出願は、同様に、2000年8月14日付けで出願された米国特許出願第09/639,702号の一部継続出願であり、この特許出願は一体のものとしてここに統合される。本出願は、同様に、2000年8月17日付けで出願された米国特許出願第09/639,749号の一部継続出願であり、この特許出願は一体のものとしてここに統合される。さらに本出願は、2001年3月28日付けで出願された仮米国特許出願60/279,855号の優先権を主張し、この仮特許出願は一体のものとしてここに統合される。
(発明の背景)
1.発明の分野
本発明は、一般に、半導体デバイスに関し、とりわけ3次元TFTアレイに関する。
2.関連技術の説明
集積回路およびコンピュータの機能が向上するにつれ、大容量のデータを記憶する機能を必要とする新しいアプリケーションが開発されている。データを書きこみ、消去できる機能、およびデータを揮発させないように記憶する機能を有するメモリを必要とするアプリケーションもある。1メガバイト当たりの半導体メモリの価格を1(US)ドルよりも安価にすることにより、利用可能なアプリケーションが数多くある。例えば、(1)写真画像を記録するための化学的フィルム、(2)配布用の音楽データおよびテキストデータを記録するためのコンパクトディスク(CD)、(3)配布用のビデオデータおよびマルチメディアデータを記録するためのデジタル多用途ディスク(DVD)、および(4)視聴者がオーディオおよびビデオデータを記録するためのデジタルオーディオテープおよびビデオテープなどが挙げられる。こうしたメモリは、不揮発性であって、アーカイブに収容され、その中に記録された情報を実質的に全く破壊されないように維持しながら、約10年以上の期間、本体装置およびすべての電源から取り外しておくことができる。こうした要請は、CD、DVD、磁気テープ、およびほとんどの形態の写真用フィルムが長期間に亙ってデータ保持することに対応している。
こうしたメモリは、現在のところ、フラッシュメモリおよびEEPROMなどの電気的に消去可能な不揮発性メモリとして構成される。残念ながら、これらのデバイスは、通常、単結晶性シリコン基板内に形成され、すなわち2次元の記憶デバイスアレイに限定される。したがって、記憶可能なデータ量は、シリコンの単一平面内に形成可能なデバイスの数に限定されてしまう。
また、誘電層に捕獲された電荷を利用する不揮発性メモリを製造することが知られている。通常、電子は、例えば、窒化膜を通って電流をトンネリングさせる(ポテンシャル障壁を通り抜ける)ことにより、窒化シリコン膜内に捕獲される。窒化シリコンは、電界効果型トランジスタのチャンネルから絶縁されたゲートの間に形成される。捕獲された電荷により、トランジスタの閾値電圧がシフトし、電荷が窒化膜内に捕獲されているかどうかを判断するために、この閾値電圧を検出する。こうしたメモリの具体例として、米国特許第5,768,192号を参照されたい。
B.Eitanに付与された米国特許第5,768,192号、およびB.Eitanらによる「NROM: A Novel Localized Trapping, 2-Bit Nonvolatile Memory Cell」と題する技術論文(IEEE EIectron Device Letters, Vol. 21, No .11, Nov. 2000, pp. 543-545)によれば、酸化物(Oxide)−室化物(Nitride)−酸化物(Oxide)のONO積層物からなる電荷記憶窒化膜内に非対称的に電荷を捕獲して、1つのセル内に2ビットを記憶する不揮発性半導体メモリセルが開示されている。このセルは、ドレイン接合部の上方にある電荷記憶層内ホットエレクトロンを注入することにより書き込まれる。このセルは、書き込まれたのと反対方向において読み出され、すなわちドレインを接地して、ソースとゲートに電圧にかける。メモリセルは、p型シリコン基板として構成される。しかし、このシリコン(silicon)−酸化物(oxide)−窒化物(nitride)−シリコン(silicon)のSONOC1TCメモリは、1ビット当たり2.5Fのセル領域を有する(ここでFは最小フィーチャサイズである。)NOR仮想グランドアレイとして形成される。このセル領域は、必要とするものより大きく、セル密度が最適のものよりも芳しくない。
先行技術による負性抵抗デバイスが広く知られている。これらのデバイスは、1972年に発見され、「Thin-MIS-Structure Si Negative-Resistance Diode」と題する論文(Applied Physics Letters, Volume 20, No. 8 pp 269-, 15 April 1972)に開示されている。この論文に記載されているデバイスは、図96に示すダイオード5510などのPN接合ダイオード、およびこのダイオードのn型領域上に積層された図96に示す酸化領域5511などの酸化薄膜領域である。このデバイスは、図97に示す負性抵抗を有するスイッチング現象を示す。ダイオードに印加される順方向電圧が増大するとき、電圧が最初にポイント5512に示す電圧に達するまで微小な電流が流れ、デバイスは、このポイントにおいて負性抵抗を示す。ここから図97の領域5513に示すように、幾分ダイオードのような特性を示す。このスイッチング特性を用いて、米国特許第5,535,156号および6,015,738号に開示されているように、静的メモリセル(フリップフロップ)を製造することができる。さらに、このデバイスの基本的動作は、極性を議論する際に誤った説明があるが、Sze著「The Physics of Semiconductor Devices, (2nd edition, Chapter 9.5, pp. 549-553)」に開示されている。
図96に示すデバイスは、PN接合ダイオードおよび酸化薄膜領域から構成されている。n−領域および酸化物領域における電圧降下が均衡し、ダイオード接合電圧が印加電圧の一部であることから、このダイオードが順方向バイアスされた最初の段階では、極めて微小な電流が流れる。p領域からn−領域へ注入されたホールの数が十分に少ないので、(ホールの流れに対向する不要な障壁があるにも拘わらず、)酸化物を流れるトンネル電流により、n−領域をn−型領域のまま維持することができる。同様に、空乏領域において形成されたすべてのホールは酸化物薄膜を通過し、形成されたすべての電子は、p領域全体に亙って一掃され、アノードコンタクトに排出される。
順方向の印加電圧が増大すると、閾値電圧に近づくときの通常のMOSFETと全く同様に、n−領域が酸化物の界面において枯渇する。十分高い電圧において、空乏領域は、パンチスルーが生じるように接合部のあらゆる方向に広がり、相当量のホールがp領域からn−層へ注入される。ホールは、酸化物を十分に流れることができず、その結果、表面付近において蓄積される。すると、n−領域は、酸化物の界面近くにおいてより強力に反転し、酸化物における電圧降下が増大する。V=Q/Cが想起される。酸化物を流れる電子トンネル電流は、超指数関数的なファクタにより増大し、ダイオード全体における順方向バイアスおよび電流が増大する。これと同時に、ホールがn−領域に溢れ、導電性を高め、電圧降下を低減する。ダイオード全体に亙る電圧は、比較的に小さい(そして電流は大きく変化するがほとんど変化しない)ので、n−領域の電圧降下が相当に小さくなることにより、(デバイスが破壊しないように、適当な直列抵抗を回路内に配置した場合、)全体の構造物における電圧が劇的に低減する。これまで説明したような動作が反復することにより、電流が急激に増大し、電圧がたちまち降下する。先に引用した特許で開示されたSRAMセルを構成するために用いられたものがこの負性抵抗領域である。
より高い電流レベルにおいて、最終的に、PN接合に亙るほとんどの電圧が降下するとき、このデバイスは、本質的に、通常の順方向バイアスダイオードのように振舞う。全体的に、この構造物のV−I特性は図97に示す通りであり、領域5513の勾配は、主に、図96に示す構造体に接続される直列抵抗により決定される。
逆バイアスが付加されたとき、ダイオードは阻止状態にあり、酸化物を流れる電流は電子漏れ電流である。逆接合電圧は、酸化物領域に亙って降下するので、付加電圧の一部でしかない。なお、逆バイアスおよび強い順バイアスの両方において、電子が酸化物を流れる電流を搬送することに留意されたい。
先行技術による別の種類のメモリデバイスが、「A Novel Cell Structure for Giga-bit EPROMs and Flash Memories Using Polysilicon Thin Transistors」と題するS.Koyamaの技術論文(1992 Symposium on VLSI Technology DIgest of Technical Papers, pp. 44-45)に開示されている。図98に示すように、各メモリセルは、「自己整合された」フローティングゲートセルであり、多結晶性シリコンからなる薄膜トランジスタを有し、絶縁膜上に形成された電気的に消去可能でプログラム可能な読み出し専用メモリ(TFT EEPROM)である。このデバイスにおいて、ビットラインは、ソース−チャンネル−ドレイン方向と平行な方向に延びる(すなわちビットラインは、電荷キャリアフロー方向と平行に延びる。)ワードラインは、ソース−チャンネル−ドレイン方向とは垂直な方向に延びる(すなわちワードラインは、電荷キャリアフロー方向とは垂直な方向に延びる。)このTFT EEPROMは、個別の制御ゲートを有さない。その代わりに、ワードラインは、フローティングゲート上の重なる領域において、制御ゲートとして機能する。
Koyamaのレイアウトによれば、各TFTのソース領域およびドレイン領域との接触を得るため、2つのポリサイドの接触パッドが必要となる。ビットラインは、ワードラインの上方に形成され、ビットラインをワードラインから分離するための内部絶縁層における接触ビアを介して接触パッドと接触する。したがって、接触パッドおよび接触ビアのそれぞれは、自己整合されないフォトリソグラフィの製造ステップを用いてパターン形成されるため、このレイアウトにおけるセルのそれぞれは、完全に自己整合されるわけではない。したがって、各メモリセルは、所望するより大きい面積を有し、セル集積度を最適化することができない。また、Koyamaのメモリセルは、接触パッドを形成し、ビットラインをビアと接触させる必要があるので、製造する上で複雑である。さらに、下層部におけるトポグラフィが平坦でないため、ビットラインおよびワードラインが平坦でない表面を有するので、Koyamaのデバイスの製造歩留まりは最適であるとはいえない。これにより、ビットラインおよびワードラインにおいて回路が断線する可能性がある。
結晶性シリコンの不揮発性メモリに対する仮想グランドアレイによるアプローチは、ここしばらくの間に広く知られたものとなり、メモリセルの大きさを相当に小さくできる有効な方法である。ここで図99を参照すると、基本的アプローチは、単結晶性シリコンのp型基板5614内のn+拡散埋込層5612内にあるビットライン、および基板5614の上方に積層されたポリシリコンのレール5616からなるワードラインの交差ポイントアレイ5610を用いている。隣接するビットライン5612、およびこれらの間に積層されたp型チャンネル領域5618により、トランジスタが形成される。ゲート酸化層5620は、チャンネル5618の上方に配置され、例えば、ポリシリコンで構成されるフローティングゲート5622を絶縁する。上部誘電体層5624は、フローティングゲート5622をポリシリコン製のワードライン(WL)5616から絶縁する。
「仮想グランド」とは、専用のグランドラインがアレイ内に存在しないということを意味する。セルが読み出しまたは書き込み時に選択された場合、埋め込まれた一対のn+ビットライン(BL)がソース、および接地されたソースに対するドレインとなる。例えば、図100に図示するセル5624を選択するために、BL(k)とBL(k+1)がソースおよびドレイン(逆も同様)として選択され、WL(j)がこのデバイスの制御ゲートとして選択される。1つのアプローチにおいて、図100に示すBL(k)の左側にあるすべてのビットラインをBL(k)と同じ電位に維持し、BL(k+1)の右側にあるすべてのビットラインをBL(k+1)と同じ電位に維持することにより(他のすべてのWLを接地して)、ソース−ドレイン電流は(読み出しまたは書き込み時)、選択されたセルにおいてのみ流れるようにする。
これらのすべてのアプローチにおいて、電荷記憶媒体は、ドープされたポリシリコンで構成された導電性フローティングゲートである。ホットエレクトロンを注入するプログラム(典型的なすべてのEPROM(消去可能でプログラム可能な読み出し専用メモリ)および単一トランジスタを有するフラッシュメモリセルを選択する方法)により、電子がフローティングゲートに注入され、MOSトランジスタの固有の閾値電圧を変化させる。
これまで説明したSONOS(ポリシリコン阻止層、酸化窒化トンネル層、シリコン酸化膜)の電荷を捕獲するアプローチは、図101に示す仮想グランドアレイ構造体5626に配置された不揮発性MTPメモリのための実行可能な候補として再び脚光を浴びてきた。このアレイは、単結晶シリコン基板5614内に配置されたn+埋込ビットライン5612を有する。ONO(酸化物−室化物−酸化物)の誘電体積層物5628は、ビットライン5612をポリシリコン製のワードライン5630から絶縁する。ホットエレクトロンは、書き込み時、ドレインの端部に近接するONO誘電体積層物5628に注入され、電荷が窒化膜内に捕獲される。この手法を用いると、ドレイン端部に書き込む際、ホットエレクトロンはONO誘電体積層物に注入されるので、1つのメモリセルに対して2ビットを記憶させることができる。窒化物による電荷記憶媒体は、水平方向に導通しないので、電荷は注入されたところに留まる。トランジスタのソース付近に捕獲された電荷は、トランジスタの閾値電圧に大きな影響を与え、トランジスタのドレイン付近に捕獲された電荷は、トランジスタの閾値電圧にあまり影響を与えない。したがって、ONO層のいずれか一方側の上にある独立した電荷領域において、セルに対するドレインおよびソースを単に反転させるだけで、書き込みおよび読み出しが可能となる。セルが書き込まれる場合、電荷はドレインに最も近い領域に注入される。この同じセルに対してソースとドレインを反転させると、別の電荷を同じセルの「他方の」ドレインに注入することができる。同様に、両方側を読み出すことができる。こうして、1つのセルに対して2ビットを記憶させ、読み出すことができる。
これまで説明した先行技術によるデバイスは、集積度が最適化されていないので、比較的に高価なものとなる。
(発明の要約)
本発明の好適な実施形態によれば、複数のデバイス階層を含む電荷記憶デバイスのモノリシック3次元アレイを有する半導体デバイスであって、2つの連続するデバイス階層間の少なくとも1つの面が、化学機械的な研磨により平坦化される半導体デバイスを提供することができる。
本発明の別の好適な実施形態によれば、電荷記憶デバイスのモノリシック3次元アレイは、単結晶性半導体基板の上方にあるアモルファス半導体層または多結晶性半導体層内に形成され、駆動回路は、少なくとも部分的に、アレイの下方、内部、または上方に形成される。
本発明の別の好適な実施形態のメモリデバイスは、基板の第1平面の上またはその上方に形成された第1の入力/出力導電層を有する。このメモリデバイスは、同様に、第2の入力/出力導電層を有する。半導体領域が、第1および第2の入力/出力導電層の間で、これらの投影上の交差点に形成される。このメモリデバイスは、電荷記憶媒体を有し、電荷記憶媒体内に蓄積される電荷が、第1および第2の入力/出力導電層の間に流れる電流量に影響を与える。
本発明の別の好適な実施形態によれば、nドープ領域と、pドープ領域と、これら2つの領域の間に配置された記憶要素とを有する不揮発性の読み出し・書き込みメモリセルが提供される。
本発明の別の好適な実施形態によれば、セルをプログラムするために領域内に電荷を捕獲するステップと、データをセルから読み出す際、電流を領域に流すステップとを有する、メモリセルを動作させる方法が提供される。
本発明の別の好適な実施形態によるメモリセルアレイは、少なくとも1つの半導体領域と、電荷を捕獲するための記憶手段とを有する複数のセルとを備える。このアレイは、同様に、半導体領域とセルの記憶手段に流れる電流を制御するための制御手段を有する。
本発明の別の好適な実施形態によれば、不揮発性の積層可能な柱状メモリデバイス、およびその製造方法が提供される。このメモリデバイスは、第1の平面を有する基板を有する。第1のコンタクトが基板の平面の上または上方に形成される。本体部は、第1のコンタクト上に形成される。第2のコンタクトが本体郡上に形成され、第1のコンタクトの上方で少なくとも部分的に位置合わせされる。制御ゲートが電荷記憶媒体に隣接して形成される。読み出し電流が、第1および第2のコンタクトの間を基板の平面に対して垂直な方向に流れる。
本発明の別の好適な実施形態によれば、ソースと、ドレインと、チャンネルと、ゲートと、ゲートおよびチャンネルの間にある少なくとも1層の絶縁層と、ソース−ドレイン−チャンネルの方向に対して実質的に平行に延び、ゲートと接触し、ゲートに対して自己整合されたゲートラインとを備えた電界効果型トランジスタが提供される。
本発明の別の好適な実施形態によれば、3次元不揮発性デバイスアレイが提供され、このアレイは、垂直方向に分離された複数のデバイス階層であって、各デバイス階層は、チャンネル領域、ソース領域、およびドレイン領域をそれぞれ含むTFT EEPROMのアレイと、チャンネル領域に隣接した電荷記憶領域とを有するデバイス階層を有する。さらに、このアレイは、各デバイス階層において、TFT EEPROMのソース領域またはドレイン領域と接触する複数のビットライン列と、各デバイス階層における複数のワードライン行と、デバイス階層間に配置された少なくとも1層の中間絶縁層とを有する。
本発明の別の好適な実施形態によるEEPROMは、チャンネルと、ソースと、ドレインと、チャンネルの上方に配置されたトンネル誘電層と、トンネル誘電層の上方に配置されたフローティングゲートと、フローティングゲートの側壁に隣接して配置された側壁スペーサと、フローティングゲートの上方に配置されたワードラインと、制御ゲートと、フローティングゲートの間に形成された制御ゲート誘電層とを備える。制御ゲート誘電層は、側壁スペーサの上方に配置される。
本発明の別の好適な実施形態によれば、不揮発性メモリセルアレイが提供される。各メモリセルは、半導体デバイスを有し、各メモリセルの1ビット当たりのセルサイズは、Fが最小フィーチャサイズで、Nが1以上の第3の方向におけるデバイス階層数であるとき、約2F/Nである。
本発明の別の好適な実施形態によれば、EEPROMの製造方法が提供される。この方法は、半導体活性領域を形成するステップと、活性領域の上方に電荷記憶領域を形成するステップと、電荷記憶領域の上方に導電性ゲート層を形成するステップと、電荷記憶領域に重なる制御ゲートを形成するために、ゲート層をパターン形成するステップと、活性領域にソース領域およびドレインを形成するために、制御ゲートをマスクとして用いて、活性領域にドーピングするステップと、制御ゲートの上方で、これに隣接する第1の絶縁層を形成するステップと、フォトリソグラフィマスクを用いることなく、制御ゲートの上部部分を露出させるステップと、ワードラインが制御ゲートに対して自己整合されるように、制御ゲートの露出した上部部分と接触するワードラインを形成するステップとを有する。
本発明の別の好適な実施形態によれば、EEPROMの製造方法が提供される。この方法は、半導体活性領域を形成するステップと、活性領域の上方にトンネル誘電層を形成するステップと、トンネル誘電層の上方に導電性ゲート層を形成するステップと、トンネル誘電層に重なるフローティングゲートを形成するために、ゲート層をパターン形成するステップと、活性領域にソース領域およびドレイン領域を形成するために、フローティングゲートをマスクとして用いて、活性領域にドーピングするステップと、フローティングゲートの側壁に隣接して側壁スペーサを形成するステップと、ソース領域およびドレイン領域の上方にある側壁スペーサの上方に、これに隣接して第1の絶縁層を形成するステップと、フローティングゲートの上方に制御ゲート誘電層を形成するステップと、制御ゲート誘電層と第1の絶縁層の上方にワードラインを形成するステップとを有する。
本発明の別の好適な実施形態によれば、不揮発性メモリアレイの製造方法が提供される。この方法は、半導体活性領域を形成するステップと、活性領域の上方に第1の絶縁層を形成するステップと、第1の絶縁層の上方に複数のゲート電極を形成するステップと、活性領域に複数のソース領域およびドレイン領域を形成し、ソース−ドレイン方向に対して実質的に垂直な方向に延びる複数のビットラインを形成するために、ゲート電極をマスクとして用いて、活性領域にドーピングするステップと、ゲート電極の上方で、これに隣接し、ソース領域、ドレイン領域、およびビットラインの上方に第2の絶縁層を形成するステップと、第2の絶縁層を平坦化するステップと、第2の絶縁層の上方で、ソース−ドレイン方向に対して実質的に平行な方向に延びる複数のワードラインを形成するステップとを有する。
本発明の別の好適な実施形態によれば、EEPROMの製造方法が提供される。この方法は、半導体活性領域を形成するステップと、活性領域の上方に複数のダミー障壁層を形成するステップと、活性領域にソース領域およびドレイン領域を形成するために、ダミー障壁層をマスクとして用いて、活性領域にドーピングするステップと、ダミー障壁層の上方、およびその間に中間絶縁層を形成するステップと、ダミー障壁層の上部部分を露出させるために、中間絶縁層を平坦化するステップと、中間絶縁層の部分の間に複数のビアを形成するために、平坦化された中間絶縁層の一部の間からダミー障壁層を除去するステップと、活性領域の上方で、複数のビア内に電荷記憶領域を形成するステップと、電荷記憶領域の上方に導電性ゲート層を形成するステップと、電荷記憶領域に重なる制御ゲートを形成するために、導電性ゲート層をパターン形成するステップとを有する。
本発明の別の好適な実施形態によれば、TFT EEPROMの製造方法が提供される。この方法は、アモルファスシリコンまたはポリシリコンの活性層、電荷記憶領域、および制御ゲートを含むTFT EEPROMを形成するステップと、結晶化触媒を活性層に接触させるステップと、結晶化触媒を活性層に接触させるステップの後、触媒を用いて、活性層を再結晶化させるために活性層を加熱するステップとを有する。
本発明の別の好適な実施形態によれば、基板の上方に配置された薄膜トランジスタにより構成される2次元または3次元のメモリアレイが提供される。第1の方向に配置された離間した導電体は、第1の方向とは異なる第2の方向に配置されたレール積層物内に形成されたメモリセルと接触する。局在的電荷捕獲媒体は、離間した導電体とレール積層物の交差点において形成された薄膜トランジスタにより注入されたホットエレクトロンを受容し、蓄積する。局在的電荷捕獲媒体を用いて、トランジスタのドレインに隣接して電荷を蓄積することができ、必要ならば、ドレインラインとソースラインを反転させることにより、1メモリセル当たり2ビットを記憶させることができる。メモリを記憶するプログラム方法には悪影響を与えない。
本発明の別の好適な実施形態によれば、基板の上方に形成された不揮発性の薄膜トランジスタ(TFT)のメモリデバイスが提供される。このデバイスは、ソース、ドレイン、および遷移金属結晶化シリコンから形成されるチャンネルを用いる。局在的電荷記憶膜は、チャンネルに隣接して、垂直方向に配置され、注入された電荷を蓄積する。こうしたデバイスからなる2次元または3次元のアレイを基板の上方に構成することができる。第1の方向に配置された離間した導電体は、第1の方向とは異なる第2の方向に配置されたレール積層物内に形成されたメモリセルと接触する。局在的電荷記憶膜は、離間した導電体とレール積層物の交差点において形成されたTFTにより注入された電荷を受容し、蓄積する。局在的電荷記憶膜を用いて、トランジスタのドレインに隣接して電荷を蓄積することができ、必要ならば、ドレインラインとソースラインを反転させることにより、1メモリセル当たり2ビットを記憶させることができる。メモリを記憶するプログラム方法には悪影響を与えない。
本発明の別の好適な実施形態によれば、基板の上方に配置されたフラッシュメモリアレイが提供される。このアレイは、基板から上方に第1の高さで、第1の方向に配置された第1の複数の離間した導電性ビットラインと、第2の高さで、第1の方向とは異なる第2の方向に配置された第2の複数の離間したレール積層物とを備え、各レール積層物は、第1の複数の離間した導電性ビットラインと接蝕する第1の表面を有する複数の半導体島と、導電性ワードラインと、半導体島の第2の表面と、ワードラインの間に配置された電荷記憶領域を有する。
本発明の別の好適な実施形態によれば、TFT CMOSデバイスが提供される。このデバイスは、ゲート電極と、ゲート電極の第1の側面に隣接した第1の絶縁層と、ゲート電極に対して反対側の第1の絶縁層の側面上に配置された第1の導電型を有する第1の半導体層と、第1の半導体層内に配置された第2の導電型を有する第1のソース領域およびドレイン領域と、第1の絶縁層とは反対側の第1の半導体層の側面上に配置され、第1のソース領域およびドレイン領域と接触する第1のソース電極およびドレイン電極と、ゲート電極の第2の側面に隣接した第2の絶縁層と、ゲート電極に対して反対側の第2の絶縁層の側面上に配置された第2の導電型を有する第2の半導体層と、第2の半導体層内に配置された第1の導電型を有する第2のソース領域およびドレイン領域と、第2の絶縁層とは反対側の第2の半導体層の側面上に配置され、第2のソース領域およびドレイン領域と接触する第2のソース電極およびドレイン電極とを備える。
本発明の別の好適な実施形態によれば、複数の電荷記憶デバイスと、複数のアンチヒューズデバイスとを有する回路が提供される。
本発明の別の好適な実施形態による半導体デバイスは、半導体活性領域と、半導体活性領域に隣接した電荷記憶領域と、第1の電極と、第2の電極とを備える。第1および第2の電極の間に第1のプログラム電圧が印加されたとき、電荷が電荷記憶領域に蓄積され、第1および第2の電極の間に第1のプログラム電圧より高い第2のプログラム電圧が印加されたとき、第1および第2の電極の間に導電性経路を形成するために、導電性リンクが電荷記憶領域を貫通して形成される。
(好適な実施形態の詳細な説明)
本発明者は、メモリデバイスおよび論理デバイスの集積度が向上すれば、これらのデバイスの価格が低減するという認識を有していた。そして本発明者により、高い集積度を有し、安価である電荷記憶半導体デバイスの超高密度マトリックスアレイが提供された。
デバイス集積度を向上させる1つの方法は、複数のデバイス階層を有する電荷記憶デバイスのモノリシックな3次元アレイとしてデバイスを構成することである。「モノリシック」という用語は、アレイの各階層を構成する層が、アレイをのそれぞれ下方にある階層の上に直接的に積層されるということを意味する。これとは対照的に、2次元アレイは、独立して形成され、一体にまとめて、モノリシックでないメモリデバイスを構成することができる。
とりわけ4階層以上のこうした3次元アレイを構成するために、連続する2つのデバイス階層の間にある少なくとも1つの表面が化学機械的な研磨(CMP)により平坦化される。エッチバックなどの他の平坦化方法とは対照的に、化学機械的に研磨することにより、それぞれの階層の表面上に市販可能なデバイスを構成する複数のデバイス階層を積層する上で、十分な程度の平坦度を実現することができる。4階層ないし8階層のアレイが構成された場合であっても、化学機械的な研磨により、3次元メモリアレイのステッパ領域において、4000Å以下のオーダの平坦度(すなわち、10ないし50mmのオーダの領域において、4000Å以下のピーク対ピークの表面粗さ)が実現されることを、本発明者は発見した。好適には、CMPにより研磨されたアレイ内の階層が有するピーク対ピークの表面粗さは、ステッパ領域において、3000Å以下、例えば、500ないし1000Åである。対照的に、エッチバックだけでは、通常、市販に耐える適当な3次元メモリアレイまたは3次元論理集積アレイを実現する上で、十分な程度の平坦度が得られない。
例えば、「連続する2つのデバイス階層の間にある少なくとも1つの表面が化学機械的な研磨により平坦化される」という文言は、底部デバイス階層および中間デバイス階層に形成された表面と、これらのデバイス階層間に配置された中間絶縁層の表面を含む。すなわち、アレイの底部デバイス階層および中間デバイス階層のそれぞれに含まれる導電層および/または絶縁層の表面が、化学機械的な研磨により平坦化される。したがって、アレイが少なくとも4つのデバイス階層を有するとき、少なくとも3つのデバイス階層が化学機械的な研磨により平坦化される少なくとも1つの表面を有する。最上部にあるデバイス階層の導電層および/または絶縁層の表面も同様に、化学機械的な研磨により平坦化してもよい。
デバイス集積度を改善するための別の方法は、ドライバ回路または周辺回路をメモリアレイまたは論理アレイに対して垂直方向に集積することである。先行技術において、周辺回路は、単結晶シリコン基板の周辺部に形成され、メモリアレイまたは論理アレイは、周辺回路に隣接する基板の他の部分において形成されていた。つまり、先行技術によるデバイスにおいて、周辺回路は貴重な基板空間を占有していた。これとは異なり、本発明の好適な実施形態は、単結晶性の半導体基板の上に、アモルファスまたは多結晶性の半導体層として形成された電荷記憶デバイスのモノリシックな3次元アレイを提供する。このとき、少なくとも部分的に、好適にはすべてのドライバ回路(つまり周辺回路)が、基板内のこのアレイの下方、アレイの内部、またはアレイの上方に形成される。好適には、ドライバ回路は、基板内のアレイの下方に全部または一部が形成された、少なくとも1つのセンスアンプと電荷ポンプを有する。
図35は、中間絶縁層3102の上方に形成された電荷記憶論理デバイスまたはメモリデバイス3101を概略的に示す。中間絶縁層3102は、単結晶性基板3105の上方に配置されている。電荷記憶論理デバイスまたはメモリデバイス3101は、アモルファス層またはポリシリコン層に形成された薄膜トランジスタまたは薄膜ダイオードによる3次元モノリシックアレイとして構成されている。アレイ3101は、複数のデバイス階層3104を有し、好適には、これらは中間絶縁層により絶縁されている。センスアンプと電荷ポンプなどのドライバ回路3103は、CMOSまたは他のトランジスタとして、単結晶性基板3105の中に配置されている。図36は、アモルファス層またはポリシリコン層内にある薄膜トランジスタまたは薄膜ダイオードとして単結晶性基板3105の上方に配置された電荷記憶論理デバイスまたはメモリデバイス3101のアレイを概略的に示す。センスアンプと電荷ポンプなどのドライバ回路3103は、アレイ3101の内部および/またはアレイ3101の上方に配置されている。
デバイス集積度を改善する別の方法は、自己整合すること、および同じフォトリソグラフィステップで、異なる層をパターン形成することである。異なる層上の形状同士が完全に重なり合うようにするために設定される不良位置合わせ公差により、デバイスセルの面積が拡大してしまう。したがって、本発明者は、不良位置合わせ公差を全く、あるいは殆ど必要としない、完全にまたは部分的に位置合わせされたメモリセル構造体を開発した。こうしたセル構造体において、特定のデバイス形状を他のデバイス形状に対して自ら位置合わせでき、パターン形成するためのフォトリソグラフィステップを必要としない。択一的には、同一のフォトレジストマスクを用いて複数の層をエッチングすしてもよいし、あるいはパターン形成された上層のデバイス層をマスクとして用いて、下層のデバイス層をエッチングしてもよい。位置合わせされたメモリセルの特定の具体例について、以下により詳細に説明する。
アレイの電荷記憶デバイスは、EPROM、EEPROMなどの電荷を蓄積する任意の形式の半導体デバイスであってもよい。以下に詳述する本発明の好適な実施形態において、この電荷記憶デバイスは、柱状(pillar)TFT EEPROM、電荷記憶領域を有する柱状ダイオード、自己整合されたTFT EEPROM、レイル積層物TFT EEPROMなどのさまざまな構造物として構成される。これらの構造物のそれぞれにおいて、アレイの集積度を増大させるために、平坦度と、位置合わせまたは自己整合に関する高い精度がデバイスに与えられる。
例えば、柱状TFT EEPROMまたは電荷記憶領域を有する柱状ダイオードにおいて、半導体活性領域の少なくとも一方の側面がこの半導体活性領域と接続される一方の電極と位置合わせされる。すなわち、柱状TFT EEPROMの構造物において、半導体活性領域は、ソース電極とドレイン電極の両方に位置合わせされる。半導体活性領域の少なくとも2つの側面、および1つの電極が同じフォトリソグラフィステップを実施する際にパターン形成される(すなわち、同じフォトレジストマスクを用いてエッチングするか、1つの層を他層のためのマスクとして用いる)ために、このように位置合わせされる。
自己整合されたTFTにおいて、半導体活性領域の2つの側面は、半導体活性領域のソース領域およびドレイン領域ではなくて、チャンネル領域におけるゲート電極の側面にのみ位置合わせされる。チャンネル領域の少なくとも2つの側面とゲート電極は、同じフォトリソグラフィステップを実施する間にパターン形成される(すなわち、同じフォトレジストマスクを用いてエッチングするか、1つの層を他層のためのマスクとして用いる)ために、このように位置合わせされる。対照的に、ソース領域とドレイン領域はエッチングされない。
以下の説明においては、本発明を完全に理解させるために、特定の膜厚、材料などの数多くの特定の詳細内容を開示する。当業者ならば明らかなことであるが、これら特定の詳細内容を用いることなく、本発明を実施することができる。他の具体例において、本発明を不必要に限定しないようにするため、よく知られた概念、回路、および製造技術について詳細には説明しない。
以下に説明するすべての実施形態の特徴は、別の実施形態において用いることができる。第1群の実施形態は、さまざまな柱状デバイスについて開示し、第2群の実施形態は、自己整合されたTFTデバイスについて開示し、第3群の実施形態は、レイル積層物TFTデバイスについて開示する。第4および第5の実施形態は、これらのデバイスがどのように論理回路またはメモリ回路として用いられるかを開示する。最後の第6の実施形態は、デバイスレベルの結晶性を改善するために、金属誘導結晶化を用いることについて開示する。
1.柱状デバイス
この実施形態は、柱状構造物として(すなわち、基板に対して垂直方向に)構成される(このとき、デバイスの長さは基板に対して垂直方向にある。)薄膜トランジスタ(TFT)および薄膜トランジスタ、およびその製造方法に関する。好適には、この柱状デバイスは、垂直方向の読み出し電流を有する電荷捕獲メモリを構成する。このメモリは、基板平面の直ぐ上または上方に形成された第1の入力/出力導電体と、第1の入力/出力導電体から離間し、その上方に配置された第2の入力/出力導電体とを有する。第1および第2の入力/出力導電体は、重なり合うか、互いに交差し、好適には、互いに垂直に交差するように配置される。ドープされたシリコン領域などの半導体領域は、第1および第2の入力/出力導電体が交差するところで、第1および第2の入力/出力導電体の間に形成される。これに限定しないが、電荷捕獲誘電体などの電荷記憶媒体は、この半導体領域付近において形成され、第1および第2の入力/出力導電体の間に印加された所定の電圧に関連して、第1および第2の入力/出力導電体の間にある半導体領域を流れる電流量に影響を与える。1つの電圧に対して、この半導体領域を流れる電流量(読み出し電流量)を用いて、電荷が電荷記憶媒体に蓄積されたかどうか、すなわちメモリが書き込まれたか、消去されたかを判断することができる。第1および第2の入力/出力導電体の間にある半導体領域を流れる読み出し電流は、基板平面とは垂直な方向に流れる。メモリは、この基板の内部またはその上に形成される。この実施形態の電荷捕獲メモリの構造物、およびその製造方法は、3次元アレイのメモリデバイスに集積化する上で理想的に適したものである。
以下に説明するように、この実施形態による電荷を捕獲するメモリデバイスは、2つの一般的な構造物の一方を用いて製造することができる。第1の実施形態における電荷記憶媒体は、半導体領域に隣接して形成され、第2の実施形態における電荷記憶媒体は、半導体領域の上方または下方に配置されている。
1.隣接する電荷記憶媒体を有する3端子柱状メモリ
本発明の実施形態は、3端子不揮発性積層可能柱状メモリデバイスである。本発明のこの実施形態による柱状メモリデバイス100は、図1Aを用いて大まかに図示されている。柱状メモリデバイス100は、第1の入力/出力導電体(I/O)103の上に形成された第1のコンタクト領域102を有する。第1の入力/出力導電体(I/O)103は、単結晶基板101のx−y平面の直ぐ上またはその上方に形成されている。半導体本体部104が第1のコンタクト領域102上に直接的に形成され、第2のコンタクト領域106が半導体本体部104上に直接的に形成される。第2の入力/出力導電体(I/O)116が第2のコンタクト領域106上に形成される。第1のコンタクト領域102、半導体本体部104、および第2のコンタクト(ソース/ドレイン)領域106は、柱状物108を形成するように垂直方向において互いに位置合わせされる。半導体本体部104に隣接し、接触しているのが、電荷記憶媒体110である。制御ゲート112は、電荷記憶媒体110に隣接し、これと直接的に接触するように形成されている。制御ゲート112および電荷記憶媒体110は、柱状物108と電気的に通信できるように、柱状物108に対して水平方向に隣接するように構成されている。電荷記憶媒体110は、制御ゲート112とこれに接続されるチャンネル領域を電気的に遮断する領域である。
この柱状メモリデバイスが書き込まれた状態か、書き込まれていない状態は、電荷記憶媒体110内に電荷が蓄積されているかどうかにより判断される。制御ゲート112に印加される電圧により、電荷記憶媒体110内に蓄積される電荷を加え、減ずることができるので、半導体本体部104内の導電性チャンネルを形成して、第1のコンタクト領域102と第2のコンタクト(ソース/ドレイン)領域106の間に電流(すなわち、読み出し電流I)を流すために必要な電圧を変化させる。この電圧をVと定義する。半導体本体部104内に導電性チャンネルを形成するために必要な電圧値、または所定の制御ゲート電圧に対して半導体本体部104に流れる電流値を用いて、この柱状メモリデバイスが書き込まれた状態(プログラムされた状態)か、書き込まれていない状態(プログラムされていない状態)にあるかを判断することができる。さらに、蓄積された異なる電荷量により異なるVが生成され、各Vが電荷記憶媒体110の異なる状態を意味するようにして、単一の電荷記憶媒体110に複数のデータビットを記録することができる。電荷記憶媒体110は、複数の状態を有し得るので、複数のビットを単一の電荷記憶媒体110に記憶させることができる。
デバイス100の読み出し操作において、電流114は、導電性チャンネルが半導体本体部104の内部に形成されるとき、基板101のx−y平面に対してz鉛直方向(または垂直方向)に流れる。柱状メモリデバイスは、この基板の上方に形成される。メモリデバイスに「垂直方向の」読み出し電流経路を設けたことにより、ソースおよびドレインを接続するために垂直方向の内部結線手法を用いることなく、互いに対して平行または垂直に延び、基板101の平面に対して平行に延びるソース/ドレイン導電体103および116を有する3次元アレイとして、本発明の柱状メモリセルを容易に積層することができる。制御ゲートに至る導電体112は、(図1Aに示すように)垂直方向、または水平方向に延びていてもよい。
図1Aに示すメモリデバイス100は、電荷記憶媒体110と制御ゲート112を有し、これらは、柱状物108の1つの側面上にしか形成されていない。柱状物108の本体部104全体が、図1Bに示すとおり、単一の電荷記憶媒体110および単一の制御ゲート112により包囲されるように、本発明の柱状メモリデバイスを構成することができる。さらに、柱状物108の各表面は、図1Cに示すとおり、個別に制御される電荷記憶部と制御ゲートを備えていてもよく、その結果、複数のデータビットを本発明の単一の柱状メモリデバイスに記憶させることができる。複数の電荷記憶部と制御ゲートを利用したとき、どの程度チャンネルを充電させるかを判断することにより、単一の柱状メモリデバイス上に複数の値を記憶させることができる。さらに、柱状物108の本体部104の各表面は、異なる不純物濃度を有していてもよく、各表面に対する閾値電圧が異なるように形成して、柱状メモリが追加的な状態、すなわちさらなるビットを記憶できるようにすることができる。
図2は、本発明の実施形態を示し、このとき柱状物207は、第1のソース/ドレインコンタクト領域202を有し、このコンタクト領域は、1×1019ないし1×1020原子数/cmの間、好適には、1×1019ないし1×1021原子数/cmの間の範囲にある不純物濃度を有する高濃度にドープされたn+シリコン層を有する。このn+シリコン層は、第1の入力/出力導電体204(例えば、ビットライン)の上に形成され、第1の入力/出力導電体は、基板201の直ぐ上または上方に形成される。この第1のn+ソース/ドレインコンタクト領域202に直接接触して、その上に形成される本体部は、不純物濃度が1×1016ないし1×1018原子数/cmの間の範囲にある不純物濃度を有する低濃度にドープされたp−シリコン層206である。第2のソース/ドレインコンタクト領域208は、1×1019ないし1×1020原子数/cmの間、好適には、1×1019ないし1×1021原子数/cmの間の範囲にある不純物濃度を有する高濃度にドープされたn+シリコン層からなり、図2に示すように、p−シリコン層206に直接接触して、その上に形成される。第2の入力/出力導電体210(例えば、ワードライン/ビットライン)は、第2のn+ソース/ドレインコンタクト領域208の上に形成される。n+ソース/ドレイン層202および208は、500ないし1000Åの膜厚を有していてもよい。第1および第2の入力/出力導電体204および210は、これに限定しないが、タングステン、チタンシリサイドやタングステンシリサイドなどのシリサイド、または高濃度にドープされたシリコンなどの高い導電性を有する材料で構成することができる。メモリデバイス200において、n+ソース/ドレインコンタクト領域202、p−シリコン本体部、およびn+ソース/ドレインコンタクト領域208のそれぞれは、柱状物207を構成するように、垂直方向において実質的に位置合わせされている。
柱状メモリ200は、図2に示すように、トンネル誘電体212、フローティングゲート214、および制御ゲート誘電体216を含む電荷記憶媒体211を備える。トンネル誘電体は、p型シリコン本体部206に隣接し、これと直接接蝕するように構成される。フローティングゲート214は、これに限定しないが、n型シリコンなどの不純物ドープされたシリコン、またはタングステンなどの金属などの導電体である。制御ゲート誘電体216は、フローティングゲート214に隣接し、これと直接接触するように構成される。最後に、制御ゲート218は、制御ゲート誘電体216に隣接し、これと直接接触するように構成される。制御ゲート218は、これに限定しないが、不純物ドープされたシリコン、またはタングステンなどの金属などの導電体を用いて構成される。
p型シリコン膜206およびトンネル誘電体212の膜厚は、所望する書き込み電圧および消去電圧に依存する。4ないし5ボルトの低電圧による書き込み動作を所望するとき、p型シリコン膜206は1000ないし2500Åの膜厚を有し、トンネル誘電体は20ないし150Å、例えば20ないし50Å、好適には80ないし130Åの膜厚を有していてもよい(窒化物によるトンネル誘電体212が必要な場合、膜厚は若干厚くなる。)。理解されることであるが、p型シリコン膜206の膜厚は、デバイスのチャンネル長を定義する。より高い電圧(6ないし10ボルト)による書き込み動作を所望するとき、p型シリコン膜206は6000ないし7000Åの膜厚を有し、トンネル誘電体212は60ないし100Åの膜厚を有していてもよい。制御ゲート誘電体216は、トンネル誘電体212程度のオーダの膜厚を有するが、若干より厚く(10ないし30Å)、130ないし180Åの膜厚を有する。
柱状メモリデバイス200は、電荷がフローティングゲート214上に蓄積されたかどうかによって、書き込まれたか書き込まれていないか判断される。柱状メモリデバイス200は、ソース領域202を接地し、ドレイン側に書き込み電圧を印加して、電子をフローティングゲート214上に配置することにより書き込む(プログラムする)ことができる。すなわち、比較的に高い電圧がドレイン領域208に印加され、低電圧動作の場合には約4ないし5ボルト、高電圧動作の場合には約6ないし10ボルトを制御ゲート218に印加して、チャンネル領域を形成し、電子がソース領域とドレイン領域の間を流れるように、p型シリコン領域206の一部をn型シリコンに反転させる。制御ゲート電圧が高いと、反転したチャンネル領域からの電子が、トンネル誘電体212を通ってフローティングゲート214へ引っ張り出される。トンネル酸化物をトンネリングする間に、電子はその一部のエネルギを失うので、絶縁体に包囲されたフローティングゲートから脱出する程度に十分なエネルギをもはやもたない。これに限定しないが、ソース側注入などの他の技術を用いて、メモリデバイス200をプログラムすることができる。
メモリデバイス200は、蓄積された電子をフローティングゲート214から取り除くことにより消去することができる。比較的に高い正の電圧(3ボルト)をソース領域202に印加し、低電圧動作の場合には約4ないし5ボルト、高電圧動作の場合には約6ないし10ボルトの負の電圧を制御ゲート218に印加することにより、メモリデバイス200を消去することができる。ソース領域202に印加された正の電圧により、フローティングゲート214上の電子は、引き付けられ、フローティングゲート214からトンネル誘電体212を通って、ソース領域内に引っ張り込まれる。
メモリデバイス200の状態を読み出すためには、所定の制御ゲート電圧を制御ゲートに印加して、ドレイン領域に電圧(例えば3.3ボルト)を印加する。ドレイン領域からチャンネル領域を介してソース領域に流れる電流(読み出し電流)の量を用いて、メモリデバイスの状態を判定することができる。択一的には、所定の読み出し電流が本体部206に流れるようにするために必要な制御ゲートの電圧値を検出することにより、メモリ200の状態を読み出すことができる。読み出し電流は、第1および第2のソース/ドレイン領域202および208の間を流れるとき、基板201のx−y平面に対してz垂直方向に流れる。これらの領域は、基板201の直ぐ上または上方に形成される。
図3は、本発明による不揮発性の柱状メモリデバイスの別の実施形態を示す。図3は、3端子不揮発性柱状メモリデバイス300を示し、これは、超薄膜シリコンのチャンネルまたは本体部302を有する。メモリデバイス200と同様、超薄膜メモリデバイス300は、第1の入力/出力導電体204上に形成された第1のn+ソース/ドレインコンタクト領域202を有する。SiO膜または窒化シリコン膜などの絶縁層304が第1のソース/ドレインコンタクト領域202上に形成される。第2のn+ソース/ドレインコンタクト領域208が、絶縁層304上に形成される。絶縁層304は、ソース/ドレインコンタクト領域202および208を互いに分離し、デバイスのチャンネル長を決定する。1×1016ないし1×1018原子数/cmの範囲の濃度を有する薄膜p型シリコン膜302が、第1および第2のソース/ドレインコンタクト領域202および208、および分離絶縁層304に隣接し、これらと直接接触するように、n+領域/絶縁層/n+領域の積層物の側壁に沿って形成される。p−型シリコン膜302は、このデバイスのチャンネルまたは本体部として機能し、ソース/ドレインコンタクト領域202および208の間のギャップをブリッジする。薄膜p−型シリコン膜302をn+領域/絶縁層/n+領域の積層物に隣接して形成することにより、チャンネル領域を極めて薄く、50ないし100Åに形成することができる。チャンネル膜厚を意味するp型シリコン膜302の膜厚は、好適には、チャンネル長(すなわち、ソース/ドレイン領域202および208の間の距離)の1/2以下であり、理想的には、チャンネル長の1/3である。
メモリデバイス200と同様、メモリデバイス300は、電荷記憶媒体211と、制御ゲート218を有する。トランジスタ300オン動作すると、p−型シリコン領域の一部が反転して、導電性チャンネルが形成され、その結果、一方のソース/ドレイン領域202から他方のソース/ドレイン領域208へ電流が流れる。一方のソース/ドレイン領域202から他方のソース/ドレイン領域208に至る超薄膜の本体部またはチャンネル302を通る電流経路306の大部分は、このデバイスが上方に積層される基板のx−y平面のz垂直方向である。
超薄膜のチャンネルまたは本体部は、例えば、「スペーサエッチ(spacer etch)」技術を用いて形成することができる。例えば、図3Bに示すように、n+シリコン/絶縁層/n+シリコンの積層物を、パターン形成されたI/Oメタル204を有する基板の上方に一面に積層することができる。そして、広く知られたフォトリソグラフィおよびエッチング技術を用いて、この積層物はパターン形成され、図3Bに示すような柱状物306を得る。そして図3Cに示すように、p−型シリコン膜を一面に積層することができる。デバイスの所望するチャンネル膜厚が得られるまで、p型シリコン膜を積層する。そして、p型シリコン膜302が水平方向の表面から取り除かれ、柱状物306の側壁などの垂直方向の表面には残存するように、p型シリコン膜に異方性エッチングを行う。こうして、柱状物に隣接し、ソース/ドレイン領域を絶縁層304に亙ってブリッジするp−型シリコン膜が形成される。この後引き続いて、電荷記憶媒体211および制御ゲート218を他の柱状デバイスとして形成することができる。
図4は、本発明の別の実施形態による3端子不揮発性柱状メモリデバイスを示す。図4は、3端子不揮発性積層可能柱状メモリデバイスであって、ショットキコンタクトにより、デバイスのソース領域およびドレイン領域が形成される。本発明のショットキコンタクト型MOSFET400は、第1の入力/出力導電体204上に形成された第1のメタルコンタクト402を有する。本体部またはチャンネル404は、所望するチャンネル長を有し、1×1016ないし1×1018原子数/cmの範囲の濃度でドープされたn型シリコンなどのドープされたシリコンからなり、メタルコンタクト402上に形成される。第2のメタルコンタクト406がシリコン本体部404上に、これと直接接触するように形成される。そして、第2の入力/出力導電体が第2のメタルコンタクト406の上に形成される。第1および第2のメタルコンタクト402および406は、白金シリサイド、タングステンシリサイド、およびチタンシリサイドなどの金属で形成され、シリコン本体部404とともにショットキ障壁コンタクトを構成する膜厚に形成される。第1のメタルコンタクト402、シリコン本体部404、および第2のメタルコンタクト406のそれぞれは、図4に示すような柱状物408を構成するように、垂直方向に互いに直接的に位置合わせされる。メモリデバイス400は、図4に示すように、シリコン本体部404に隣接して、これと接触する電荷記憶媒体211を有する。さらに、メモリデバイス400は、電荷記憶媒体211に隣接して、これと接触する制御ゲートを有する。チャンネルがシリコン本体部404内に形成されたとき、電流(すなわち、読み出し電流I)は、メモリデバイス400が上に積層される基板のx−y平面のz垂直方向に流れる。
図5は、本発明の別の実施形態による3端子不揮発性柱状メモリデバイスを示す。図5は、ゲート付きダイオードメモリデバイス500を示す。このメモリデバイス500は、p+型シリコン膜コンタクト領域502を有し、その不純物濃度は1×1019ないし1×1021原子数/cmの間の範囲、好適には、1×1019ないし1×1020原子数/cmの間の範囲であり、その膜厚は500ないし1000Åである。1×1016ないし1×1018原子数/cmの間の不純物濃度を有するp−シリコン膜504がp+型シリコン膜502と直接接触するようにその上に形成される。1×1019ないし1×1021原子数/cmの間の範囲、好適には、1×1019ないし1×1020原子数/cmの間の不純物濃度を有し、500ないし1000Åの膜厚を有するn+型コンタクト領域506が、p−シリコン膜504と直接接触するようにその上に形成される。本発明の実施形態において、p+型シリコン膜502、p−シリコン膜504、およびn+シリコン膜506のそれぞれは、図5に示すような柱状物508を形成するように、垂直方向に互いに直接的に位置合わせされる。またメモリデバイス500は、図5に示すように、p−シリコン膜504およびn+シリコン膜506に隣接して、これと直接接触する電荷記憶媒体211を有する。電荷記憶媒体211に隣接して、これと接触するのは、制御ゲート218である。さらに、トランジスタ100、200、300、および400と同様、ゲート付きダイオード500がオン動作したとき、電流(I)は、p+型シリコン膜502からn+シリコン膜506まで、メモリデバイス500が上または上方に積層される基板501のx−y平面のz垂直方向に流れる。
デバイス200ないし500は、トンネル絶縁体212および制御ゲート誘電体により絶縁された連続する膜からなるフローティングゲート214を有する電荷記憶媒体を用いるものとして図示されたが、フローティングゲートは、シリコンまたは金属の連続的な導電性膜で構成する必要は必ずしもなく、択一的には、図6に示すように、電気的に絶縁された複数のナノ結晶602を用いて構成することができる。ナノ結晶は、互いに電気的に絶縁された導電性材料からなる微小クラスタまたは結晶である。フローティングゲートとしてナノ結晶を用いる利点は、連続的な膜を構成しないので、ナノ結晶のフローティングゲートが自らを絶縁する点にある。ナノ結晶602を用いると、単一のシリコン本体部206の周囲に形成された複数の自己絶縁フローティングゲートが実現される。例えば、正方形または四角形形状の柱状部を用いて、4つまたはそれ以上の絶縁フローティングゲートを単一の正方形柱状部の周囲に形成できるように、フローティングゲートをシリコン本体部またはシリコンチャンネルの各側面上に形成することができる。こうして、複数のビットを各柱状メモリ内に記憶させることができる。同様に、ナノ結晶は不連続な膜を構成するので、1つのセル階層のフローティングゲートが直ぐ上方または下方に配置されたセルに隣接するフローティングゲートとショートすることを心配する必要なく、柱状物の2つ以上の階層が形成された後に、フローティングゲートを形成することができる。フローティングゲートにナノ結晶を用いることのさらなる別の利点は、連続膜によるフローティングゲートよりも電荷の漏れが少ないということである。
ナノ結晶602は、シリコン、タングステン、またはアルミニウムなどの導電性材料から構成できる。自己絶縁性を有するためには、フローティングゲートが垂直方向または水平方向の隣接するセルから絶縁されるように、ナノ結晶は、セルのピッチの半分より小さい大きさのクラスタ材料を有する必要がある。つまり、ナノ結晶またはクラスタ材料602は、単一のナノ結晶602が垂直方向および水平方向に隣接するセルとブリッジできないように、十分小さくする必要がある。シリコンのナノ結晶は、シランなどのシリコン源を非常に低い圧力下で分解するために化学気相蒸着法を用いてシリコンから形成することができる。同様に、タングステンのナノ結晶によるフローティングゲートは、化学気相蒸着法を用いてWFなどのタングステン源を非常に低い圧力下で分解することにより形成することができる。さらに、アルミニウムのナノ結晶のフローティングゲートは、アルミニウムの融点またはその近くの温度でスパッタ蒸着法を用いて形成することができる。
さらに、本発明のメモリデバイスに電荷を蓄積するために、誘電体の絶縁されたフローティングゲートを用いる代わりに、図7に示すような誘電体積層物702内に形成されたトラップ層を用いることができる。例えば、電荷記憶媒体は、誘電体積層物702であってもよく、これは、シリコン本体部またはシリコンチャンネルに隣接する第1の酸化膜と、第1の酸化層に隣接する窒化膜706と、窒化膜706および制御ゲート218に隣接する第2の酸化膜708とを有する。こうした誘電体積層物702は、ONO積層物(つまり、酸化膜−室化膜−酸化膜による積層物)と呼ばれることがある。必要ならば、H+を含む酸化膜などの他の適当な電荷捕獲誘電膜を用いることができる。
図2ないし図5に示すメモリデバイス200ないし500のそれぞれは、柱状物内の各シリコン領域の導電型を単に反転させ、不純物の濃度範囲を維持することにより、反対の極性を有するように形成することができる。このように、図2ないし図5に示すように、NMOSデバイスのみならず、必要ならばPMOSデバイスも製造することができる。さらに、デバイスの柱状物を形成するために用いられたシリコン膜は、単結晶性シリコンまたは多結晶性シリコンであってもよい。加えて、シリコン膜は、n型またはp型導電性イオンが所望する濃度でドープされたシリコンゲルマニウム膜などのシリコン合金膜であってもよい。
さらに、図1ないし図3、および図5に示すように、柱状物108、208、308、および508は、コンタクト領域と本体部を上から見て互いに位置合わせされるように製造される。これは、図8Aに示すように、まず最初にI/O導電部204を形成した後、柱状物の膜積層物(例えば、n+領域/p−領域/n+領域)を一面に積層することにより実現される。そして図8Bに示すように、この膜積層物802にマスクを設け、3属すべてを1回のステップで異方性エッチングして、柱状物804を形成する。明確な柱状物の形成ステップにより、任意の所望する形状を有する柱状物を形成することができる。例えば、柱状物804は、図8Bに示すように、上から見て正方形の形状を有していてもよいし、あるいは三角形形状または円形状であってもよい。
択一的には、図9Aおよび図9Bに示すように、第1および第2のI/O導電体のパターンの交差点に、柱状物を形成することができる。例えば、まず第1の1/O導電体900を一面に積層した後、所望する柱状物の膜積層物(例えば、n+領域/p−領域/n+領域)を一面に連続して積層することにより、柱状物を形成することができる。第1のI/O膜900および柱状物の膜積層物902をエッチングして、図9Aに示すような複数の柱状物ストリップ904を形成する。第2のI/O導電体906をパターン形成するためにエッチングステップを続けて行い、第2の1/O導電体906によりカバーまたはマスクされない柱状物ストリップ904の一部から、柱状物の膜積層物902を取り除く。こうして、交差位置において直接的に位置合わせされ、第1および第2のI/Oが重なり合うように、柱状物908が形成される。この柱状物を形成するための交差技術は、別のリソグラフィステップを省略するので好ましい。
本発明のメモリデバイスの電荷記憶媒体は、「スペーサエッチ」技術を用いて形成することができる。例えば、図10Aないし10Eに示すように、まず、柱状物または柱状物ストリップ1000を形成する。そして、第1のトンネル誘電体1002を柱状物1000の上方に一面に積層する。次に、フローティングゲート材料1004をトンネル誘電体1002の上方に一面に積層する。フローティングゲート誘電体材料は、所望するフローティングゲートの膜厚を有するように積層される。フローティングゲート材料は、ナノ結晶または連続的な導電膜であってもよい。フローティングゲート材料1004およびトンネル誘電体1002は異方性エッチングされ、柱状物1000の上面および隣接する柱状物間の水平方向の表面からこれらを取り除いて、フローティングゲート108を、柱状物1000または柱状物ストリップの側壁上のトンネル誘電体により絶縁する。フローティングゲートが、ナノ結晶ではなくで、連続的な導電膜で形成されている場合、隣接するセルのフローティングゲート1008が絶縁されるように、フローティングゲート材料1004が隣接するセルの間において完全に除去されていることに注意を払う必要がある。
フローティングゲートがナノ結晶で形成されている場合、あるいは電荷記憶媒体がトラップ誘電体である場合、これらの膜は、電気的に隣接するセルを接続しないので、隣接するセルの間における水平方向の表面からエッチングすることは必ずしも必要ではない。しかし、もし必要ならば、電荷トラップ誘電体およびナノ結晶のフローティングゲートを異方性エッチングにより食刻してもよい。次に、図10Dに示すように、制御ゲート誘電体1006をフローティングゲート1008および柱状物1000の上面の上方に一面に積層する。
制御ゲートは、「スペーサエッチ」技術を用いて形成することができる。この場合、図10Dに示すように、不純物ドープされたポリシリコンなどめ制御ゲート材料1010を、制御ゲートの所望する膜厚となるように、制御ゲート誘電体1006の上方に一面に積層する。その後、図10Eに示すように、制御ゲート材料1010を異方性エッチングして、制御ゲート誘電体1006の上面および隣接する柱状物間または柱状物ストリップ間の水平方向の表面から制御ゲート材料1010を取り除く。制御ゲート材料1010を異方性エッチングしている間、この制御ゲート誘電体1006により、その下層にあるシリコンの柱状物1000がエッチングされずに保護される。
フローティングゲート1008は隣接するセルから絶縁される必要があるが、制御ゲート1010は水平方向または垂直方向の隣接するセルと共有してもよい。水平方向に隣接するトランジスタと接続する導電体ストリップを形成するために、水平方向に共有された制御ゲートはリソグラフィを用いて実現できる。択一的には、図11Aないし11Cに示すように、隣接するセルを水平方向に連結することは、隣接するセル間の空間を正確に制御することにより実現できる。このとき、図11Aに示すように、互いに連結すべき制御ゲートを有するセルの間には最小空間1102が形成され、絶縁すべき制御ゲートを有するセルの間にはより広いギャップ1104が配置される。こうして、制御ゲート材料1106が積層されたとき、図11Bに示すように、隣接セル間の最小ギャップまたは微小ギャップ1102は完全に埋まり、絶縁すべきセル間の広いギャップ1104の上には薄膜だけを残す。異方性エッチングにおいて、広いギャップ1104の薄膜の制御ゲート材料を完全に除去して、隣接する制御ゲートを絶縁する。一方、微小ギャップにおけるより厚い制御ゲート材料1106の一部を残し、これが、図11Cに示すように、隣接するセルをブリッジして、水平方向に隣接するセルを接続する。
さらに、図12Aおよび図12Bに示すように、2階層以上の柱状物を形成した後、隣接するセルの間に制御ゲートプラグを形成することにより、制御ゲートを垂直方向に共有することもできる。制御ゲートプラグは、不純物ドープされたポリシリコン膜またはタングステン膜1200を2階層以上の柱状物の上方およびその間に一面に積層した後、柱状物の上方にタングステン膜の一部を平坦化またはパターン形成して、柱状物の間のプラグを形成することにより形成することができる。こうして、垂直方向の2階層以上のデバイス、および水平方向に隣接するセルの間のデバイスが制御ゲートを共有する。
ここで、本発明の柱状メモリデバイスを複数階層の記憶セルとして構成する方法について説明する。図13に示すように、この製造方法は、記憶デバイスの複数階層アレイが形成される基板1300を用意することから始まる。基板1300は、通常、低濃度にドープされた単結晶性シリコン基板1302を有し、この基板内において、金属酸化物半導体(MOS)トランジスタなどのトランジスタが形成される。これらのトランジスタは、例えば、アクセストランジスタとして用いられるか、あるいは形成されたメモリデバイスのための電荷ポンプまたはセンスアンプを構成するための回路と接続することもできる。また基板1300は、通常、基板1302内のトランジスタを機能回路に接続するために用いられる複数階層の内部結線と中間誘電層1304を有する。基板1300の上面1306は、通常、絶縁層または保護層を有し、これによりその下層にあるトランジスタおよび内部結線と混線しないよう保護される。この上面1306は、通常、シリコン基板1302内のトランジスタと電気的に接触させるために、本発明によるメモリデバイスの複数階層のアレイと電気的に接続可能な電気的なコンタクトパッドを有する。本発明の実施形態において、メモリデバイスは、複数階層の内部結線と誘電層1304により、単結晶性シリコン基板から物理的に隔離され、分離されている。保護層または絶縁層1306は、通常、本発明による複数階層の電荷記憶媒体を均質に信頼性高く形成するために平坦化される。図13Aは、この基板の断面図であり、図13Bは、基板平面を上から見たときの基板の上面図である。本発明のデバイスは、この基板全体に亙って形成されている。本発明の1つの実施形態によれば、メモリデバイスは、単結晶性シリコン基板1302から物理的に分離している。本発明の択一的な実施形態においては、メモリデバイスを、フラットパネルディスプレイに用いられるガラス基板などの上に形成することができる。
本発明の実施形態による複数階層を有するメモリデバイスアレイを製造するプロセスは、基板1300の表面1306の上方に第1の導電層1308を一面に積層することから始まる。この第1の導電層1308は、任意の適当な導電体であってもよく、例えば、これに限定するものではないが、チタンシリサイド、不純物ドープされたポリシリコン、アルミニウムやタングステンなどの金属、および任意の技術を用いて形成されたこれらの合金であってもよい。導電膜1308を、例えば、メモリデバイスの列または行を接続するビッドラインまたはワードラインとして用いてもよい。第1階層の柱状物を構成すべき膜積層物1310は、図13Aに示すように、導電層1308の上方に一面に積層される。例えば、1つの実施形態において、柱状物は、n+ソース/ドレイン領域、p−シリコン本体部、およびn+シリコンソース/ドレイン領域を有する。適当な膜積層物1310は、まず化学気相蒸着法によりアモルファスシリコン膜を一面に積層することにより形成することができる。このアモルファスシリコン膜は、n型不純物が元からドープされ、1×1019ないし1×1021原子数/cmの間、好適には、1×1019ないし1×1020原子数/cmの間の範囲にある不純物濃度を有する。次に、例えば、化学気相蒸着法によりアモルファスシリコン膜を積層することにより、p−シリコン膜1314が、n+シリコン膜1312の上方に積層される。このアモルファスシリコン膜は、p型不純物(例えば、ボロン)が元からドープされ、1×1016ないし1×1018原子数/cmの間の範囲にある不純物濃度を有する。そして、n+シリコン膜1316が、化学気相蒸着法によりアモルファスシリコン膜を積層することにより、p−シリコン膜1314の上方に一面に積層される。このアモルファスシリコン膜は、1×1019ないし1×1021原子数/cmの間、好適には、1×1019ないし1×1020原子数/cmの間の範囲にある不純物濃度を元から有する。アモルファスシリコン膜は、後のアニール処理により、多結晶性シリコンに変えることができる。元から不純物をドープする代わりに、膜積層物は、ドープされないシリコンを積層した後、ドーパント注入または拡散して得ることができる。
本発明による他のメモリデバイスが、適当な膜積層物を積層することにより、製造することができ、金属/シリコン/金属のストリップなどの柱状構造物を形成して、図4に示すようなデバイス400を構成し、p+領域/n−領域/n+領域の積層物を形成して、図5に示すようなデバイス500を構成し、さらにn+領域/SiO/n+領域の積層物を形成して、図3Aに示すようなデバイス300を構成することができる。次に、図14Aおよび14Bに示すように、広く知られたフォトリソグラフィ技術およびエッチング技術を用いて、一面に積層された積層物1310および金属導電層1308をパターン形成し、複数の柱状ストリップ1318を形成する。積層された膜積層物1310の各層および金属導電層1308は、互いに位置合わせされるようにエッチングされ、垂直方向の側壁を有するストリップを構成する。
次に、図15Aおよび15Bに示すように、必要ならば、各ストリップ上におけるp型シリコン領域の表面または面の不純物濃度を変えるために、この基板に対して閾値調整イオン注入ステップ処理を行ってもよい。つまりこの場合、第1のイオン注入ステップ1315を行い、柱状物1318の一方の面にp型不純物を注入して、p型不純物濃度を増大させるか、n型ドーパントをカウンタドープして、p型不純物濃度を低減させることができる。同様に、第1のイオン注入ステップ1315の後、基板を回転させて、柱状物ストリップ1318の反対側の側面または面の不純物濃度を変えるために、第2のイオン注入ステップ処理を行ってもよい。閾値調整イオン注入ステップにおいて、各面の閾値電圧を変える上で十分なイオン注入量が与えられなければならない。その結果、各面に付随する読み出し電流は、十分に異なるよう区別、または検出できる。イオン注入ステップの角度は、イオン注入の大部分がp型本体部1314の内部で起こるように選択される。イオン注入の角度は、ストリップ1314の高さ、およびストリップ間の距離に依存する。
次に、図16Aおよび図16Bに示すように、トンネル誘電膜1320が、ストリップ1318の側壁および上部の上方、およびストリップ1318の間の基板1300上に形成されている。トンネル誘電膜は、酸化膜、窒化膜、酸化窒化膜、または他の適当な誘電膜であってもよい。トンネル誘電膜1320は、プラズマ蒸着法、または750℃未満、好適には、600℃未満の温度における成長プロセスを用いて積層することが好ましい。トンネル誘電層1320は、動作状態において、破壊およびリーク電流を防止するような膜厚と品質を有するように形成される。次に、図16Aおよび図16Bに示すように、フローティングゲート材料1322がトンネル誘電膜1320の上方に一面に積層される。本発明の好適な実施形態において、フローティングゲート材料はナノ結晶を用いて構成される。
シリコンナノ結晶は、シリコンが吸着率に対して極めて高い表面拡散率を有するように、シリコンを積層することにより形成することができる。例えば、シリコンナノ結晶は、化学気相蒸着法(CVD)を用いて、極めて低い圧力下(1mTorrないし200mTorrの間の圧力)で、シラン(SiH)を250℃ないし650℃の温度で分解することにより形成することができる。このようなプロセスにおいて、極めて薄く(50ないし250Å)成膜すると、微小な島状シリコン1322が形成される。成膜時、シランにHを含めると、より高い圧力を用いることができ、依然として、ナノ結晶を得ることができる。本発明の択一的な実施形態において、アルミニウムからなるナノ結晶などの金属ナノ結晶が、金属の溶融温度付近の温度にある金属ターゲットからスパッタリング法で形成される。このとき、金属が塊りになって、ナノ結晶が形成される。タングステンによるナノ結晶は、化学気相蒸着法により、極めて低い圧力下で、WFなどのタングステンの気相源とゲルマン(GeH)を含む混合反応ガスを用いて形成することができる。本発明のさらに別の実施形態において、フローティングゲート材料の連続膜を積層し、(加熱して)凝結させて、島を膜内に構成することができる。
理解されることであるが、ナノ結晶は、自己絶縁機能を有するため、フローティングゲートとして好ましいが、フローティングゲートを、これに限定しないが、タングステンなどの金属膜、あるいは所望する導電形式(通常、n+領域/p−領域/n+領域のn+シリコン)にドープされた多結晶性またはアモルファスのシリコンなどのシリコン膜などの連続膜として構成することができる。フローティングゲート材料1322として連続膜が用いられた場合、ストリップ1318を電気的に絶縁するために、ストリップ間のフローティングゲート材料の一部を取り除くために、この段階で膜1322に異方性エッチングを行う。
次に、図16Aおよび図16Bに示すように、制御ゲート誘電層1324は、フローティングゲート材料またはナノ結晶1322の直ぐ上または上方に一面に積層される。制御ゲート誘電層1324は、積層温度を下げるために、例えば、改良型プラズマ蒸着法を用いて形成された酸化膜または酸窒化膜などからなる積層誘電層である。制御ゲート誘電層1324は、トンネル誘電膜1320と同程度の膜厚を有するが、若干、例えば20ないし30Åより厚い。制御ゲート誘電層1324を用いて、後に形成される制御ゲートからフローティングゲートを絶縁する。制御ゲート誘電層1324の膜厚と品質は、メモリセルを書き込み、消去するための書き込み閾値電圧に依存する。上述のように、トンネル誘電膜の膜厚およびp型シリコン本体部またはチャンネルの膜厚は、所望する書き込み電圧に依存する。
次に、図17Aおよび図17Bに示すように、制御ゲート材料1328がストリップ1318の直ぐ上またはその上方に一面に積層される。制御ゲート材料は、少なくとも隣接するストリップの間のギャップを埋めるのに十分な程度の膜厚を有するように形成される。通常、ギャップ1330の幅の少なくとも半分の膜厚を有するように積層された均一膜を用いると、ギャップ1330を完全に埋めることができる。本発明の1つの実施形態において、制御ゲート材料1328は、化学気相蒸着法を用いて形成された不純物ドープされた多結晶性シリコン膜である。択一的には、制御ゲートは、WFを用いて化学気相蒸着法により形成された一面に積層されたタングステン膜などの他の導電体から構成することができる。次に、図18Aおよび図18Bに図示するように、制御ゲート材料1328は、その上部表面がストリップ1318の上部における制御ゲート誘電膜1324に対して実質的に平坦となるまで、例えば、化学機械的な研磨により研磨される。そして、図18Aに示すように、プラズマエッチング処理により、凹部1331を形成し、制御ゲート材料1328の上部表面がストリップ1318の上部表面よりも下方に配置され、好適には、図18Aに示すように、ソース/本体部の接合部(すなわち、n+シリコン膜1316およびp−シリコン膜1314の接合部)よりも少し上方に配置されるようにする。ストリップ1318の上部表面の上の制御ゲート誘電膜1324は、凹部エッチングする間、ストリップ1318がエッチングされないように、これを保護する。凹部エッチングの後、制御ゲート1332Aおよび1332Bが形成されている。
次に、ストリップ1318の上部の上方、および制御ゲート1332上の凹部の上およびその中に、酸化膜などの中間誘電層(ILD)1334が一面に積層される。積層された酸化膜1334、およびストリップ1318の上部の上に形成されていた制御ゲート誘電膜、ナノ結晶、およびトンネル誘電膜は、図19Aおよび図19Bに示すように研磨または食刻され、各柱状ストリップ1318の上側ソース/ドレイン領域(すなわち、n+膜1316)の表面を露出させ、これが開かれる。
次に、図20Aおよび図20Bに示すように、第2の導電層1336が、上側ソース/ドレイン領域(n+ソース/ドレイン領域1316)と接触してその上方に、そしてILD1334の上方に一面に積層される。第2の導電層1336を用いて、第1階層のメモリデバイスに関する第2の入力/出力導電層(すなわち、ビットラインまたはワードライン)、および第2階層のメモリデバイスに関する第1の入力/出力導電層(すなわち、ビットラインまたはワードライン)を構成する。第2の導電層1336は、第1の導電層1308と同様の材料を用いて、同様の膜厚を有するように構成してもよい。
次に、第2階層の柱状物を構成するために用いられる、n+/p−/n+積層物などの膜積層物1338が、図20Aおよび図20Bに示すように、第2の導電層1336の上方に一面に積層される。膜積層物1338は、膜積層物1310で用いたのと同様の材料を用いて、同様の膜厚を有するように形成することができる。あるいは、異なる形式のメモリデバイスが必要である場合、そのデバイスの形式に対応する膜積層物が形成される。
次に、図21Aおよび図21Bに示すように、第2の柱状積層物1338および第2の導電層1336が、広く知られたフォトリソグラフィ技術およびエッチング技術を用いてパターン形成され、複数の第1の柱状積層物1318に対して直交する方向または垂直方向に、複数の第2の柱状ストリップ1340を形成する。理解されるように、第2の柱状積層物1338を構成する膜、および第2の導電層1336が互いに位置合わせされたようにエッチングされ、ストリップに実質的に垂直方向の側壁が形成される。
図22Aおよび図22Bは、図21Aおよび図21Bに示す基板を90°回転させたものである。
第2の柱状膜積層物1338および第2の導電層1336が、ストリップ1340内をエッチングすることによりパターン形成された後、続けてエッチングされると、図23Aおよび図23Bに示すように、第2の柱状ストリップ1340によりカバーまたはマスクされない第1の柱状ストリップ1318の一部が取り除かれる。第1の導電層1308に達するまで、エッチングは続行される。こうして、図23Aおよび図23Bに示すように、第1および第2のI/O導電層1308および1336(図23AのM1およびM2で図示)が交差または重なり合うところにおいて、第1の柱状ストリップ1318から構成される正方形または長方形の第1階層の柱状物1342が形成された。本発明の1つの実施形態においては、0.18μm未満の幅を有する正方形の柱状物が形成される。理解されるように、各エッチングステップにおいて、好適には、ILD1334、トンネル誘電層、および制御ゲート誘電層に対して、柱状ストリップを選択的にエッチングするエッチャントが用いられる。例えば、柱状物が不純物ドープされたシリコンで、ILD、トンネル誘電層、および制御ゲート誘電層が酸化物であった場合、ClおよびHBrを用いたプラズマエッチングにより、ILD、トンネル誘電層、および制御ゲート誘電層を実質的にエッチングすることなく、シリコンをエッチングすることができる。理解されるように、ILD1334は、図23Cに示すように、その下層にあるシリコン制御ゲート1332がエッチングされないように、これを保護する。さらに、ILD1334の目的は、制御ゲート1332を、後に形成される第2階層の柱状物のための制御ゲートから電気的に絶縁することにある。
必要ならば、この段階で、柱状物1342のp型本体部1314の新たに露出した各表面の不純物濃度を変えて、各面が有する木純物濃度を変え、各面の閾値電圧を変更するために、基板に続けてイオン注入ステップ処理を行ってもよい。
次に、図24に示すように、トンネル誘電膜1344、ナノ結晶フローティングゲート材料1346、および制御ゲート誘電膜1348のそれぞれが、順次、基板1300の上方に一面に積層され、トンネル誘電膜/フローティングゲート/制御ゲートを、柱状デバイス1342の側壁上に、さらに第2の柱状ストリップ1340の側壁に沿って形成する(図23A参照)。この膜積層物は、第2の柱状ストリップ1340の上面に沿って形成され、さらに第1階層の柱状物1342の間の第1導電層1308上、およびILD1334上に形成される。
フローティングゲート材料は導電性を有するが、ナノ結晶が本質的に不連続であって、柱状物間を絶縁するので、柱状物を絶縁する目的で、フローティングゲート材料に異方性エッチングを行って、隣接する柱状物1342の間の谷間1343からフローティングゲート材料を除去する必要はない。こうして、トンネル誘電膜、フローティングゲート、および制御ゲート誘電膜を用いて、後に形成される制御ゲートを第1のメタル導電層から絶縁することができる。さらに、フローティングゲート1346は、ナノ結晶で構成されているため、これらは同時に形成されたものでありながら、第2階層の直ぐ上方に配置されたフローティングゲートから自己絶縁する。
次に、図25Aに示すように、制御ゲート1350が、第2の柱状ストリップ1340の間と、柱状物1342の間とにおけるギャップ1343の中に形成される。図17ないし図20を参照して説明したようにして、制御ゲートを形成することができる。このとき、不純物ドープされたポリシリコンなどの制御ゲート膜が、隣接する柱状物1342の間のギャップ1343と、第2の柱状ストリップ1340間のギャップとを埋めるように一面に積層される。任意であるが、図25Aに示すように、制御ゲート膜を研磨して、n+ソース/ドレイン領域の上部表面より下方に凹部を形成し、第2のILD1352を凹部内に形成した後、さらに別の階層を形成してもよい。第2柱状ストリップ1340の上部にあるILD1352、およびトンネル誘電層/フローティングゲート/制御ゲート誘電層を研磨して、ストリップ1340のn+ソース/ドレイン領域を露出させる。
この段階で、第1階層のメモリデバイスの製造工程は完了する。第1階層の各柱状物1342は、柱状物の各表面上に個別のフローティングゲートと制御ゲートを有し、図26に示すように、全部で4つの独立した制御可能な電荷記憶領域が実現される。すなわち、図26に示すように、柱状物1342は、柱状物1342の横方向に対向する側壁に沿って形成された第1組の制御ゲート1332Aおよび1332Bを有する。水平方向に隣接する柱状物は、制御ゲート1332Aおよび1332Bのそれぞれを共有する。さらに柱状物1342は、柱状物1342の横方向に対向する第3および第4の側面に沿って形成された第2組の制御ゲート1350Aおよび1350Bを有する。後の工程で形成され、垂直方向の上方に配置される第2階層にある柱状メモリデバイス、さらに同じ階層に配置される水平方向に隣接する柱状物が、制御ゲート1350Aおよび1350Bのそれぞれを共有する。柱状物1342は、4つの独立した制御可能な制御ゲートと、4つの関連する絶縁フローティングゲートを有し、各柱状メモリデバイス1342は複数の状態を記憶することができる。
図20ないし図25を参照して説明したプロセスを再び繰り返して、第2階層にあるメモリデバイスの製造プロセスを完了し、第3階層にあるメモリデバイスの製造プロセスを開始する。すなわち、図27Aおよび図27B(図26を90°回転させたもの)に示すように、図20ないし図25のステップを反復して、第2階層の柱状ストリップ1340と直交する第3階層の柱状ストリップ1360を形成することができる。第3階層の柱状ストリップ1360を用いて、第2階層の柱状ストリップ1340を、第2階層にある複数の第2柱状物1362にパターン形成し、第2柱状物1362に隣接する第2組の制御ゲート1364を形成する。
こうして製造された第2階層の柱状メモリ1362は、4つの独立した制御可能な制御ゲートと、4つの関連する絶縁フローティングゲートを有し、各柱状メモリデバイス1342は複数の状態を記憶することができる。第1組の制御ゲート1350Aおよび1350Bが、第2階層にある柱状物1362の横方向に対向する側壁に沿って形成され、第1階層に配置された柱状メモリがこれらを共有する。第2組の制御ゲート1364Aおよび1364Bが第2階層の柱状物1362の横方向に対向する第3および第4の側壁に沿って形成され、後の工程で形成される第3階層のメモリアレイの柱状物がこれらを共有する。
これまで説明したプロセスは所望するだけ何回も繰り返して、さらなる階層の柱状メモリを追加してアレイを形成することができる。最終I/O導電層をパターン形成するとき、柱状積層ストリップを用いて、メモリセルの最総階層をパターン形成することができる。
特定の好適な実施形態において、本発明の3端子柱状メモリデバイスを3次元メモリアレイとして構成されるように示したが、理解されるように、本発明の範疇を逸脱することなく、他の方法を用いて3次元メモリアレイを製造することができる。
2.半導体領域の上方または下方に配置された電荷記憶媒体を用いたメモリセル
図29Aにおいて、このセルは、ダイオードと、領域2921、2922、および2923を含む積層物とを有する。これらの領域の間には、電荷を捕獲するために用いられる記憶領域が配置されている。電荷を保持することで、セルの「メモリ」機能を果たすめは、主にこの領域である。以下に説明するように、電荷を、この領域2922の中に電気的に配置し、電気的に検出し、この領域2922から排除することができる。
領域2921は、通常、1ないし5nm、好適には2ないし3nmの膜厚を有する酸化膜から構成される。1つの実施形態において、この領域2921を本出願ではトンネル誘電膜という。領域2922は、窒化膜領域などの従来から広く知られた、捕獲された電荷を蓄積する領域である。1つの実施形態において、この領域2922を本出願では記憶誘電膜という。領域2923は、酸化膜で構成してもよいが、捕獲された電荷を保持するための障壁して機能し、1つの実施形態において、この領域を本出願では障壁誘電膜という。この誘電膜は、領域2921の膜厚と同程度の膜厚を有する。
ダイオードにおいて、一旦、パンチスルーが生じると、電子により順電流が流れ、これらの電子が、トンネル誘電膜−記憶誘電膜の界面2925において、かつ領域2922内において捕獲される。これらの電子は、界面領域2921がn領域に時期尚早に反転するのを助長するような極性を有する。蓄積された電子により、セル特性において最初に負性抵抗を示す電圧が小さくなる。図29Bの曲線2927に対する曲線2926を参照されたい。
1つの実施形態において、書き込み動作は、捕獲される十分な電荷を十分長く保持するように、デバイスを導通させ、順電流を流すために、ダイオードに十分な順バイアスを印加するステップを含む。こうして、曲線2927が示すピーク順電圧から曲線2926が示すピーク順電圧に閾値電圧を変化させる。以下の説明全体を通して、バイナリ(2値)の書き込み動作について説明するが、変化する複数の閾値を用いることにより、1つのセルが複数のビットを記憶することができる。同様に、いくつかのフラッシュメモリは、1セル当たり2ないし4ビットを記憶する。
検知(読み出し)動作は、ピーク2928とピーク2929の間の順電圧を印加するステップを含む。所定の閾値を超える電流が流れる場合、このセルは書き込まれた状態にある。導通が生じない場合、セルは書き込まれていない状態にある。読み出し動作において、書き込まれた状態にあるセルに電流が導通すると、捕獲された電子が強化されることになる。
消去動作は、十分な逆バイアスをメモリセルに印加するステップを含む。このとき、捕獲された電子が中和されるように、電子がトラップ層から障壁酸化膜2923を通って、あるいはホールの流れにより、外側へトンネリングする。この消去動作は、ダイオードを降伏電圧で動作させる必要があり、消去電圧は少なくとも降伏電圧の下限値よりも低いことが必要である。
A.基板内の2端子セル
図30を参照すると、本発明の第1の実施形態によるメモリセルは、p型基板2930内に配置されるように図示されている。ダイオード(セルの支配因子)は、基板内に形成され、例えば5×1016ないし1×1018原子数/cmの不純物濃度でドープされたn−領域2932と、n−領域2932内に形成され、1×1019原子数/cm未満の不純物濃度でドープされたP+領域2931とを有する。これらの領域は、拡散法またはイオン注入法などの広く知られた方法を用いて形成することができる。
誘電領域(酸化層)2933、トラップ層2934、第2の誘電領域(酸化層)2935からなる記憶積層物が領域2932の上に形成されている。
誘電領域2933は、成膜された酸化膜、または積層された二酸化シリコン領域であってもよい。酸化膜で構成される場合、この領域は1ないし5nmの膜厚を有していてもよい。これらの領域を形成するために、一般的なプロセス技術を用いることができる。
本出願で議論されるトラップ領域2934および他のトラップ領域は、他の材料と同様、窒化化合物を用いて形成することができる。先行技術において、窒化シリコン(窒化物)が、この目的に適う最も一般的なものであった。用いられる窒化化合物を含む他の層は、酸窒化膜(ON)および酸化・窒化・酸化複合膜(ONO)である。単体または組み合わせて、電荷捕獲特性を示す他の材料を利用することができる。例えば、ポリシリコンの絶縁領域を有するアルミナ(Al)および二酸化シリコンがこれらの特性を示す。トラップ領域は、一般に、2ないし20nm、好適には、3ないし10nmの膜厚を有する。
領域2933および2934は、SONOSメモリの技術分野で広く知られたファクタにより決定される膜厚を有する。例えば、トンネル誘電領域は、過剰に電圧降下させることなくトンネルリングさせ、長期信頼性を確保するために、十分薄く形成する必要がある。一方、捕獲誘電領域は、電荷を意図せず実質的に放電することがないように、十分厚くしなければならない。上述のように、酸化領域2933に関する一般的な膜厚は、1ないし5nm、好適には2ないし3nmの範囲にあるが、窒化膜が用いられたトラップ領域に対する一般的な膜厚は、3ないし10nmの範囲にある。
膜2935は、領域2933と同様の膜厚を有する酸化領域または他の誘電領域である。用いることができる他の誘電材料は、ペロブスカイト、セラミック、ダイヤモンド(ダイヤモンド状膜)、シリコンカーバイド、ドープされないシリコン(ポリシリコンを含む)である。この領域は、広く知られた積層技術を用いて、形成することができる。上述のように、領域2933は、トンネル誘電膜と呼ばれ、先に説明した負性抵抗に関して、少なくとも部分的に関与している。一方、膜2935は、捕獲された電子が、領域2934から、例えばコンタクト2938に漏れないようにする。したがって膜2935は、障壁誘電膜と呼ばれることがある。
領域2933、2934、および2935からなる記憶積層物は、例えば、最初に酸化膜、続いて窒化膜、最後に再び酸化膜が積層されるように、積層チャンバ内の混合ガスを変えることにより、単一の連続的なプロセスを用いて製造することができる。これらの領域の膜厚が薄いので、全体の積層物は数秒で形成することができる。
図30に示すセルに書き込むとき、まずトラップ層は中立に製造された、つまり捕獲領域2934に電子は捕獲されていないと仮定する。領域2934内に電子を配置するために、コンタクト2938に対して、アノードコンタクト2937に正の電位差を印加して、電位差が図29Bに示す電圧2929に達するまで、領域2931および2932で形成されるダイオードに順バイアスをかける。このとき、酸化膜2933および2935を流れるトンネリング電流が生じて、領域2934内に電荷が捕獲される。捕獲される電荷量は、全電流および領域2934の捕獲率に依存する。
この電荷の存在を検出するために、ライン2937および2938の間に電圧を印加して、再び、領域2931および2932で形成されるダイオードに順バイアスをかける。ただしこの電圧は、図29Bに示す電圧2928より大きく、電圧2929よりも小さい範囲にある。所定の閾値を超える電流が流れる場合、領域2934に電荷が捕獲されていることを知る。一方、こうした電流が流れない場合、この領域2934に電荷がほとんど、あるいはまったく捕獲されていないことが分かる。こうして、バイナリデータの場合、セルが書き込まれた状態にあるか、書きこまれていない状態にあるかを判断することができる。先に説明したように、トラップ膜2934に異なるレベルの電荷を配置して、上述の電流が流れる電圧(例えば、電圧2928および2929の間の電圧)を特定することができる。これは、膜2934内の電荷量に対応し、この電荷量を用いて、1つの独立したセルから1ビット以上のデータを供給することができる。
読み取り動作の際、読み出し電流が、書き込まれたセルを流れ、さらに領域2933、トラップ領域2934、および酸化領域2938を流れることに留意されたい。電界効果型トランジスタなどの通常行われる検出ステップとは異なり、閾値電圧を変えるために捕獲された電荷が用いられる。電界効果型トランジスタにおいては、セルの状態を検出するとき、捕獲された電荷領域自体に電流が流れることはない。先に説明したように、読み出す際に領域2934に電流が流れることにより、セルを充電する効果が生じる。すなわち、セルが当初から書き込まれていた場合、データがセルから読み出されるときに、書き込み状態が保持される。
セルからデータを読み出す際、線2924で図示される電流を越えないように注意する必要がある。電流がこの限界値(例えば、5000ないし10,000アンペア/cm)を超えると、酸化膜2933または2935の一方または両方が永久的に損傷を受け、ショート回路またはオープン回路となり得る。
セル内のデータを消去する際、ダイオードには逆バイアスがかけられる。つまり、カソードに対して負の電圧がアノードにかけられる。十分な電圧がかけられると、ダイオードは降伏し(アバランシェ崩壊、ツェナー、パンチスルー)、領域2934から電荷を取り除く。消去動作において、膜2932と基板2930の間の接合部に順バイアスをかけないようにするために、基板2930をフローティング状態にしておくことが必要である。浅い溝分離法(STI:shallow-trench isolation)またはシリコンオンインシュレータ法(SOI:silicon-on-insulator)などの他の分離方法も同様に用いることができる。
B.基板内の3端子セル
図31において、このセルは、ソース領域、ドレイン領域、およびゲート2946を有する電界効果型トランジスタを採用している。領域2941および2942は、基板2940内において、ゲート2946に対して位置合わせされている。酸化領域2943、トラップ領域2944、および酸化領域2945を含む積層物が領域2941上に形成されている。領域2943、2944、および2945は、図30に示す領域2943、2944、および2945と同じものであってもよい。
この実施形態において、ダイオードに順バイアスをかける代わりに、正の電圧をゲート2946に印加し、コンタクト2948にはコンタクト2947に対して正の電圧を印加する。これは、セルに書き込み、読み出すために行われる。セルを消去するためには、コンタクト2947に対してコンタクト2948に負の電圧を印加し、捕獲された電荷を領域2944から除去する。図30および図31に示す両方の実施形態に関して、領域2941および基板2940に逆バイアスをかけることにより、基板を通して一度にアレイ全体を消去することがより好ましい場合がある。必要ならば、図30および図31に示すセルを、基板の内部ではなく、基板の上方に形成するか、3次元的に積層してもよい。
C.レール積層物を用いた3次元の実施形態
本出願の譲受人に譲渡された、「3次元メモリアレイの製造方法」と題する2000年4月28日付けで出願された米国特許出願第09/560,626号、および2001年3月21日付けで出願された同時係属中の一部継続出願第09/814,727号において、レール積層物を有し、基板上に形成された3次元メモリアレイが開示されている。この特許出願に開示された技術を用いて、本発明のこの実施形態による3次元の電荷捕獲または電荷記憶メモリを製造することができる。
図32は、とりわけ、階層2950、2951、および2952からなる完全な3階層のメモリアレイを図示する。各階層は、平行で、離間した複数のレール積層物から構成される。図32に示すレール積層物3および5は、第1の方向に延び、積層物4および6は、通常、第1の方向に対して垂直な方向である第2の方向に延びている。図32に示す各レール積層物は、レール積層物の中央に形成された導電層または入力/出力部と、導電層の両側に配置された半導体嶺域とを有する。図32に示す実施形態に関して、レール積層物3および5などの第1の交互のレール積層物は、導電層上に形成されたn型ポリシリコンを用いて形成できる。第2の交互のレール積層物4および6は、導電層上に形成されたp−型ポリシリコンを有する。
とりわけ、レール積層物5を参照すると、アルミニウムまたは導電性シリサイドなどからなる中央導電層または入力/出力部2953と、導電層の両側に形成されたn+領域2954および2956と、n+領域2954および2956のそれぞれの上に形成されたn−領域2955および2957とを有する。n+領域については、1×1019原子数/cm未満の不純物を有するようにドープし、n−領域については、5×1016ないし1×1018原子数/cmの間の不純物を有するようにドープしてもよい。レール積層物4および6は、同様に、中央導電層または入力/出力部を有し、これらは、例えば、1つのレール積層物に対してp+領域2961および2962として図示された導電層上に積層されたp+領域を有する中央導電層2960である。これらの領域および一連のレール積層物全体の形成方法が上述の出願に開示されており、この出願内容はここに一体のものとして統合される。
上述の出願においては、アンチヒューズ材料からなる被覆層が、レール積層物間に用いられる。本発明においては、3層の被覆膜が、各階層のレール積層物間に用いられる。とりわけ、膜2963がレール積層物5および6の間に配置され、膜2964がレール積層物4および5の間に配置される。複数の膜2963および2964が、例えば、図30の膜2933、2934、および2935に対応する。つまり、膜2964は、1ないし5nm、好適には2ないし3nmの膜厚を有する誘電膜(酸化膜)2966と、2ないし20nm、好適には3ないし10nmの膜厚を有する窒化シリコン膜2967と、膜2966と同様の膜厚を有する誘電膜(酸化膜)2968とを有する。図30に示す領域2933、2934、および2935を形成するための上述した材料は、図32に示す膜2966、2967、および2968にも適用される。
図32に示すアレイ内のセルは、レール積層物の交差点上に形成される。図32に示す実施形態において、記憶積層物は、ダイオードを構成するp領域とn領域の間に配置される。つまり、この記憶積層物が支配因子として埋め込まれる。例えば、導電膜2960を用いて、p領域2961を介して1つのセルにアクセスすることができる。複合膜2963は、p領域2961とn−領域2955の間に配置される。この2つの端子セルに関する他のコンタクトは、導電膜2953上領域2954を介して配置される。
図32のセルは、図30のセルに関して説明したのと同様にして、書き込み、読み出し、そして消去される。
図32に示すような構成を用いると、隣接する対をなすメモリアレイ階層に形成されたダイオードは、共通の導電膜の方に「向いている」。とりわけ、図32を参照すると、メモリアレイ階層2950における図示されたセルは、導電膜2953に接続されるカソードを有する。メモリアレイ階層2951における図示されたセルは、同様に、導電膜2953に接続されるカソードを有する。これにより、導電膜2953は2組のセルに対して機能するので、製造方法と、書き込み、読み出し、および消去動作とを簡素化する。
これまで説明した応用例において、いくつかの実施形態は、異なるレール積層物の構成を有する。本発明の好適な記憶積層物の異なる構成を用いて、3次元アレイを製造することができる。
D.柱状ダイオード構造を用いる3次元の実施形態
米国特許第6,034,882号において、平行で、離間した導電層を有する複数の階層を有する3次元メモリアレイが開示されている。交互階層における導電層は、互いに直交する。柱状構造物は、隣接する階層内にある導電層の交差点に形成される。この特許で開示されている構造物は、導電層に対して位置合わせされるように形成されている。この特許で開示される製造方法を用いて、この実施形態の電荷記憶領域または電荷捕獲領域を有するセルを採用したメモリセルアレイを形成することができる。
図33を参照すると、3次元メモリの単一の階層が図示され、このメモリは、1つの階層における導電層または入力/出力部2981と、アレイ内の次の階層における導電層2980とを有する。この柱状構造物は、本発明によるセルを形成する。とりわけ、図33を参照すると、このセルは、p+領域2982、n−領域2983、および記憶積層物を含むダイオード接合部を構成する支配因子を有する。図33に示すように、記憶積層物は、トンネル酸化領域2984、トラップ領域2986、および障壁酸化層2985を有する。
上述の特許に開示されているように、導電層2980および2981は、図33に示す単一のセルの上方および下方に配置されるセルと共有される。
図34は、別の実施形態を示し、同様に、1つの階層において平行で、離間した導電層または入力/出力部2991と、次の階層において平行で、離間した導電層または入力/出力部2990とが設けられている。同様に、柱状構造物は、先に引用した特許に教示されているように、導電層2990および2991の間に形成される。ただし図33および図34に示す構造物の相違点は、障壁酸化層2993、トラップ領域2994、およびトンネル酸化層2995から構成される記憶積層物が、ダイオードのp領域とn領域の間に配置される点である。とりわけ、ダイオードのp+領域2992が障壁酸化層2993と接触し、n−領域がトンネル酸化層2995と接触している。
図33および図34に示すさまざまな領域の膜厚、およびポリシリコンダイオードの不純物濃度は、本出願の先に説明した実施形態と同様のものであってもよい。図33および図34に示す構造物の書き込み、読み出し、および消去動作は、他の実施形態に関して上述したのと同様に行われる。図32、図33、および図34に示す実施形態に関して、周辺回路が基板内に形成されるのに対し、このセルのアレイは基板の上方に配置される。
II. 自己整合されたEEPROM TFTアレイ
柱状構造物とは異なる別のセル構造物が自己整合TFTである。本発明者は、メモリセルまたは論理セルが、異なる層上の特徴形状が完全に重なり合うように設定される不良位置合わせ公差により拡大することを認識していた。つまり、本発明者は、不良位置合わせ公差を必要としない完全に位置合わせされたメモリセルまたは論理セルを開発した。したがって、こうしたセル構造体において、1ビット当たり(すなわち、1セル当たり)の面積がより小さく、より少ないマスクステップにより形成される。完全に位置合わせされたセル構造体により、アレイ集積度を向上させ、ダイサイズを小さくし、コストを下げる。さらに、随意であるが、セルを垂直方向、つまりz方向に積層することにより、アレイ集積度をさらに向上させて、ダイサイズをさらに小さくし、さらにコストを下げる。
本発明の好適な実施形態に関して先に説明したように、完全に位置合わせされた、あるいは自己整合されたメモリセルまたは論理セルを実現するためのいくつかの異なる方法がある。EEPROMを含むメモリセルまたは論理セルの場合、ワードラインを制御ゲートに対して自己整合されることにより、完全に位置合わせすることができる。好適には、ワードラインは、EEPROMのソース−チャンネル−ドレイン方向に対して実質的に平行に延び、ビットラインは、EEPROMのソース−チャンネル−ドレイン方向に対して実質的に垂直方向に延びる。この構造物において、ビットラインは、パッド(すなわち、ソース電極およびドレイン電極)と接触し、ビットラインは、EEPROMのソース領域および/またはドレイン領域の直ぐ上にあるEEPROMのゲートと自己整合できるので、ビットラインの接触ビアは必要としない。さらに、EEPROMは完全に位置合わせされるので、ビットラインおよびワードラインは、実質的に平坦な表面を有し、これによりデバイスの信頼性が改善される。
好適には、EEPROMは、3次元の仮想グランドアレイ(VGA)の不揮発性フラッシュメモリとして構成されたTFTであり、垂直方向に分離された各階層は、中間絶縁層を用いて隣接する階層から分離される。しかし、EEPROMは、単一の階層からなるアレイ、またはバルク半導体基板であってもよい。この実施形態の好適な特徴を、例えば、NOR型メモリ、二重ストリングNOR型メモリなどのVGA以外の不揮発性フラッシュメモリ構造物にも適用することができる。さらに、本発明は、TFT EEPROMフラッシュメモリアレイに限定されず、本発明の範疇に含まれる他の半導体デバイスを包含する。例えば、自己整合されたトランジスタとは、バルク基板内のMOSFET、または絶縁基板の上方に形成されたEEPROM以外のTFTであってもよい。これらの自己整合されたトランジスタは、一括消去ができないEEPROM(すなわち、各トランジスタが個別に消去される。)、紫外線消去可能なPROM(EPROM)、動的ランダムアクセスメモリ(DRAM)、液晶ディスプレイ、フィールド・プログラマブル・ゲートアレイ(FPGA)、およびマイクロプロセッサであってもよい。
図37ないし図44は、本発明の第1の好適な実施形態によるTFT EEPROMの不揮発性フラッシュメモリアレイ4001の製造方法を示す。
このメモリアレイを形成するために、まず、絶縁表面を有する基板(すなわち、シリコンオンインシュレータ(SOI)基板)を用意する。この基板は、シリコン酸化膜、シリコン窒化膜、ガラス基板、プラスチック基板、またはセラミック基板などの絶縁膜で被膜された(シリコン、GaAsなどの)半導体ウェーハである。第1の実施形態の好適な態様において、この基板は、CMOS(相補型金属酸化膜半導体)トランジスタを基板内に形成するステップなどの処理ステップの前に受け入れられる単結晶性バルクシリコン基板である。CMOSトランジスタは、メモリアレイのための周辺回路またはドライバ回路である。最も好適な態様において、この電気回路は、列および行のアドレスデコーダ、列の入力/出力部(I/O)、および他の論理回路を備える。ただし、必要ならば、シリコンオンインシュレータ基板、ガラス基板、プラスチック基板、またはセラミック基板などの絶縁基板の上にドライバ回路を形成してもよい。シリコンオンインシュレータ基板は、ウェーハボンディング技術、酸素イオン注入分離技術(SIMOX)、またはシリコン基板上に絶縁膜を形成する技術などの任意の従来式の方法を用いて形成することができる。周辺回路が完成された後、図37に示すように、中間絶縁層4003が回路上に均一に積層される。中間絶縁層4003は、酸化シリコン、窒化シリコン、酸窒化シリコン、PSG、BPSG、BSG、スピンオングラス、および/またはポリマ誘電膜(ポリイミドなど)などの1種類またはそれ以上の任意の適当な絶縁膜から構成することができる。中間絶縁層4003は、好適には、化学機械的な研磨(CMP)により平坦化されるが、他の実施形態においては、エッチングおよび/または他の任意の手段を用いて平坦化してもよい。
その後、半導体活性領域層4005が中間層4003の上方に形成され、SOI基板が完成される。半導体層は、トランジスタの活性領域として用いられる。層4005は、例えば、20ないし120nm、好適には、70nmといった任意の所望する膜厚を有し、空乏時において、トランジスタゲートの下方にある空間電荷領域が層全体に広がるように選択される。好適には、半導体層4005は、第1の導電型の不純物でドープされたアモルファスまたは多結晶性シリコン層を有する。例えば、層4005は、元より積層時から不純物ドープされているか、積層後にイオン注入または拡散されることにより、p型に不純物ドープされる。
必要ならば、半導体層4005の結晶を加熱することにより、その結晶性を改善することができる。換言すると、アモルファスシリコン層を再結晶化させて、ポリシリコンを形成するか、あるいはポリシリコンの結晶粒を大きくすることができる。加熱ステップは、層4005を熱またはレーザによるアニールするステップを含んでいてもよい。必要ならば、結晶化誘発触媒を用いて、層4005の結晶性を改善してもよい。このプロセスにおいて、Ni、Ge、Mo、Pt、Pd、これらのシリサイド、または中間生成金属要素などの触媒要素が、半導体層4005と接触するように配置される。そして層4005は、熱および/またはレーザによるアニール処理が行われる。アニール処理の間、触媒要素は、大きな結晶粒を形成しながらシリコン層に広がるか、シリコンの結晶化が始まるシードとして機能する。後者の場合、アモルファスシリコン層は、固相結晶化法(SPC)により、このシードから水平方向に結晶化される。
なお、単結晶SOI基板が用いられた場合、アモルファスシリコン層またはポリシリコン層4005は省略できることに留意されたい。この場合、SIMOX法を用いて、酸素イオンを単結晶シリコン基板の深部に打ち込んで、その内部に埋め込まれた酸化シリコン層を形成する。この埋め込まれた酸化シリコン層の上方に単結晶シリコン層が残る。
次に、好適には、活性領域層4005の表面における不純物を洗浄し、負電荷を帯びた酸素が除去される。その後、電荷記憶領域4007が層4005の上に形成される。本発明の第1の好適な実施形態において、電荷記憶領域4007は、酸化物−室化物−酸化物(ONO)からなる誘電3層を有する。この誘電層は、第1(底部)のSiO層(トンネル酸化膜ともいう)、Si4−X1.5Xからなる電荷記憶層(xは0ないし1)、および第2(上方)のSiO層(障壁酸化膜ともいう)から構成されている。トンネル酸化膜は、活性領域層4005を熱酸化して成長させるか、あるいは大気圧下、低圧下、または改良型プラズマ化学気相蒸着法(APCVD法、LPCVD法、またはPECVD法)または他の手段を用いて、活性領域層の上に積層することにより成膜することができる。トンネル酸化膜は、1.5ないし7nm、好適には4.5nmの膜厚を有する。窒化シリコンまたは酸窒化シリコン(Si4−X1.5X)からなる電荷記憶層は、トンネル酸化層の上方に配置され、その膜厚は少なくとも5nmで、好適には5ないし15nmで、最も好適には6nmである。障壁酸化層は、電荷記憶層の表面上に形成され、その膜厚は3.5ないし9.5nmで、好 適には5.0nmである。電荷記憶層および障壁層は、APCVD法、LPCVD法、またはPECVD法、あるいはスパッタ蒸着法を用いて積層することができる。
なお、異なる構成材料および異なる膜厚が必要に応じて用いられることに留意されたい。例えば、電荷記憶層は、必ずしもSi4−X1.5Xを用いて構成される必要はない。例えば、第1の実施形態による別の態様において、酸化シリコン、窒化シリコン、または酸窒化シリコンの中に分散されたシリコン、タングステン、またはアルミニウムのナノ結晶などの電気的に絶縁された複数のナノ結晶を用いて、電荷記憶層を形成することができる。ナノ結晶を用いた電荷記憶層が用いられた場合、必要ならば、トンネル酸化層および/または障壁酸化層を省略することができる。
電荷記憶領域4007(すなわち、ONO誘電層)が形成された後、第1のゲート層4009が電荷記憶領域の上方に積層される。第1のゲート層4009は、n+不純物がドープされたポリシリコンなどの任意の導電層であってもよい。こうしたポリシリコン層は、例えば、50ないし200nm、好適には100nmの任意の適当な膜厚を有し、例えば、1×1019ないし1×1021原子数/cmの任意の適当な不純物濃度を有していてもよい。
必要ならば、保護シリコン酸化膜などの任意の保護層4011が、第1のゲート層4009の表面上に形成される。この層4011は、例えば、3ないし10nm、好適には5nmの任意の適当な膜厚を有していてもよい。必要ならば、酸化シリコン以外の材料を用いて、この層4011を形成してもよい。
そして犠牲障壁層4013が保護層4011の上方に積層される。第1の実施形態の好適な態様において、障壁層は、このデバイスの他の層に対して選択的にエッチングできる任意の導電性または絶縁性材料で構成される。好適には、この障壁層4013は窒化シリコン層である。この障壁層は、任意の膜厚を有していてもよい。好適には、障壁層4013は、以下により詳細に説明するが、制御ゲート全体またはその上側部分に関して好ましい膜厚を有する。例えば、層4013は、100ないし250nm、好適には160nmの膜厚を有する。図37は、製造処理のこの段階におけるデバイスの断面図である。
次に、図38に示すように、ビットラインのパターンが、反転ビットラインマスクを用いて、製造中のデバイスウェーハまたは基板に転写される。このマスクにおいて、透明領域がビットラインを形成し、不透明(濃い)領域がビットライン間の空間を形成する。例えば、ポジティブのフォトレジスト層(図38では図示せず)が障壁層4013の上方に形成され、反転ビットラインマスクを介して露光して、現像する。当然に、ネガティブのフォトレジスト層を用いた場合、マスクの透明領域と不透明領域は反転する。
このフォトレジスト層をマスクとして用いると、障壁窒化層4013、保護層4011、および第1のゲート層4009が、マスク形状にエッチングされ、複数のゲート積層物4015が形成される。ONO誘電層4007は、エッチング停止層として機能する。そしてフォトレジスト層は、パターン形成されたゲート積層物4015から剥離される。このフォトレジスト層は、障壁窒化層4013がエッチングされた後に取り除いてもよく、この場合、窒化層4013は、第1のゲート層4009をエッチングするためのハードマスクとして用いられる。ゲート積層物4015は、パターン形成された第1のゲート電極4009、随意の保護酸化層4011、およびパターン形成された障壁層4013を有する。必要ならば、窒化シリコン、酸膣かシリコン、または酸化シリコンからなる薄膜を成膜して、第1のゲート電極4009の側壁をシールする。
トランジスタのソースおよびドレイン領域4017は、ゲート積層部4015をマスクとして用いて、自己整合されたイオン注入法により形成される。フォトレジスト層は、ゲート積層物のウェーハに残しておいてもよいし、イオン注入前に取り除いてもよい。イオン注入は、ONO誘電層を通って実施される。しかし、必要ならば、イオン注入前に、ゲート4009間のONO誘電層4007の一部を除去してもよい。
活性層4005のチャンネル領域4019は、ゲート電極4009の下方に配置される。領域4017は、チャンネル4019が有する第1の導電型とは異なる第2の導電型の不純物でドープされる。つまり、チャンネル4019がp型でドープされる場合、ソースおよびドレイン領域4017は、n型でドープされる。逆の場合も成り立つ。図38は、製造処理のこの段階におけるデバイスの断面図である。
なお、メモリアレイにおいて、「ソース」および「ドレイン」の指定は任意であることに留意されたい。つまり、領域4017は、どのビットラインに電圧が印加されるかによって、「ソース」または「ドレイン」と考え得るからである。さらに、このメモリアレイにおいては、好適にも、フィールド酸化領域が用いられていないので、各領域4017は、2つのゲート電極400の間に配置される。したがって、特定の領域4017は、一方のゲート4009に関して「ソース」、他方のゲート4009に関して「ドレイン」と考え得る。
次に、図39に示すように、ゲート積層物側壁スペーサ4021がゲート積層物4015の側壁上に形成される。好適には、障壁層4013が窒化シリコンで構成される場合、スペーサ4021は酸化シリコンで形成される。ただし、スペーサは実質的に食刻されずに、障壁層の構成材料が選択的にエッチングできるような材料を用いて、スペーサは構成される。例えば、障壁層4013が酸化シリコンで構成される場合、スペーサ4021は窒化シリコンで構成される。スペーサ4021は、積層物4015の上方にシリコン酸化層を一様に積層して、酸化層を異方性エッチングすることにより形成される。スペーサをエッチング処理すると、ONO誘電層がエッチング処理されて、ソースおよびドレイン領域4017が露出することになる。この段階において、必要ならば、ゲート積層物4015およびスペーサ4021をマスクとして用いて、自己整合されたイオン注入をさらに行うことにより、ソースおよびドレイン領域4017にドープされる不純物濃度を増大させることができる。その場合、スペーサを形成する前にイオン注入して、低濃度に不純物ドープされたソース/ドレイン(LDD)拡張部を形成してもよい。
そして珪化処理を行い、シリコンのソースおよびドレイン領域4017の中に、シリサイド領域4023を自己整合されされるよう形成する。珪化処理は、3つのステップからなる。第1に、Ti、W、Mo、Taなどの金属、またはCo、Ni、Pt、Pdなどの遷移金属を露出領域4017、側壁スペーサ4021、およびゲート積層物4015の障壁層4013の上方に一面に積層する。このデバイスをアニール処理して、直接的な金属工学的反応により、シリコン化処理する。このとき、この金属層が領域4017内のシリコンと反応し、領域4017の上方にシリサイド領域4023が形成される。そして例えば、ピラニア溶液を用いて選択エッチングすることにより、スペーサ4021と障壁層4013の上に残った未反応金属を取り除く。シリサイド領域4023および不純物ドープされたシリコン領域4017がともに、ビットライン4025を構成する。図39は、製造プロセスのこの段階におけるデバイスを示す。
一様な絶縁層4027が積層されて、ビットライン4025の上方と、側壁スペーサ間の溝部を埋める。絶縁層4027は、酸化シリコン、酸窒化シリコン、PSG、BPSG、BSG、スピンオングラス、(ポリイミドなどの)ポリマ誘電層、および/または障壁層4013の構成材料とは異なる任意の他の好適な絶縁材料から構成される。その後、この絶縁層4027は、化学機械的な研磨(CMP)、エッチング、および/または他の任意の手段を用いて平坦化され、ゲート積層物4015の上にある窒化シリコンからなる障壁層4013の上部表面を露出させる。図40は、平坦化ステップ後のデバイスを示す。
スペーサ4021および絶縁層4027を実質的にエッチングすることなく、窒化シリコンからなる障壁層4013を選択的にエッチングする。保護酸化層4011は、配置されている場合、積層物4015の第1ゲート電極4009の上側表面からエッチングすることにより取り除かれる。これらのエッチングステップにより、図41に示すように、各ゲート4009の上にゲートコンタクトビア4029が形成される。このビアの側壁が側壁スペーサ4021の内側の側壁であるので、ゲートコンタクトビア4029は、第1ゲート電極4009の幅と実質的に同じ幅を有する。したがって、ゲートコンタクトビア4029は、ゲート4009の上方に延びる側壁スペーサ4021に包囲されているので、ゲート4009に対して自己整合される。
第2のゲート電極の導電性構造体4031が、図42に示すように、デバイス全体の上方に積層される。好適には、この導電体4031は、複数層からなる積層物であって、第1のn+ドープされたポリシリコン層4033、(TiSiまたはWSiなどの)シリサイド層4035、および第2のn+ドープされたポリシリコン層4037から構成される。ポリシリコン層4033および4037は、好適には、100ないし300nm、例えば200nmの膜厚を有する。シリサイド層4035は、50ないし100nm、例えば60nmの膜厚を有する。択一的には、シリサイド、金属、または高濃度に不純物ドープされたアモルファスまたは多結晶性シリコン、シリサイド、および金属を組み合わせて得られる第1ゲート電極4009と良好なオーミック接触が得られるような合成物などを用いて、第2ゲートの構造体を形成することができる。
次に、フォトレジスト層(図示せず)を構造体4031の上方に配置し、ワードラインマスクを介して露光して現像する。フォトレジスト層をマスクとして用いて、第2のゲート電極構造体4031をエッチングして、複数のワードライン4041を形成する。ワードライン4041をマスクとして用いて、ONO積層物4007および露出した活性領域をエッチングする。フォトレジスト層は、エッチングステップ中、そのまま残しておいてもよいが、エッチングステップ前に除去してもよい。活性領域層4005の下方にある底部絶縁層4003と、ビットライン4025の上方にある中間絶縁層4027は、エッチング停止層として機能する。こうして、第2ゲート電極構造体4031は、図43に示すように、中間絶縁層4027上に配置される複数のワードラインとしてパターン形成され、図44に示すように、構造物4031がビア4029内に延びるところで、第1ゲート電極の上側部分としてパターン形成される。図43は図42のA−A線から見た断面図であり、図44は図42のB−B線から見た断面図である。したがって、ワードラインをゲートに位置合わせするために、フォトリソグラフィステップは必要でないため、ワードライン4041は、制御ゲート4009/4043に対して自己整合される。
必要ならば、露出された活性領域4005、およびゲート電極4009/4043の側壁は、熱酸化または熱窒化することにより、その上に窒化シリコン膜または酸化シリコンからなる薄膜を成膜することにより随意に封止することができる。これにより、メモリアレイの製造方法が完了する。そして絶縁膜を成膜し、必要ならば、ワードライン4041の上を平坦化する。
セル内の各TFTの電荷記憶領域4007および活性領域4005(チャンネル領域4019)と同じマスクを用いて、ワードラインをパターン形成するので、このワードラインのフォトリソグラフィステップは、位置合わせ不良公差を必要としない。したがって、ワードライン4041は、ビア4029に対して自己整合されるように積層されるので、TFT EEPROMの制御ゲート4009/4043に対して位置合わせされるだけでなく、各メモリセルの電荷記憶領域4007およびチャンネル領域4019に対しても同様に自己整合される。完全に自動位置合わせされたメモリセルを用いることにより、高価で時間を要するフォトリソグラフィステップの工程数を削減することができる。さらに、各セルに対する位置合わせ不良公差が必要ないので、セル集積度が向上する。第1の実施形態によるデバイスの別の利点は、厚い中間絶縁層4027がビットライン4025およびワードライン4041の間に配置されているので、ビットラインおよびワードライン間の寄生容量およびショート回路の可能性が低減されることである。
図45および図46は、本発明の第2の実施形態によるTFT EEPROMの不揮発性フラッシュメモリアレイの製造方法を示す。第2の実施形態による製造方法は、犠牲障壁層4013が省略された点を除いて、図37ないし図44に示す第1の実施形態による製造方法と同じである。
図45は、好適な第2の実施形態による製造中の半導体デバイス4100を示す。図45に示すデバイス4100は、図40に示す製造段階におけるデバイス4001と同じものである。デバイス4100は、中間絶縁層4103、活性領域層4105、電荷記憶領域4107(例えば、ONO積層物または絶縁されたナノ結晶)、ソースおよびドレイン領域4117、チャンネル領域4119、シリサイド領域4123、およびビットライン4125を有する。
デバイス4100のゲート電極4109は、第1の実施形態のゲート電極4009よりも厚く形成される。ゲート電極4109は、例えば、160ないし360nm、好適には260nmの適当な膜厚を有する。障壁層4013が省略されているので、ゲート側壁スペーサ4121は、パターン形成されたゲート電極4109上に形成され、ゲート電極4109は、ソースおよびドレイン領域4117を形成した後、保護酸化シリコン膜(図示せず)でカバーされる。側壁スペーサ4121は、ゲート電極4109の上面に延びている。そして、金属層を積層し、この金層層とソースおよびドレイン領域4117を反応させることにより、シリサイド領域4123をソースおよびドレイン領域4117の上に形成する。酸化シリコン保護層でカバーされたゲート電極4109、および側壁スペーサ4121の上にシリサイドは形成されない。絶縁層4127が側壁スペーサ4121の間、およびゲート電極4109の上方に積層される。層4127は、好適には、酸化シリコンであるが、第1の実施形態と同様、任意の他の絶縁材料で構成される。そして層4127は、ゲート電極4109の上側表面を露出させるために平坦化される。絶縁層4127は、好適には、CMPにより平坦化されるが、エッチングまたは他の任意の手段で平坦化されてもよい。平坦化ステップ中、同様に、保護酸化シリコンは除去され、図45に示すように、ゲート電極4109の上側表面を露出させる。
窒化物からなる障壁層4013の選択エッチングが行われないので、スペーサ4121は、酸化シリコンではなく、窒化シリコンで形成してもよい。窒化シリコンによるスペーサは、底部トポグラフィに対して、酸化シリコンによるスペーサよりも馴染むので都合がよい。スペーサ4121およびゲート4109は、層4127の平坦化ステップにおいて、研磨停止層またはエッチング停止層として機能する。
ゲート電極4109が露出した後、第2の好適な実施形態によるメモリアレイが、第1の好適な実施形態によるメモリアレイによるアレイとまったく同様に完成される。第1の実施形態と同様、1層またはそれ以上の導電層が側壁スペーサ4121の上面、および露出したゲート電極4109の上に直接積層される。導電層は、例えば、ポリシリコン層4133および4137の間の窒化膜4135を有していてもよい。図46に示すように、導電層は、複数のワードライン4141を構成するようにパターン形成される。これらのワードラインは、露出したゲート電極4109と接触する。第1の実施形態と同様、同じパターン形成ステップの間に、電荷記憶領域4107と活性領域4105がパターン形成される。したがって、ワードラインをゲートに位置合わせするために、フォトリソグラフィステップを必要としないので、ワードライン4141は制御ゲート電極4109に対して自己整合される。
必要ならば、例えば、熱窒化または熱酸化することにより、シリコン窒化膜またはシリコン酸化膜の薄膜を成膜することにより、露出した活性領域4105とゲート電極4109の側壁を随意にシールしてもよい。そして、ワードライン4141の上方に、絶縁層を積層して、必要ならば平坦化する。
ワードラインは、セル内の各TFTの電荷記憶領域4107および活性領域と同じマスクを用いてパターン形成されるので、ワードラインのフォトリソグラフィステップには位置合わせ不良公差を必要としない。したがって、ワードライン4141は、ゲート4109とスペーサ4121の露出した上側表面の上方に直接積層されるので、TFT EEPROMの制御ゲート4109に対して自己整合されるだけでなく、ワードライン4141は、各メモリセルの電荷記憶領域4107およびチャンネル領域4119に対しても自己整合される。メモリセルを完全に自動的に位置合わせすることにより、高価で時間のかかるフォトリソグラフィステップの工程数を削減することができる。位置合わせ不良公差が必要でないので、セル集積度は増大する。さらに、第1の実施形態による障壁窒化膜の成膜および選択的エッチングステップを削除することにより、ステップ工程数を3つまでに減らし、工程フローを簡略化する。
図47は、本発明の第3の好適な実施形態によるTFT EEPROMの不揮発性フラッシュメモリアレイを図示する。第3の好適な実施形態によるデバイスと方法は、電荷記憶領域が、第1または第2の実施形態のONO積層物または絶縁されたナノ結晶の代わりに、電気的に絶縁されたフローティングゲートを有する点を除いて、図37ないし図46に示す第1および第2の実施形態と同様である。
図47に示すように、不揮発性トランジスタ(すなわち、TFT EEPROM)は、フローティングゲートを用いた電界効果型トランジスタとして構成される。この場合、ONO積層物からなる誘電3層、または電気的に絶縁されたナノ結晶を含む酸化層が、トンネルシリコン酸化層4206などのトンネル誘電層に置き換えられる。トンネル酸化層4206は、5ないし10nm、好適には7nmの膜厚を有する。トンネル酸化層4206は、第1および第2の実施形態と同様、活性領域4205の上方に形成される。
第1のゲート電極4209は、第1および第2の実施形態と同様、トンネル酸化層4206上に形成され、パターン形成される。しかし、第3の実施形態において、第1のゲート電極4209は、制御ゲートではなく、フローティングゲートを有する。このフローティングゲート4209は、第1および第2の実施形態と同様、トランジスタのチャンネル4219に対して自己整合される。
図47に示すデバイスは、図42に示すデバイスと同じプロセス段階にある。このデバイスは、基板4203、ソースおよびドレイン領域4217、チャンネル領域、フローティングゲート4209の側壁に隣接する側壁スペーサ4221、シリサイド領域4223、ビットライン4225、および絶縁層4227を有する。
第1および第2の実施形態と異なる他の点は、図47に示すように、フローティングゲート4209の上方に制御ゲート誘電層4212が形成されている点である。制御ゲート誘電層4212は、例えば、8ないし20nm、好適には、12nmの任意の適当な膜厚を有する。制御ゲート誘電層4212は、熱酸化されるか、CVDあるいは他の手段により積層することにより、制御ゲート上に成膜される。制御ゲート誘電層は、酸化シリコン、窒化シリコン、酸窒化シリコン、またはONO積層物を有していてもよい。図47に示すデバイスを完成させるために、第1および第2の好適な実施形態と同様、制御ゲート4243およびワードライン4241が制御ゲート誘電層4212の上に積層され、バターン形成される。制御ゲート誘電層4212および制御ゲート4243は、側壁スペーサ4221の内側に配置される。
図48Aないし48Cおよび図49Aないし49Cは、図47に示すデバイス4200内の1つのTFT(すなわち、1つのセル)に関する2つの異なる好適な製造方法を図示する。第1の好適な方法によれば、フローティングゲート4209、保護層4211、および随意の犠牲障壁層4213がトンネル誘電層4206の上に形成される。チャンネル領域4219がトンネル誘電層4206の下方に形成されるように、ゲート積層物4215をマスクとして用い、活性領域に4205にイオン注入して、ソースおよびドレイン領域4217を形成する。そして、側壁スペーサ4221がゲート積層物4215の上に形成される。絶縁層4227をスペーサに隣接するように形成し、平坦化して、図48Aに示すように障壁層4213を露出させる。
図48Bに示すように、保護層4211および障壁層4213がエッチングにより取り除かれる。これにより、ゲートコンタクトビア4229が形成される。ビア4229の側壁は、フローティングゲート4209の上方に延びる側壁スペーサである。
図48Cに示すように、例えば、ビア4229内の露出されたフローティングゲート4209の上を熱酸化させることにより、ゲート誘電層4212が形成される。その後、1層またはそれ以上の導電層がゲートコンタクトビア4229および絶縁層4227の上に積層される。ビア4229内に制御ゲート4243を形成し、層4227の上にワードライン4241を形成するためにパターン形成される。制御ゲート誘電層4212は、フローティングゲート4209から制御ゲート4243を絶縁する。
第2の好適な製造方法によれば、ゲート積層物4215は、フローティングゲート4209、制御ゲート誘電層4212、および犠牲障壁層4213から構成され、トンネル誘電層4206の上に形成される。チャンネル領域4219がトンネル誘電層4206の下方に形成されるように、ゲート積層物4215をマスクとして用い、活性領域に4205にイオン注入して、ソースおよびドレイン領域4217を形成する。そして、側壁スペーサ4221をゲート積層物4215の上に形成する。絶縁層4227をスペーサに隣接するように形成し、平坦化して、図49Aに示すように障壁層4213を露出させる。
図49Bに示すように、障壁層4213をエッチングにより除去し、制御ゲート誘電層4212を露出させる。これにより、ゲートコンタクトビア4229が形成される。このビア4229の側壁は、フローティングゲート4209および誘電層4212の上方に延びる側壁スペーサ4221である。障壁層4213は、高濃度に不純物ドープされたポリシリコンからなり、その場合、必要ならば、ポリシリコンはビア4229内に残しておいてもよい。
図49Cに示すように、1層またはそれ以上の導電層がゲートコンタクトビア4229および絶縁層4227の上に積層される。ビア4229内に制御ゲート4243を形成し、層4227の上にワードライン4241を形成するためにパターン形成される。制御ゲート誘電層4212は、フローティングゲート4209から制御ゲート4243を絶縁する。
図48Aないし48C、図49Aないし49Cの製造方法において、ワードライン4241は、制御ゲート4243、制御ゲート誘電層4212、およびフローティングゲート4209に対して自己整合される。
図50は、本発明の第4の好適な実施形態の第1の好適な態様によるTFT EEPROMの不揮発性フラッシュメモリアレイを図示する。第4の好適な実施形態のデバイスと製造方法は、制御ゲート誘電層が側壁スペーサの上に配置される点を除いて、図47に示す第3の実施形態のデバイスと製造方法と同様である。さらに、障壁層4213が省略される。図50に示すように、この側壁スペーサ4221は、第2の好適な実施形態によるデバイスと同様に、フローティングゲート4209の上面に対して延びる。制御ゲート誘電層4212は、フローティングゲート4209、側壁スペーサ4221、および絶縁層4227の上方に積層される。第1および第2の好適な実施形態と同様に、ワードライン4212が、制御ゲート誘電層4212の上方に積層され、パターン形成される。図50に示す実施形態において、ワードライン4241は、ワードラインおよび制御ゲートの両方の機能を果たす。つまり、独立した制御ゲートを省略することができる。ワードライン4241は、フローティングゲート4209に対して自己整合される。ワードライン4241は、ポリシリコン層4233および4237の間にシリサイド層4235などの1層またはそれ以上の層を有する。
図51は、本発明の第4の好適な実施形態の第2の好適な態様によるTFT EEPROMの不揮発性フラッシュメモリアレイを図示する。この好適な態様によるデバイスと製造方法は、フローティングゲートの上側部分が側壁スペーサの上方に延びる点を除いて、図50に示すデバイスと製造方法と同様である。図51に示すデバイスは、図47および図50に示す製造プロセスと同じ工程にある。図51に示すように、このデバイスは、中間絶縁層4303、トンネル誘電層4306、ソースおよびドレイン領域4317、チャンネル領域4319、シリサイド領域4323、ビットライン4325、および絶縁層4327を有する。
図51に示すデバイスは、図48Aおよび48Bに図示し、上述したプロセスステップを有する。したがって、図48Bに示すように、下側フローティングゲート部4309の上方に延びる側壁スペーサの間のゲートコンタクトビア内で、下側フローティングゲート部4309が露出している。ただし、制御ゲート誘電層4312をビア4329内に形成する代わりに、上側フローティングゲート部4310をビア内に形成する。上側フローティングゲート部4310は、露出した下側フローティングゲート部4309とビア4329内で接触するように、ドープされたポリシリコン層などの導電層をビア4329、スペーサ4321、および絶縁層4327の上方に積層することにより形成される。この導電層は、フォトリソグラフィ技術を用いて、側壁スペーサ4321の上方に垂直方向に延びるような上側フローティングゲート部4310にパターン形成される。好適には、この導電層は、スペーサ4321の上方にも垂直方向に延びる。こうして、上側フローティングゲート部4310は、「T型」形状を有する。そして、制御ゲート誘電層4312が、熱酸化成膜、CVD、および/またはスパッタ蒸着法などのさまざまな他の積層技術を用いて、上側フローティングゲート部4310の上側表面の上に形成される。1層またはそれ以上の導電層4333、4335、4337が、制御ゲート誘電層4312および制御ゲート誘電層4312の上に積層され、ワードライン4341にパターン形成される。導電層は、第1および第2の好適な実施形態と同様、例えば、不純物ドープされたポリシリコン層4333および4337で挟持されたシリサイド層4335であってもよい。第4の好適な実施形態においては、ワードライン4341は、TFTの制御ゲートとして機能する。第4の実施形態によるフローティングゲート4309/4310の上面は、第3の実施形態のそれより大きいので、第3の実施形態に比べて、第4の実施形態によるTFTの方が、フローティングゲートと制御ゲート/ワードラインの間の領域がより大きい。フローティングゲートと制御ゲート/ワードラインの間の領域が大きくなると、これらの間の容量結合が増大するので好ましい。
第4の実施形態の好適な態様において、上側フローティングゲート部4310の上部表面に凹凸を形成するか、上部表面を粗面化して、フローティングゲートと制御ゲート/ワードラインの間の容量結合をさらに増大させることができる。例えば、少なくとも上側フローティングゲート部4310を、半球粒状シリコン(HSG)を用いて形成してもよいし、フローティングゲートの上側表面を、エッチングまたはきめの粗い研磨により粗面化してもよい。換言すると、上側フローティングゲート部は、DRAMコンデンサの底部導電性プレートに凹凸を形成し、これを粗面化するために用いられる同様の凹凸形成方法および粗面化方法により、凹凸が形成され、粗面化される。
第1の好適な実施形態ないし第4の好適な実施形態により、TFT EEPROMの不揮発性フラッシュメモリアレイについて説明し、図示したが、本発明をこれらに限定して解釈すべきでない。例えば、TFT EEPROMアレイにおける自己整合されたワードラインではなくて、本発明の好適な実施形態によるMOSFET(金属酸化物半導体電界効果型トランジスタ)のゲートに対して、任意のゲートラインが自己整合される。さらに、中間絶縁層の上方ではなく、バルクシリコン基板の中にEEPROMを形成してもよい。
第1の好適な実施形態ないし第4の好適な実施形態により、水平方向の階層におけるワードラインおよびビットラインの交点アレイと、その製造方法について説明し、図示した。各メモリセルは、単一のプログラム可能な電界効果型トランジスタ(すなわち、TFT)からなり、このトランジスタは、j番目のビットラインにソース、(j+1)番目のビットラインにドレインがそれぞれ接続されている。制御ゲートがk番目のワードラインに接続されているが、これを含んでいる。こうしたメモリ構造は、NOR型仮想グランド(NVG)アレイ(VGAともいう。)として知られている。必要ならば、メモリアレイは、例えば、NOR型メモリまたは二重ストリングNOR(DuSNOR)などのVGA以外の不揮発性フラッシュメモリ構造を有していてもよい。二重ストリングNOR構造は、2つの隣接するセルストリングが1つの共通ソースを共有し、異なるドレインを用いるが、K.S.KimらによるIEDM-95,(1995)に開示され、ここに統合される。隣接するドレイン領域を分離するように活性領域をパターン形成するために、追加的なマスクステップが用いられる点を除いて、このDuSNORメモリは、VGAと同様の製造プロセスを用いて製造することができる。本発明の第1の好適な実施形態ないし第3の好適な実施形態による製造プロセスのシーケンスは、2回のフォトリソグラフィのマスクステップを必要とする。一方のマスクステップは、ゲートのパターン形成/自己整合されたビットライン形成である。他方のマスクステップは、ワードラインのパターン形成である。本発明の好適な実施形態の製造方法は、自己整合させることにより、マスク間の位置合わせ公差を低減する。上述のプロセスにより実現されるメモリセル領域は、およそ4Fである。このときFは、最小フィーチャサイズ(すなわち、0.18ミクロン半導体プロセスにおいては0.18ミクロン)である。この「およそ」という用語は、均一でないプロセス条件、および所望するプロセスパラメータからの他の微小なばらつきに起因して、微小な(10%以下の)ばらつきを許容する。トランジスタ内で用いられる電荷記憶媒体が、導電性でなく、例えば、窒化物または酸窒化物で構成される場合(ONO電荷記憶媒体が用いられる場合)、あるいは電荷記憶媒体が電気的に絶縁されたナノ結晶で構成される場合、電荷記憶媒体の局所的な特性を用いて、1つのセルに対して、2ビットを記憶させることができる。この場合、ビット当たりの実効セル領域は2Fである。
第1および第4の好適な実施形態によるNVGアレイは、水平方向に平坦なNVGアレイの垂直方向の積層物として極めて有効である。図52は、本発明の第5の実施形態による3次元メモリアレイ4400を示す。この3次元メモリアレイは、第1ないし第4の実施形態により形成されたTFT EEPROMの3次元メモリアレイを有する。TFT EEPROMのそれぞれは、チャンネル4419、ソースおよびドレイン領域4417、制御ゲート4443、制御ゲート側壁スペーサ(図52では明確に図示せず)、およびチャンネルと制御ゲート4409の間にある電荷記憶領域4407を有する。電荷記憶領域は、ナノ結晶またはフローティングゲートから絶縁されたONO積層物を有していてもよい。
このメモリアレイは、同様に、複数のビットライン列4425を有し、各ビットラインは、複数のTFT EEPROMのソースまたはドレイン領域と接触する。ビットライン4425の列は、TFT EEPROMのソース−チャンネル−ドレイン方向に対して実質的に垂直な方向に延びている。(「実質的に垂直な方向」という用語の中には、特定の方向から僅かなばらつきがあるという意味が含まれる。)なお、ビットライン4425の列は、アレイ4400全体を通して、あるいはアレイの一部だけにおいて、TFT EEPROMのソース−チャンネル−ドレイン方向に対して実質的に垂直な方向に延びることに留意されたい。各デバイス階層のビットラインは、レール状に形成され、中間絶縁層の下方に延びる。ビットラインは、ソースおよびドレインの不純物をドーピングするステップおよびシリサイド層を積層するステップにおいて形成された埋め込み拡散領域を有する。ソースおよびドレイン領域は、ワードラインが交差する(重なり合う)ビットライン内で形成され、ドープされる領域は、EEPROMのチャンネル領域に隣接して配置される。
またメモリセルアレイは、複数のワードライン行4441を有する。各ワードラインは、複数のTFT EEPROM4400の制御ゲート4443(あるいは、ワードラインが制御ゲートを構成する。)と接触する。ワードライン4441の行は、TFT EEPROMのソース−チャンネル−ドレイン方向に対して実質的に平行な方向に延びている。(「実質的に平行な方向」という用語の中には、平行方向から僅かなばらつきがあるという意味が含まれる。)なお、ワードライン4441の行は、アレイ4400全体を通して、あるいはアレイ4400の一部だけにおいて、TFT EEPROMのソース−チャンネル−ドレイン方向に対して実質的に平行な方向に延びることに留意されたい。複数のワードライン4441は、TFT EEPROMアレイの制御ゲート4443に対して自己整合される(あるいは、ワードライン自体が制御ゲートを含む。)。制御ゲートではなく、フローティングゲートがアレイ内に含まれるとき、ワードラインは、フローティングゲートおよび制御ゲート誘電層に対して自己整合される。
このアレイの各デバイス階層4445は、中間絶縁層4403により、垂直方向において分離され、切り離される。中間絶縁層4403は、隣接するワードライン4441、および各デバイス階層における各ワードライン4441の下方にある活性領域の隣接する部分を絶縁する。こうして得られた3次元メモリアレイのビット当たりの実効セル領域は2F/Nであり、このときNはデバイス階層数である(すなわち、2次元アレイに関してN=1、3次元アレイに関してNは1より大きい。)。不揮発性メモリデバイス4400のアレイは、メモリデバイスのモノリシックな3次元アレイである。「モノリシックな」という用語は、アレイの各階層の層が、アレイの下方階層のそれぞれの層の上に直接的に積層されるという意味である。これとは対照的に、2次元アレイは、個別に形成した後、ひとまとめにして、非モノリシックなメモリデバイスを構成することができる。
たった2回のフォトリソグラフィのマスクステップを用いて、メモリアレイの1つの階層4445内にある各セルを形成することができる。しかし、ビットライン4425に対してコンタクトを形成するために、マスクステップを追加する必要があるかもしれない。本発明の第6の好適な実施形態において、導電層がメモリデバイスのアレイ上に形成される。この導電層は、その後、複数のワードラインまたはワードラインコンタクト層と、複数のビットラインの少なくとも1つと接触する少なくとも1つのビットライン層とを形成するようにパターン形成される。つまり、ワードライン/ワードラインコンタクト、およびワードライン/ビットラインコンタクトを形成するために、同じ導電層がパターン形成されるので、個別のビットラインの積層ステップおよびパターン形成ステップを省略することができる。当然に、必要ならば、ワードライン/ワードラインコンタクト、およびワードライン/ビットラインコンタクトは、異なる材料であってもよいし、異なるマスクを用いてパターン形成することができる。
図53は、第6の好適な実施形態の好適な態様によるビットラインコンタクト4447が図示されている。図53において、第1のドープされたポリシリコン層4433が中間絶縁層4427の上方に形成される。そして、ビットライン4425の上側部分が露出するところにおいて、ビットラインのコンタクトビア4449が絶縁層4427内に形成される。窒化層4435がビアホールを介してビットライン4425と接触するように、窒化層4435およびドープされたポリシリコン層4437が積層される。層4433、4435、および4437は、同じマスクを用いて、フォトリソグラフィ技術によりパターン形成され、複数のワードライン4441と複数のビットラインコンタクト4447の両方を形成する。そして上側の中間絶縁層4403がワードライン4441とビットラインコンタクト4447の上方に形成される。ワードラインのコンタクトビア4451と、ビットラインコンタクト層のコンタクトビア4453は、さらなるコンタクトを形成するために、絶縁層4403内に形成される。なお、ワードライン4441とビットラインのコンタクト層4447は、上述した材料に限定されないことに留意されたい。層4441および4447は、1層またはそれ以上のポリシリコン層、シリサイド層、または金属層を有していてもよい。さらに、ゲートライン4441およびコンタクト4447は、デバイスの同じ階層に配置され、コンタクト4447は、必要ならば、アレイの下側階層内のビットラインまたはワードラインと接触するために、アレイの下側階層内に至るまで延びてもよい。
図54は、第6の好適な実施形態の別の好適な態様によるビットラインコンタクト4547を図示する。この実施形態において、少なくとも1つのビットラインのコンタクトビア4549が、異なる階層のアレイ間の少なくとも1つの中間絶縁層4503を貫通するように延びている。図54において、ワードライン4541がまずパターン形成され、中間絶縁層4503がその上に積層される。ワードラインのコンタクトビア4551およびビットラインのコンタクトビア4549が絶縁層4503内に形成される。ビットラインのコンタクトビア4549が中間絶縁層4527を貫通するように延び、ドープ領域4417およびシリサイド領域4423を有するビットライン4525まで至る。
シリサイド層およびドープされたポリシリコン層4557などの1層またはそれ以上の導電層が、中間絶縁層4503上、およびビア4551および4559内に積層される。そして同じマスクを用いて、1層またはそれ以上の導電層4555および4557がフォトリソグラフィ技術によりパターン形成され、ワードラインコンタクト4559、ビットラインコンタクト4547、および図示されたメモリ層の上方にあるメモリ層内における複数のワードラインを形成する。
ワードラインコンタクトとビットラインコンタクトは、それぞれ1つ下側にある階層まで達することができ、あるいは一度に下側にあるいくつかの階層まで達することができる。したがって、図54において、ビットラインコンタクト4547、およびワードラインコンタクト4559は、(N+1)階層のアレイ内に形成され、第N階層のアレイにあるワードライン4541とビットライン4525まで延びる。ワードラインコンタクト、およびビットラインコンタクトは、ワードラインおよびビットラインを第1デバイス階層のアレイより下方にある半導体基板内に形成された周辺回路に接続する。(この周辺回路は、アレイの上方または内部など、アレイ内のどこに配置されていてもよいが、好適には、少なくとも部分的には、垂直方向に集積されるか、アレイに対して位置合わせされる。)次の階層と接触させるために、ランドパッドが(N+1)階層の導電層に形成される。
図55ないし図61は、本発明の第7の好適な実施形態によるTFT EEPROMの不揮発性フラッシュメモリアレイを製造する方法を示す。第7の好適な実施形態による製造方法は、図37ないし図51に示すように、第1、第2、第3、または第4の実施形態と同様に始まり、このプロセスにおいては、ゲート電極の位置に配置される犠牲ダミー障壁層が用いられる。この方法で形成されるトランジスタは、ゲート置換トランジスタと呼ばれる。第7の好適な実施形態により形成されるアレイは、図52に示すように、1ビット当たりの有効セル領域が約2F/Nとなるような3次元アレイとして形成できる。
先に説明した実施形態のように、このプロセスは、図55に示すように、アモルファスシリコン層または多結晶性シリコン層4605などの半導体活性領域を中間絶縁層4603の上に積層するステップから始まる。そして犠牲ダミー障壁層4604は、1種またはそれ以上の材料、後に形成される中間絶縁層を構成する材料に対して選択的にエッチングできる少なくとも1種の材料を有する。例えば、中間絶縁層4627が酸化シリコンを有する場合、ダミー障壁層は、窒化シリコン、酸窒化シリコン、ポリシリコン、または酸化シリコンに対して選択的にエッチングできる他の任意の材料を有する。
好適には、活性領域4605はアモルファスシリコンを有し、ダミー障壁層4604は、アモルファスシリコン層4605が微小粒状寸法を有するポリシリコン層に再結晶化するのを防止するため、600℃以下の温度で積層される。例えば、低温PECVDにより窒化シリコン層を活性領域4605の上に積層し、フォトリソグラフィ技術を用いて、この窒化シリコン層を複数のダミー障壁層にパターン形成することにより、このダミー障壁層4604を得る。
第7の実施形態の好適な態様において、ダミー障壁層は、図55に示すように、犠牲チャンネル誘電層4667、犠牲ゲート層4669、および保護酸化層4671を含む複数の層で構成されている。層4669および4671は、図38に示す第1の実施形態と同様に、反転ビットラインマスクを用いてパターン形成し、図56に示すようなダミー障壁層4604を形成する。活性層の上方にある層4667、4669、および4671はすべて犠牲層であるので、より低品質の材料を用いることができる。例えば、低温シリコン酸化膜(LTO)またはPCEVDシリコン酸化膜を用いて、チャンネル誘電層4667を形成することができる。つまり、アモルファスシリコン活性層4605が微小粒状寸法を有するポリシリコン層に再結晶化するのを防止するため、層4667を600℃以下の低温で積層することができる。必要ならば、ダミー障壁層4604のすべての層を600℃以下の温度で積層してもよい。この場合、後にシリサイドを形成するまで、層4605のアモルファス状態を維持することができる。ソースおよびドレイン領域4617上のシリサイドは、ソースおよびドレイン領域4617におけるアモルファスシリコンが水平方向に再結晶化する際の触媒として機能し、大きい粒子寸法を有する多結晶性シリコンの活性層4605が形成される。
続いて、ダミー障壁層をマスクとして用い、活性層4605におけるTFTのソースおよびドレイン領域4617にイオン注入する。チャンネル層4619が領域4617間の障壁層4604の下方に形成される。ダミー障壁層4604がポリシリコン層を含む場合、好適には、側壁スペーサ4621をダミー障壁層4604の側壁上に形成して、ソース/ドレイン接合部からシリサイドを分離する。こうして、後の工程においてダミー障壁層上でシリサイドが形成されるのを防止し、ソース/ドレイン領域における加工の自由度を増大させる。スペーサ4621は、図57に示すように、シリコン酸化物またはシリコン窒化物、あるいは異なる2層で構成してもよい。必要ならば、障壁層4604およびスペーサ4621をマスクとして用いて、ソースおよびドレイン領域にさらにイオン注入してもよい。ダミー障壁層4604がポリシリコンを含んでいない場合(すなわち、シリコン窒化物からなる場合)、スペーサ4621を省略することができる。
Ti、W、Mo、Taなどの金属層またはCo、Ni、Pt、Pdなどの遷移金属層が、露出領域4617およびダミー障壁層4604の上方に一面に積層される。その後、このデバイスをアニール処理して、直接的に金属工学的な反応により珪化処理する。このとき、この金属層が領域4617内のシリコンと反応し、領域4617の上方にシリサイド領域4623が形成される。そして例えば、ピラニア溶液を用いて選択エッチングすることにより、ダミー障壁層4604の上に残った未反応金属を取り除く。活性層4605は、シリサイド領域を触媒として用いて、熱またはレーザによりアニール処理することにより再結晶化される。択一的には、必要ならば、シリサイド形成と同時に、活性層4605を再結晶化させるか、あるいはダミー障壁層4604の形成前にレーザまたは熱的にアニール処理することにより、活性層4605を再結晶化させてもよい。
ソースおよびドレイン領域4617を含む埋め込まれたビットライン4625を形成した後、一様な中間絶縁層4627がダミー障壁層4604の上方およびその間に形成される。好適には、層4627は、他の好適な実施形態と同様に、シリコン酸化物(HDP酸化物)を有する。層4627は、CMPおよび/またはエッチングにより平坦化され、ダミー障壁層4604の上側部分を露出させる。例えば、ダミー障壁層4604がシリコン酸化物の保護層4671と、シリコン酸化物のスペーサ4621を含む場合、これらの層は、平坦化処理の間に層4637の上面とともに取り除かれる。この場合、平坦化処理の後、図58に示すように、犠牲ゲート層4669の上側部分を露出させる。
次に、中間絶縁層4627を実質的にエッチングすることなく、ダミー障壁層4604を選択的にエッチングする(すなわち、取り除く)。例えば、ダミー障壁層4604が犠牲ポリシリコンゲート層4609を有する場合、スペーサ4621および中間絶縁層4627が実質的にエッチングされることなく、これらの犠牲ゲート層4609が選択的にエッチングされる。ダミー障壁層4604が犠牲ゲート誘電層4667を有する場合、この層は、プラズマエッチング法またはウェットエッチング法を用いて取り除くことができる。図59に示すように、ダミー障壁層が元あった位置に、複数のビアが形成されている。
ダミー障壁層材料を取り除くことにより、チャンネル領域4619上の活性領域4605の表面を露出させた後すぐに、「本当の」あるいは永久的なゲート誘電材料を露出した領域の上に成膜し、そして/または積層する。好適には、この誘電層は、図60に示すように、ONO3層または電気的に絶縁された複数のナノ結晶のいずれかで構成される。択一的には、図61に示すように、TFT EEPROMがフローティングゲート4609を有する場合、この誘電層はトンネル誘電層4606を有していてもよい。電荷記憶層4607は、チャンネル領域4619の上方にあるビアの底部上に形成されている。同様に、電荷記憶層4607は、図60に示すように、中間絶縁層4627の側壁上に(あるいは、スペーサ4621がある場合には、スペーサの側壁上に)形成された垂直部分と、中間絶縁層4627の上方に形成された水平部分とを有する。
続いて、導電材料が中間絶縁層4627および電荷記憶領域4607の上方に積層される。導電材料は、他の実施形態と同様、ポリシリコン層、またはポリシリコン層4633および4637とシリサイド層4635の組み合わせ層を有していてもよい。導電層材料は、ビア4629を充填し、電荷記憶層4607の上方に配置される。そして他の実施形態と同様、導電材料にパターン形成して、複数のワードライン4641を形成する。他の実施形態と同様、ワードライン4641をマスクとして用い、活性領域4605および電荷記憶層4607がパターン形成される。ビア4629内に配置されたワードライン4641の一部が、図60に示すように、TFT EEPROMの制御ゲート4609を構成する。フローティングゲート型のTFT EEPROMを所望する場合、フローティングゲート4609および制御ゲート誘電層4612は、図61に示すように、制御ゲート/ワードライン4641が形成される前に、ビア4629内に形成される。
本発明の第8の好適な実施形態において、図52に示す3次元アレイの複数階層におけるTFTは、再結晶化ステップおよび/またはドーパント活性化ステップを同時に行う。これにより、デバイスの製造時間と製造コストが低減される。アレイの階層のそれぞれに対して別々に再結晶化および/またはドーパント活性化アニールステップを行う場合、下側階層は、上側階層よりも多くのアニール処理される。すると、上側階層に比べて、下側階層の活性領域における粒子寸法がより大きく、ソースおよびドレイン領域が下側階層の活性領域においてドーパント分布が異なるので、デバイスに不均一性が生じる。
したがって、第8の実施形態のによる第1の好適な態様において、複数の階層におけるTFTのアモルファスシリコンまたはポリシリコンの活性領域を同時に再結晶化させる。好適には、すべての階層にあるTFTを同時に再結晶化させる。再結晶化は、炉内で熱アニールするか、RTAシステム内で高速熱アニールすることにより実現できる。熱アニールは、550ないし800℃で、6ないし10時間、好適には、650ないし725℃で、7ないし8時間で処理できる。
さらにシリサイド層4423は、ソースおよびドレイン領域4417と接触しているので、シリサイド層は、特にニッケルシリサイド、コバルトシリサイド、またはモリブデンシリサイドを用いた場合、再結晶化のため触媒として機能する。大きい粒子のポリシリコンをそのままにして、金属原子がTFTの活性領域を通って拡散する。金属化処理されたビットラインを形成した後、アモルファスシリコンまたはポリシリコンの活性領域を再結晶化することにより、より大きい粒子が形成され、例えば、550ないし650℃のより低い再結晶化温度を用いることができる。さらに、個別の金属積層、および金属誘導再結晶化のためのパターン形成を必要としない。したがって、アレイの各階層に関して、ビットラインの金属化処理が完了した後に、これらの階層を再結晶化アニール処理してもよい。択一的には、アレイの各階層に関するビットラインの金属化処理が完了した後に、すべての階層のアレイに対して再結晶化アニール処理を行ってもよい。さらに、第8の実施形態による別の態様において、アレイの各階層に関して、シリサイド層形成ステップと再結晶化ステップを同じアニールステップの際に実施することができる。
第8の実施形態による第2の好適な態様において、複数の階層におけるドープ領域は同時に活性化される。すべての階層におけるドープ領域は、同時に活性化されることが好ましい。ドープ領域は、TFTのソースおよびドレイン領域と、同様に、3次元アレイとして構成される他の任意のドープ領域とを有する。好適には、ドープ領域は、RTA処理を行うことにより活性化される。ただし、必要ならば、約700ないし850℃で、20ないし60分間の熱アニール処理により、活性化処理を実現できる。活性化処理は、結晶化アニール処理の前または後に行うことができる。
第8の実施形態による第3の好適な態様において、アレイの複数の階層、またはすべての階層に関して、再結晶化処理とドーパント活性化処理は、同じアニールステップにおいて実施される。このアニールステップは、ドーパントを活性化し、TFTの活性領域を再結晶化し、ソースおよびドレイン領域のドーパントがTFTのチャンネル領域に拡散しないように、十分に高い温度で十分に長い間、実施する必要がある。好適には、再結晶化とドーパント活性化を組み合わせたアニールステップは、RTA処理を含む。
第8の実施形態による第4の好適な態様において、別にフォトリソグラフィ技術によるマスクステップを実施し、結晶化用触媒材料を積層するために用いられる結晶化ウィンドウが形成される。例えば、図62に示すように、側壁スペーサ4721を形成するために用いられる材料4722は、個別のフォトリソグラフィマスクを用いてパターン形成され、結晶化ウィンドウが形成される。つまり、図55ないし図61に示すゲート置換トランジスタ法において、保護層4771および犠牲ゲート層4769が反転ビットラインパターンによりエッチングされた後、結晶化ウィンドウ4701が、側壁スペーサを形成するために用いられた低温酸化層(LTO)内に形成される。結晶化マスクの形状が酸化層4722から除去され、活性層4705表面をきれいにする。これと同時に、側壁スペーサ4721を犠牲ゲート層4769の上に形成する。そして、フォトレジスト(図示せず)を剥離する。図63および図64は、それぞれ、図62のA−A線およびB−B線から見た断面図である。必要ならば、第1ないし第4の実施形態による製造プロセスに、この結晶化ウィンドウを追加してもよい。これらの実施形態において、側壁スペーサを形成する際に、こうしたウィンドウが形成される。
次に、Ni、Ge、Fe、Mo、Co、Pt、Pd、Rh、Ru、Os、Ir、Cu、Au、それらのシリサイド、または他の遷移金属元素やそれらのシリサイドなどの触媒が積層される。触媒は、開いたウィンドウ4701においてのみ、アモルファスシリコンの活性層4705と接触する。触媒材料は、固体層または触媒溶液として積層してもよい。択一的には、触媒は、活性層4705にイオン注入または拡散させてもよい。そしてデバイスを600℃より低い温度で、好適には550℃で、数時間アニール処理される。この低温アニール処理により、アモルファスシリコンが自ら凝集するのを極力抑えることができる。この実施形態のポリシリコン粒子は、ウィンドウ4701内のシード領域から成長し始め、水平方向に成長する。アニール処理が終了すると、粒子の境界4702が図65に示すように、位置合わせされる。そして触媒が取り除かれる。固体の触媒は、選択性エッチングにより除去され、再結晶化ポリシリコン内の触媒原子は、例えば、塩素ガス雰囲気中でアニール処理して、ゲッタリングすることにより、除去することができる。LTO酸化層4722は、結晶化ウィンドウ4701の境界を構成するが、選択エッチングにより除去され、他の実施形態と同様に、このデバイスは完成する。ワードライン(図62および図65に示すWL)が、結晶化ウィンドウ4701を形成するために用いられる領域の上に順次形成されることを理解されたい。結晶化は、ウィンドウ4701において始まり、ワードラインに平行な粒子境界4702は、ワードライン間の活性層4705の領域において、ウィンドウ領域から離れて配置される。ワードラインが形成された後、ワードライン間のこうした活性層4705の領域は除去される。したがって、これらのTFTのチャンネル領域は、より少ない粒子境界を有し、ワードラインに対して平行な粒子境界を実質的に全く有さない。
III. レール積層TFT
以下の好適な実施形態によれば、レール積層構造内に形成されたEEPROMのTFTなどの電荷記憶領域を有するTFTアレイが提供される。ここに開示される実施形態は、不揮発性の再プログラム可能な半導体メモリと、製造方法および使用方法に関連している。当業者ならば理解されるように、本発明の以下に詳述する実施形態は、単に例示的なものであって、いかようにも限定しない。この開示内容を見た当業者に対し、本発明の他の実施形態を容易に示唆することであろう。添付図面に示された本発明の手段に関して、ここで詳細に説明する。図面全体および以下の詳細な説明を通じて、同様または類似の構成部品に関して、同様の参照符号を用いる。
分かりやすくするために、ここに開示される構成の特徴は、必ずしもすべて反復して説明、および開示されるわけではない。当然に理解されることであるが、アプリケーション関連の制約、またはビジネス関連の制約に対して適合するためなど、開発者の特定の目標を実現するために、こうした任意の実際の構成において、数多くの構成に固有の判断を行う必要があり、これらの特定の目標は、1つの構成および別の構成により異なり、一方の開発者および別の開発者により変化する。さらに、理解されることであるが、この開示内容の恩恵を受ける当業者にとって、こうした開発努力は、複雑で時間を要し、しかも反復的な開発作業を要する。
本発明は、2次元、より好適には3次元の複数回プログラム可能な(MTP)不揮発性メモリに関する。このメモリは、2F/Nのビットセルサイズを有する、このときFは、最小フィーチャサイズで(例えば、0.18μm半導体プロセスでは0.18μmで、0.25μm半導体プロセスでは0.25μmである。)、Nは(垂直方向の)3次元におけるデバイス階層数である。つまり、8デバイス階層の0.18μmプロセスに関して、基板上に投影される実行ビットセル寸法は、たったの約0.081平方ミクロンである。その結果、8階層のメモリデバイスを有し、0.18μmのプロセス技術を用いて、50%のアレイ有効性を有する50mmのチップを形成する場合、1セル当たり2ビットを有する386メガバイトの容量、および1セル当たり1ビットを有する193メガバイトの容量に対して、およそ31億個のメモリセルが得られる。メモリを3次元的に利用するために、単結晶性シリコンのメモリデバイスに関して、一般に用いられる「仮想グランドアレイ」を3次元的に拡張する。好適なメモリプロセスの構造は、n+ドープされたポリシリコンレールを用い、これがp−ドープされたポリシリコン/電荷トラップ層/n+ポリシリコンからなるレール積層物に対して垂直方向に延び、この交差点アレイにおいて、垂直方向において重なる可能性のあるSONOS電荷トラップ層を有するNMOSトランジスタメモリデバイスが形成される。当然に、PMOSメモリが同様に製造できる。
隣接する一対のn+ポリシリコンレール、およびp−ドープされたポリシリコン/電荷トラップ層/n+ドープされたポリシリコンからなるレール積層物が、それぞれ、固有のNMOSメモリデバイスのソース、ドレインおよびゲートを形成する。書き込み動作および消去動作は、NMOSの閾値電圧の変化を変える。ホットエレクトロンの注入による書き込み動作により、NMOS当たりに2ビットが記憶され、ホットホールの注入またはファウラ・ノードハイム(Fowler-Nordheim)トンネリングにより消去できる。
ここで図80を参照しながら、本発明の特定の実施形態によるメモリデバイスを記憶セルの複数階層アレイとして構成する方法を説明する。この製造方法は、基板5180を用意することから始まる。この基板上に、複数階層の記憶デバイスが形成される。基板5180は、通常、低濃度にドープされた単結晶性シリコン基板5182を有し、金属酸化物半導体(MOS)トランジスタなどのトランジスタがこの基板内に形成される。これらのトランジスタは、例えば、アクセストランジスタとして用いられ、回路に一体に接続され、例えば、形成されたメモリデバイスのための電荷ポンプまたはセンスアンプを構成する。基板5180は、基板5182内のトランジスタを機能回路に組み入れるために用いられる複数階層の内部結線および中間誘電層5184を有する。基板5180の上部表面は、通常、下層にあるトランジスタおよび内部結線が汚染しないように保護するための絶縁層または保護層を有する。上部表面5186は、通常、電気コンタクトパッドを有し、本発明のメモリデバイスの複数階層アレイは、このパッドと電気的に接触することにより、シリコン基板5182内のトランジスタとの電気的接触を得る。本発明の実施形態において、このメモリデバイスは、複数階層の内部結線および誘電層5184により、物理的に隔離され、単結晶性基板から分離されている。本発明の複数階層のメモリデバイスを均一に、かつ信頼性高く形成できるように、保護層または絶縁層5186は、通常、平坦化される。本発明によれば、このメモリデバイスは、単結晶性シリコン基板5182から物理的に分離される。本発明の択一的な実施形態において、メモリデバイスは、フラットパネルディスプレイなどに用いられるガラス基板上に形成できる。
薄膜トランジスタのメモリデバイスの複数階層アレイを基板の上方に形成するための本発明の実施形態による製造方法は、基板5180の表面5186の上方に第1の導電層を一面に積層することから始まる。導電層5188は、任意の適当な導電物であってもよく、これに限定しないが、チタンシリサイド、不純物ドープされたポリシリコン、またはアルミニウムやタングステン、および任意の適当な技術を用いて形成された金属である。例えば、メモリデバイスの列または行を接続するためのビッドラインまたはワードラインとして、導電層5188を用いてもよい。ビットライン間の空間を埋めるために、導電層5188の上方に酸化シリコンなどの絶縁層を積層、または成膜することにより、平坦化処理を行う。従来式の化学的研磨(CMP)ステップにより、平坦化処理を行い、ビットラインを露出させる。
ここで図66を参照すると、本発明に係る特定の実施形態の正面斜視図が示されている。この実施形態において、2次元メモリアレイ5040は、離間した複数の第1の導電層を有し、第1の導電層は、第1の方向で、基板(図示せず)から第1の高さ(接触せず)に配置された、n+ドープされたポリシリコンのビットライン5042、5046、5048である。離間した複数の第2の「レール積層物」5050、5052は、ビットライン5042、5044、5046、5048の上方にあり、交差点5054、5056、5058、5060、5062、5064、5066、5068において接触するように、第1の方向とは異なる(好適には直交する)第2の方向で、基板から第2の高さに配置されている。この実施形態における各レール積層物5050、5052は、p−ドープされたポリシリコンからなる層を少なくとも1層を有し、この層は、例えば、化学気相蒸着法(CVD)を用いてアモルファスシリコン膜を積層することにより形成でき、この層は、元からp型不純物(例えば、ボロン)がドープされたもので、そのドーパント濃度はおよそ1×1016ないし1×1018原子数/cmである。そして、アモルファスシリコン膜は、後のアニールステップにより多結晶性シリコンに変換することができる。択一的には、元からドープされたものを用いる代わりに、ドープされていないシリコンを成膜または積層した後、ドーパントを用いて、イオン注入または拡散させる。層5070の上方に積層されるのは、電荷捕獲層5072であって、以下説明する電荷捕獲媒体と、導電性ワードライン5074を有する。導電性ワードライン5074は、電荷捕獲層5072の上方に形成された、n+不純物がドープされた(p+不純物がドープされた)ポリシリコンを有する。隣接したビットラインおよびレール積層物の間の空間およびその上方に、平坦化された酸化物(図66では図示せず)を積層してもよい。従来式の化学機械的な研磨(CMP)プロセスを用いて平坦化を実現してもよい。
図66に示すメモリアレイ構造物が3次元のものであることは容易に理解される。このため、ワードライン5050および5052の上方にあるCMPにより平坦化された酸化層が用いられる。平坦化された絶縁層(中間絶縁層)は、一連のワードラインが次の一連のビットラインとショートしないように防止する。そして、酸化膜成膜ステップとCMPステップの後、別のビットライン層5042、5044、5046、および5048が形成される。このプロセスは、必要なだけ何度も反復することができる。本発明の特定の実施形態によれば、8階層(またはそれ以上)のメモリアレイが交互に積層され、3次元でないメモリデバイスの8倍の集積度を実現する。
ここで図67を参照すると、本発明による別の特定の実施形態が図示されている。この実施形態において、2次元アレイ5076は、基板(図示せず)から電気的に絶縁された絶縁層5078を有する。絶縁層は、酸化シリコンなどの従来式の任意の分離/絶縁層であってもよい。絶縁層5078の上方には、複数の離間したビットライン5080、5082、5084、および5086が配置されている。ビットライン5080、5082、5084、および5086は、好適には、n+不純物でドープされたポリシリコンであるが、任意の適当な導電層として、p+不純物でドープされたポリシリコンであってもよい。積層ステップにより、充填材料を用いて、ビットライン5080、5082、5084、および5086の間の領域5088、5090、および5092を埋める。充填材料は、電気的絶縁物でなければならない。同様に、他の材料も利用できるが、酸化シリコンが好ましい。CMPステップを用いて、ビットラインを平坦化し、これを露出させる。p−不純物でドープされたポリシリコンなどの半導体材料からなる層5094は、ビットライン5080、5082、5084、および5086の上方に積層され、これらと接触している。ONO層5096が半導体層5094の上方に積層され、導電性を有するワードライン5098がONO層5096の上方に積層される。現在のところ好適な実施形態によれば、ビットライン5080、5082、5084、および5086と、ワードライン5098は、n+不純物でドープされたポリシリコンである。熱的に処理したとき、p−不純物でドープされた半導体層5094内に、n+拡散領域5100、5102、5104、および5106が形成される。隣接するn+拡散領域の間のチャンネル5108、5110、および5112が、NMOSトランジスタのチャンネル領域となり、このトランジスタの閾値電圧は、ONO積層物5096の窒化膜に捕獲された電荷の有無によって制御される。
当業者ならば理解されるように、反対の導電型を有する半導体も同様に用いることができる。ワードラインおよびビットラインに、ドープされたポリシリコン以外の導電物を用いる場合、拡散以外の方法で、半導体層5094内にドープされた領域を形成する必要がある。
図68は、図67に示すメモリアレイの平面図である。図68に示すように、ワードライン5098は、ビットライン5080の上方に交差点アレイとして形成される。図68においては、ワードラインとビットラインが互いに直角(すなわち、90度の角度で)に配置されているが、ワードラインとビットラインの間の角度が90度でなくてもよい。さらに、メモリアレイの境界の外側において、ワードラインおよびビットラインは、方向を変えてもよく、互いに平行であってもよい。さらに、「レール積層物」または「レール」という用語は、好適には、直線状に配置された導電層を意味する。しかし、必要ならば、レールまたはレール積層物は、曲がり、ねじれ、または回転を有していてもよい。
ここで図69を参照すると、図67のメモリアレイは、モノリシックな3次元アレイであることが分かる。「モノリシック」という用語は、アレイの各階層を構成する層が、アレイの下方にある各階層の層の上に直接的に積層されているということを意味する。これとは対照的に、複数の2次元のアレイを個別に形成して、これらをひとまとめにして、モノリシックでないメモリデバイスを構成することができる。各階層5076は、好適には、図67に示すものと同一のものであり、絶縁層(中間絶縁層)5078により絶縁される。単一のセル(すなわち、TFT EEPROM)が、図69の破線で囲まれている。セル50999は、デバイス階層(j)にあって、ワードライン(n,j)と、ビットライン(m,j)およびビットライン(m+1,j)との交差点に形成されている。
ここで図70を参照すると、本発明による別の特定の実施形態が図示されている。この実施形態において、ボトムゲート型TFTのアレイが形成されている。2次元メモリアレイ5114が基板上に積層される。絶縁層5116を積層して、このメモリアレイ5114を基板(図示せず)またはメモリアレイの別の階層(図示せず)から絶縁する。離間した複数のワードライン5118が絶縁層5116の上に積層される。ワードライン5118の上には、ONO誘電積層物などの電荷捕獲媒体5120の薄膜が成膜される。電荷捕獲媒体5120の上には、離間した複数のビットライン5122、5124、5126、および5128が積層される。ビットライン5122、5124、5126、および5128の間の空間5130、5132、および5134に、半導体材料薄膜5136が積層される。これは、空間5130、5132、および5134の中に積層してもよいし、これを取り除いた後、ビットライン5122、5124、5126、および5128が形成されるように、電荷記憶媒体5120の上に積層または成膜して、マスクし、エッチングしてもよい。このメモリアレイの設計は、図69の設計を上下反転させたものに似ている。こうして、ビットラインは、n+不純物でドープされるポリシリコンで充填される溝部を得る。充填する前に、n型のイオン注入が実施され、MOSデバイスのソースおよびドレインを形成する。さらに、溝部の底部において、ドーパントの代わりに耐熱性金属を用いて、ソースおよびドレインを形成してもよい。
ここで図71を参照すると、図70に示すメモリアレイは3次元アレイであることが分かる。各階層5114は、好適には、図70に示すものと同一であり、絶縁層により絶縁されている。
ここで図72を参照すると、本発明による別の特定の実施形態が図示されており、各ビットラインは、2つのデバイス階層におけるTFTのためのビットラインとして機能する。この実施形態において、メモリアレイ5140は、下側ワードライン5142および上側ワードライン5144を有する。ビットライン5146、5148、5150、および5152は、下側ワードライン5142および上側ワードライン5144の間に配置される。図67および図69と同様にして、上側半導体薄膜5154は、ビットライン5146、5148、5150、および5152と、上側ワードライン5144との間に積層される。下側半導体薄膜5156は、ビットライン5146、5148、5150、および5152と、下側ワードライン5142との間に積層される。拡散領域は、上側半導体薄膜5154と下側半導体薄膜5156内において、ビットライン5146、5148、5150、および5152に隣接して形成される。下側電荷記憶媒体5158は、下側ワードライン5142と下側半導体薄膜5156の間に積層される。上側電荷記憶媒体5160は、上側ワードライン5144と上側半導体薄膜5154の間に積層される。この実施形態において、これらの層は、鏡像式にコピーされることに留意されたい。
ここで図73を参照すると、図72のメモリアレイが、モノリシックな3次元アレイであることが分かる。各デバイス階層5140は、2つのワードラインと2つのTFT活性領域、さらに活性領域の間に配置される複数のビットラインを有するものと考えられる。択一的には、各デバイス階層は、2つのTFT活性領域の間に配置された単一ワードラインであると考え得る。つまり、各デバイス階層は、1階層ワードラインおよび2階層ビットライン、あるいは1階層ビットラインおよび2階層ワードラインを有する。TFT活性領域のそれぞれは、異なる水平平面に積層された別のTFT活性領域と、ビットラインおよびワードラインを共有する。
択一的なボトムゲート型TFTの実施形態が図81Aないし81Hに図示されている。図81Aないし81Hに示すアプローチは、図70に示すアプローチと多少類似している。層5116は、メモリアレイ構造体5114を他のメモリアレイ階層または基板から絶縁するための酸化物などの絶縁層である。層5118は導電性のワードライン層である。層5120はO−N−O誘電積層物である。層5136は、(ワードラインとビットラインがn+ポリシリコンである場合、p型の)半導体材料からなる薄膜である。
図81Bにおいて、酸化層5190が積層または成膜される。図81Cにおいて、酸化層5190は、マスク5192(すなわち、フォトレジストマスク)を用いてマスクがかけられる。図81Dにおいて、マスクされない酸化層5190の一部が従来手法を用いてエッチングされる。
図81Eにおいて、マスク5192を除去し、n型イオンが半導体層5136にイオン注入され、図81Fに示すように、酸化層5190に設けた各開口部において、n+イオン注入領域5194が形成される。図81に示すように、酸化層内のギャップを埋めるように、n+層5196を積層し、O−N−O積層物5120との接触を得るために、n+イオン注入領域5194と接触するn+材料からなるビットライン5198を形成する。図81Hにおいて、n+層5196は、図示されたようにCMPにより平坦化され、ビットライン5198を形成し、NMOSのTFTアレイを完成する。層とドーパントの導電型を逆にすることにより、当然に、PMOSのTFTアレイを構成することができる。絶縁層で分離されたデバイス階層を追加することにより、図81Aないし81Hに示すメモリアレイを複数階層有するものを構成することができる。
トップゲート型TFTの別の択一的な実施形態が図82Aないし82Iに示されている。図82Aにおいて、酸化層または絶縁層5200が基板(図示せず)の上方に積層されている。図82Bにおいて、第1の導電型の半導体材料からなる層5202が酸化層5200の上方に積層される。この半導体材料は、p+不純物がドープされたアモルファスシリコンであってもよい。図82Cにおいて、層5202が研磨されないように、CMPプロセスを止めるために、層5202の上方に、硬質の窒化CMP停止層5204が積層される。
図82Dにおいて、製造中のメモリアレイに、フォトレジストマスクのようなマスク5206がかけられる。図82Eにおいてエッチング処理されて、図82Fに示すように隙間または溝部5208を形成する。図82Gにおいて、n+不純物がドープされたポリシリコンなどの導電層5210が積層される。図82Hにおいて、この層5210をCMP研磨して、p−不純物がドープされた領域5214を含む、その間に形成されたn+ビットライン5212を残す。加熱処理した後、図82Iに示すように、拡散領域5216を形成する。さらに、アモルファスシリコン層5202を再結晶化して、ポリシリコン層を形成する。
図82Iにおいて、局在的電荷記憶膜5218をビットライン5212の上方に積層し、導電膜5220を局在的電荷記憶膜5218の上方に積層する。導電膜5220をパターン形成して、ワードラインを構成する。同様に、電荷記憶膜5218をパターン形成して、ワードラインおよび電荷記憶膜を含むレール積層物を構成する。
ここで用いられる電荷記憶媒体膜(「局在的電荷記憶膜」ともいう。)は、局在的な電荷を保持できることが必要があり、すなわち、電荷を水平方向に導通させてはいけない。1つの実施形態において、電荷トラップ層は、図77に示すような誘電積層物5160として構成することができる。この電荷記憶媒体は、例えば、ポリシリコン層5164に隣接する第1の酸化膜5162と、第1の酸化膜5162に隣接する窒化膜5166と、窒化膜5166に隣接し、ポリシリコン制御ゲート層5170とを有する誘電積層物5160であってもよい。こうした誘電積層物5160はONO積層物(すなわち、酸化膜−室化膜−酸化膜の積層物)と呼ばれることがある。必要ならば、シリコン注入された酸化物、またはシリコン含有量の多い酸化物などの他の好適な電荷トラップ誘電膜を用いることができる。
電荷記憶媒体膜は、択一的には、図78に示すような電気的に絶縁された複数のナノ結晶5172を用いて形成することができる。電荷記憶媒体にナノ結晶を用いる利点は、連続的な膜を構成しないので、ナノ結晶は自己絶縁性を有する点にある。ナノ結晶5172を用いて、自己絶縁性を有する電荷記憶領域を形成することができる。
ナノ結晶5172は、シリコン、タングステン、またはアルミニウムなどの導電性材料を用いて形成することができる。フローティングゲートが垂直方向および水平方向において隣接するセルと絶縁されるように、ナノ結晶は、自己絶縁性を有するために、その材料クラスタの大きさがセルピッチの半分未満であることが必要である。つまり、ナノ結晶または材料クラスタ5172は、単一のナノ結晶5172が垂直方向または水平方向に隣接するセルとブリッジできないように、十分小さくする必要がある。シリコンナノ結晶は、シリコンが吸着率に対して極めて高い表面拡散率を有するように、シリコンを積層することにより形成することができる。例えば、シリコンナノ結晶は、化学気相蒸着法(CVD)を用いて、極めて低い圧力下(1mTorrないし200mTorrの間の圧力)のシラン(SiH)を250℃ないし650℃の温度で分解することにより形成することができる。このようなプロセスにおいて、極めて薄く(50ないし250Å)成膜すると、微小なシリコン島が形成される。成膜時、シランにHを含めると、より高い圧力を用いることができ、依然として、ナノ結晶を得ることができる。本発明の択一的な実施形態において、アルミニウムからなるナノ結晶などの金属ナノ結晶を、金属の溶融温度付近の温度にある金属ターゲットからスパッタリング法で形成できる。このとき、金属が塊りになって、ナノ結晶が形成される。タングステンによるナノ結晶は、化学気相蒸着法により、極めて低い圧力下で、WFなどのタングステンの気相源とゲルマン(GeH)を含む混合反応ガスを用いて形成することができる。本発明のさらに別の実施形態において、フローティングゲート材料の連続膜を積層し、(加熱して)凝結させて、島を膜内に構成することができる。
理解されることであるが、ナノ結晶は、自己絶縁機能を有するため、フローティングゲートとして好ましいが、フローティングゲートを、これに限定しないが、タングステンなどの金属膜、あるいは所望する導電型の不純物がドープされた多結晶性またはアモルファスのシリコン(通常、n+シリコン)などのシリコン膜などの連続膜として構成することができる。局在的電荷記憶膜として連続膜が用いられた場合、この段階で、膜に異方性エッチングを行い、膜の一部を取り除いて、膜ストリップを電気的に絶縁する。
同様に、高濃度に不純物ドープされたポリシリコンなどのフローティングゲート材料の微小片が、酸化層などの絶縁層内に埋め込まれたとき、局在的電荷記憶媒体を構成することができる。
複数階層デバイス内にn+拡散層を形成することの問題点は、異なる熱処理に曝される点にある。つまり底部側の階層は、毎回、熱処理ステップに曝され、上部側の階層は、最後の熱処理ステップだけに曝される。MOSメモリトランジスタが、アレイ内の階層に依存して、実質的に異なる機能特性を有することは好ましくなく、拡散領域が水平方向にMOSトランジスタ内に入りこんでくることは好ましくないので、熱的処理、およびソース/ドレイン領域を構成するための熱的メカニズムに対して注意を払う必要がある。ビットラインがn+ドープされ、半導体膜がp−ドープされた場合、アンチモンはリンよりも小さい拡散性を示すので、リンの代わりにアンチモンをドーパントとして用いることができる。異なる拡散層を実現するために、ビットラインのポリシリコン内のドーパント形状を設定することができる。これが図76の概略図に図示されている。ポリシリコンを積層するために、さまざまな熱的処理が施されて、ポリシリコンのドーパント拡散濃度が確定した後、元々n+ドープされた材料が、p−ドープされた本体領域に対し、アレイ内のメモリ階層の関数として、どのように距離をおいて配置すべきかを容易に判断することができる。同様に、必要ならば、ここでもアンチモンを用いて、直接的にイオン注入することができる。図76において、(a)で図示されたビットラインは、(b)で図示されたビットラインよりもメモリアレイの上部階層により近くにある。換言すると、ビットライン(a)は、アレイ内においてビットライン(b)よりも上方に配置される。熱処理する間、ビットライン内のドーパントは、ビットライン全体を通して上方向に拡散して、p−シリコン層内に拡散して、ソースおよびドレイン領域を構成する。こうして、複数階層におけるソースおよびドレイン領域が一様にドープされる。
ここで図69を参照すると、図69に示す選択セル内の第1ビットをプログラムするために、WL(n,j)にHレベルのパルス電圧(9ないし13V、高インピーダンス)を印加し、BL(m,j)を接地し、BL(m+1,j)にHレベルのパルス電圧(3ないし8V、低インピーダンス)を印加する。第j階層におけるBL(m,j)の左側にあるすべてのビットラインは接地され、第j階層におけるBL(m+1,j)の右側にあるすべてのビットラインは、BL(m+1,j)と同じ電圧に維持される。BL(m,j)とBL(m+1,j)間の他のすべてのMOSをオフ状態にするために、第j階層における他のすべてのワードラインが接地される。他のすべての階層上にある他のすべてのビットラインおよびワードラインをフローティング状態にしておいてもよい。これは、選択セルのMOSデバイスが、独特な手法でオン状態となり、電力供給され、ホットキャリアの形成を最適化し、(BL(m+1,j)で示す)ドレイン近くの電荷トラップ誘電層がプログラムされることを意味する。
第1ビットを読み出すためには、この場合、BL(m+1,j)をソース、BL(m,j)をドレインとする。前者を接地し、後者に読み出し電圧(約50mVないし3V、好適には1ないし3V)を印加し、WL(n,j)に読み出し電圧(約1Vないし5V)が印加される。同様に、BL(m,j)の左側にあるすべてのビットラインがBL(m,j)と同じ電圧に維持され、BL(m+1,j)の右側にあるすべてのビットラインは接地される。同じ階層上の他のすべてのワードラインが接地され、同じ2つのビットライン間の他のすべてのMOSデバイスが遮断される。他のすべての階層上にある他のすべてのビットラインおよびワードラインをフローティング状態にしておいてもよい。
第2ビットを同じセル内にプログラムし、読み出すためには、BL(m,j)およびBL(m+1,j)に印加する電圧を、上述した場合に対して逆にする。
なお、MOSメモリトランジスタの本体部はフローティング状態にあり、(積層ツールにより形成される、例えば、数百Åに)薄く成膜できることに留意されたい。この領域を薄く形成することにより、デバイスの急な反発、およびプログラム電流の急激な増大を防止できる。
メモリの消去動作は、緩やかなファウラ・ノードハイム(Fowler-Nordheim)トンネリングおよびホットホールの注入の組み合わせにより、障壁層において実施することができる。MOS本体部がフローティング状態にあり、ハンド間トンネリングおよびアバランシェ崩壊が殆ど生じないので、消去電流は小さい。すべてのビットラインに所定の正の電圧を印加し、ワードラインを接地するか、負の電圧(約−5V)を印加することにより、消去動作を実施することができる。消去処理には、100ms以上かかり、各メモリ階層単位で、またはメモリ全体を一括して実施することができる。
共通するワードラインを有する選択されなかったビットは、最悪の場合の期間、ワードライン上の書き込み電圧として維持可能である必要がある。図74は、マトリックスの1階層における詳細概略図である。
各ビット(すなわち、半分のセル)に書き込むために時間tを要し、各ワードラインにおいてN個のセルが存在するならば、最悪の場合、書き込み電圧がワードラインに印加されるとき、書き込まれるビットは、時間(2N−1)tを甘受する。書き込まれた任意のセルにおいて、所定の「最低」量だけVtが変化しなかった場合、ゲートストレスの書き込み妨害は問題がない。ホットエレクトロンを用いて書き込みが実施されるので、捕獲された電荷が外へトンネリングするために必要な電圧と時間に比べて、電圧が小さく、時間が短い。さらに、選択されたセルがプログラムされている間、選択されないビットラインをフローティング状態にすることにより、任意の1つのビットに対する全体ストレスを効率的に低減することができる。こうして、選択された接地ビットラインだけが、実際に、誘電層に印加されるプログラム電圧全体を受けることになる。
選択されたビットラインと共通するビットラインを有する非選択ビットは、最悪の場合の期間、ドレインに印加されるプログラム電圧に耐え得ることが必要である。図75は、これを示す詳細概略図であり、ビットラインに沿った交差点領域が図示されている。
同様に、任意の1つのビットライン上にM個のセルが存在し、任意の1つのビットをプログラムするのに時間tを要するならば、プログラムされたビットにかかる最悪の場合のドレインストレスは、時間(M−1)tとなる。こうしたストレスを受けた後、プログラムされたビット内でVtの変化量を極力抑える必要がある。
セルの読み出し時に形成されたホットキャリアが、過去に消去された(書き込まれていない)ビットを最終的に(10年以上の寿命で)プログラムする上で十分である場合、読み出し妨害または「弱い書き込み」が生じる。必要な読み出し電圧により、中立セルの閾値電圧が所定の最少量以上に変化しないことを確実にするため、通常、促進的な試験が行われる。
上述のデバイスにおいて、n+またはp+不純物ドープされたポリシリコンは、約1×1019ないし約1×1021原子数/cmの間のドーパント濃度でドープされ、好適には、約500ないし約1000Åの膜厚を有する。p−またはn−不純物ドープされた半導体膜は、約1×1016ないし約1×1018原子数/cmの間のドーパント濃度でドープされる。
理解されるように、図示された各メモリデバイスは、単に、各シリコン領域の導電型を反転させ、ドーパント濃度範囲を維持することにより、反対の極性を有するように構成できる。こうして、NMOSデバイスのみならず、必要ならば、PMOSデバイスを形成することができる。さらに、このデバイスを構成するために用いられるシリコン膜は、再結晶化させた単結晶シリコンまたは多結晶性シリコンであってもよい。さらに、このシリコン膜は、n−型またはp−型導電性イオンを所望する濃度でドープしたシリコンゲルマニウムなどのシリコン合金膜であってもよい。
ポリシリコン製ワードラインおよびビットラインの水平方向の導電性を増大させたい場合、図79に示すように、導電性金属からなる層をワードラインまたはビットライン内に積層してもよい。図79において、ビットライン5174は、高濃度にn+不純物ドープされたポリシリコン5176からなる。これにより、このビットラインは導電性を有する。電気抵抗をさらに低減するために、チタニウムなどの耐熱性を有する電気的導電性金属からなる層5178を、ビットライン5174の内部、またはポリシリコン5176の1つまたはそれ以上の表面上に積層してもよい。通常のシリコン処理温度に曝された場合、チタニウムは、ポリシリコンとシリサイドを構成し、水平方向における高い導電性を得る。
IV. レール積層構造物におけるフラッシュメモリアレイ
先の実施形態においては、TFTは仮想グランドアレイ(VGA)として構成された。先の実施形態に図示されたVGAにおいて、各EEPROMのプログラムは、ホットキャリアを注入することにより行われる。ホットキャリアの注入時、ダイオードの両端(TFT EEPROMのソースおよびドレイン間)に電圧が印加される。TFT EEPROMのソースからチャンネルを通ってドレインへ移動するホットキャリア(つまり、ホットエレクトロンおよびホットホール)は、チャンネルに隣接して形成される電荷記憶領域内に注入される。この処理は、比較的に高い電力を要する。
書き込み/消去および読み出しの両方が重要である場合の低電力携帯用装置において、ファウラ・ノードハイムトンネリング(FNトンネリング)を利用する不揮発性フラッシュメモリを用いて、書き込み、消去する。FNトンネリングは、誘電体の両端に電圧を印加することにより生じる。つまり、TFT EEPROMにおいては、TFT EEPROMを書き込み、消去するために、TFTの制御ゲートと、ソースおよび/またはドレイン領域の間に電圧を印加する。これは、電圧がソース領域およびドレイン領域の間に印加して、ホットキャリア注入による書き込み動作とは対照的である。
書き込みおよび消去のために、FNトンネリングを用いるフラッシュメモリアレイは、その内部にある何千ものビットを同時にプログラムできるので、好適である。
同様に、殆どの(100%に近い)電流がデバイスのプログラムに寄与するので、FNトンネリングは極めて効率的なプログラム方法である。ホットキャリアを注入する場合は、これとは対照的に、ソース−ドレイン電流のほんの約1ないし2%しか、デバイスのプログラムに寄与しない。
したがって、本発明の好適な実施形態においては、TFT EEPROMなどの電荷記憶デバイスは、フラッシュメモリアレイ構造物として構成される。TFT EEPROMは、先の実施形態のように、柱状の自己整合されたTFT、またはレール積層物の構造体として構成してもよい。好適には、TFT EEPROMは、レール積層物の構造体として構成される。
VGAはFNトンネリングに関して矛盾が生じる。というのも、すべてのチャンネルポリシリコンが、Hレベルのパルス電圧を印加したワードラインの長さに沿って反転した後、プログラムする必要のあるセルに追加して、複数のセルをプログラムするためである。したがって、FNトンネリングレール積層物(交差点)フラッシュメモリアレイにおいて、ポリシリコン活性層がポリシリコン島にパターン形成され、FNトンネリングによるプログラムが可能である点において、FNトンネリングアレイはVGAとは異なる。すなわち、ポリシリコンの活性層をエッチングして、各デバイスセルに島を形成する間に、フォトリソグラフィ技術のさらなるマスクステップを、レール積層物アレイの製造プロセスに追加する。同じフォトレジストマスクを用いて、各セル内に電荷記憶領域を形成することができる。
図83Aは、本発明の好適な実施形態によるレール積層物の構造体として構成されたフラッシュメモリアレイを図示している。図83Bは、図83AのB−B線から見た断面図である。
図83Aにおいて、フラッシュメモリアレイ5230は、CMPにより平坦化された酸化シリコン層などの平坦化された中間絶縁層5231の上方に形成されている。層5231は、先の実施形態では、基板(図示せず)の上方に形成されている。(図83Aの破線で図示された)各階層のアレイは、絶縁層の上方に形成されているので、TFTである。
アレイ5230は、離間した複数の第1の導電性ビットライン5233を有し、これらのビットラインは、基板から第1の高さにおいて、第1の方向に配置されている。このアレイは、同様に、離間した複数の第2のレール積層物5235を有する。このレール積層物は、第2の高さにおいて、第2の方向に配置されている。好適には、ビットライン5233とレール積層物5235は、互いに直交するように配置されている。TFT EEPROMは、ビットライン5233とレール積層物5235の交差点に形成される。
各レール積層物5235は、複数の半導体島5237を有し、この島は、TFT EEPROM5232の活性領域を有する。島5237の一方の表面は、ビットライン5233と接触している。各レール積層物5235は、同様に、導電性ワードライン5239と、半導体島5237の第2表面およびワードライン5239の間に配置された電荷記憶領域5241とを有する。
半導体島5237は、好適には、第1導電型(すなわち、p−またはn−)のポリシリコンを有する。しかし、必要ならば、島はアモルファスシリコンで構成してもよい。ポリシリコン5237は、第2導電型(すなわち、n+またはp+)のソースおよびドレイン領域5243を有する。ソースおよびドレイン領域5243は、ビットライン5233およびレール積層物5235の間の接触する交差点に配置されている。
ビットライン5233は、好適には、第2導電型(すなわち、n+またはp+)のポリシリコンで形成されている。ビットライン5233は、ソースおよびドレイン領域5243と接触する。好適には、ソースおよびドレイン領域5243は、ビットラインからのドーパントが拡散することにより形成される。さらに任意の金属層または金属シリサイド層(図83Aでは図示せず)を、ビットライン5233と接触するように積層して、ビットラインの導電性を増大させる。離間したビットライン導電層5233の間の空間が、酸化シリコンなどの平坦化された絶縁性充填材料を用いて充填される。
電荷記憶領域5241は、先の実施形態と同様、誘電性を有する絶縁フローティングゲート、電気絶縁されたナノ結晶、またはO−N−O誘電積層物を備えていてもよい。誘電性を有する絶縁フローティングゲートを有するアレイの具体例が図83Aおよび83Bに図示されている。すなわち、図83Aおよび83Bに示す具体例において、電荷記憶領域5241は、酸化シリコン層などのトンネル誘電層5249と、酸化シリコン層またはONO層積層物からなる(中間誘電層として知られる)制御ゲート誘電層5251との間に形成されたポリシリコンのフローティングゲート5247を有する。
図83Aおよび83Bに図示するように、トンネル誘電層5249とフローティングゲート5247の水平方向の側部が、半導体島5237の水平方向の側部に位置合わせされる。制御ゲート誘電層5251は、半導体島5237の間に延び、半導体島5237の間の平坦化された絶縁材料5245と接触する。必要ならば、フローティングゲート5247は、半球粒状ポリシリコンで形成してもよく、これは、制御ゲートのフローティングゲートの結合容量を最大にするために、凹凸を有する表面を有する。択一的には、フローティングゲートの高さを増大し、フローティングゲート内に角部または突起部を形成し、あるいはフローティングゲート表面を粗面化することにより、結合容量を増大させてもよい。
ワードライン5239は、第2導電型(すなわち、n+またはp+)のポリシリコン層と、このポリシリコン層と接触する金属層または金属シリサイド層とを有する。ワードライン5239は、電荷記憶領域5241の上方に形成された位置において、TFT EEPROMの制御ゲートとして機能する。すなわち、各TFTに対する個別の制御ゲートを形成する必要がない。
この実施形態の好適な態様において、図83Aおよび83Bに示すように、レール積層物5235は、ビットライン5233の上方に配置される。しかし、必要ならば、先の実施形態の(すなわち、ボトムゲート型TFT EEPROMが形成された)図70を参照して説明したように、レール積層物5235を各階層におけるビットラインの下方に積層することも可能である。
図83Bに図示するように、ワードライン5239、電荷記憶領域5241、および半導体島5237(つまり、レール積層物5235)は、基板に対して垂直で、ソース−ドレイン方向に対して平行な平面5256内に位置合わせされる。レール積層物5235は、シリコン酸化層などの第2の平坦化された絶縁層5257により分離されている。
フラッシュメモリアレイは、2次元のアレイとして構成することも可能であるが、複数のデバイス階層を有するモノリシックな3次元アレイとして構成される。例えば、図83Aにデバイス3階層が図示されている。デバイス階層は、酸化シリコン層などの中間絶縁層5259により分離されている。必要ならば、層5257および5259は、向じ酸化シリコン層で形成してもよく、レール積層物5259の上方およびそれらの間に積層され、CMPにより平坦化される。
選択されたTFT EEPROM5232をプログラムするためには、ドレインビットラインまたはソースビットライン5233(またはそれら両方)を接地するとともに、デバイス5232に隣接する選択されたワードライン5239(Hインピーダンスノード)に正の書き込み電圧を印加する。同じデバイス階層にある他のすべてのワードラインが接地され、同じデバイス階層にある他のすべてのビットラインをフローティング状態にするか、僅かな正の電圧を印加する。これは、選択セル5232だけが、その両端に書き込み電圧を受けるということを意味する。容量結合により、フローティングゲート5247はHレベルに引き揚げられ、ソースおよび/またはドレイン5243は接地される。ソースおよび/またはドレイン5243および反転チャンネルからフローティングゲートへの電子トンネリングがシリコンチャンネル5237内で生じる。約1ミリ秒で約5Vの閾値電圧変動を得るようにセルをプログラムするための電流は、数ピコアンペアである。
このセルを消去するためには、同じビットライン5233が接地され、選択されたワードライン5239に負のパルス電圧を印加する。他のすべてのワードラインは、接地されるか、フローティング状態にしておいてもよい。他のすべてのビットラインは、フローティング状態にするか、僅かな負の電圧を印加する。ビットラインを接地しながら、複数(またはすべて)のワードラインに負の大きいパルス電圧を印加することにより、アレイ内の複数のEEPROMセルを同時に消去することができる。択一的には、選択されたワードラインを接地し、選択されたセルのビットラインに正のパルス電圧を印加する。他のすべてのビットラインを接地して、他のすべてのワードラインは、フローティング状態にするか、僅かな正のパルス電圧を印加する。
FNトンネリングだけを用いた書き込みおよび消去動作により、低電流による書き込みおよび消去動作を可能とし、ひいては、書き込みおよび消去における大規模並列処理を可能とする。すなわち、数多くのセル5232を並列的にプログラムすることができる。たとえば、1000個のセルは、5V変動を得るために、全電流で2nA必要であり、1セル当たり平均して、約1マイクロ秒でプログラムされる。書き込みおよび消去の際、ポリシリコンダイオード(すなわち、ソース/チャンネル/ドレインの接合部)の両端に大きな電圧が印加されることはないので、寄生漏れ電流は小さい。読み出しの際、ソース−ドレイン電圧が小さいので、同様に、寄生漏れ電流は小さい。10ないし20Vのプログラム電圧を用いてセルをプログラムすることができる。図83Aおよび83Bに示す上述のアプローチにおいて、微小な大きさを有するセルが実現される。ただし、負の閾値電圧の場合、ビット間における相当量の寄生漏れ電流が生じるため、(図83Aおよび83Bに示すNMOSのTFT EEPROMに関する)正の閾値電圧だけが実現可能である。各セルにおける正および負の閾値電圧を利用可能にするために、図842示すように、フラッシュメモリアレイの第2の好適な態様によるセルが追加される。
図84は、各セルの閾値電圧を微小な正の電圧値に設定できる、内蔵アクセストランジスタ5261を示す。アクセストランジスタ5261を用いることにより、上書きを防止するための特別の消去および確認アルゴリズムを避けることなく、ビットラインの漏れ電流を防止しながら、実際のセルトランジスタ(すなわち、TFT EEPROM5232)に負の閾値電圧を印加することができる。さらに、このアクセストランジスタを用いて、不具合によるTFTバンド間におけるトンネル漏れ電流を低減することができる。この漏れ電流は、負のゲート電圧により生じ、プログラムされたセルにおいて問題となり得る(フローティングゲートが電子でいっぱいとなる)。(S-H Hurらの「A Poly-Si Thin-Film Transistor EEPROM Cell with folded Floating Gate」,IEEE Trans. Elect. Dev., vol. 46, pp436-438 1999年2月を参照されたい。これをここに一体のものとして統合する。)
図84に図示するように、半導体島5237は、隣接するアクセストランジスタ5261のチャンネル領域5263と、EEPROM5232のチャンネル領域5265とを有する。チャンネル領域5263および5265は、共通のソース領域5243Aとドレイン領域5243Bの間に形成される。ワードライン5239は、EEPROMの制御ゲート、およびアクセストランジスタのゲート電極を構成する。絶縁層5251は、共通するEEPROMの制御ゲート誘電層、およびアクセストランジスタのゲート絶縁層を構成する。フローティングゲート5247およびトンネル誘電層5249は、ワードライン5239およびEEPROM5232のチャンネル領域5265の間に形成される。
セル5232/5261のフローティングゲート5247をプログラムするために、ソースビットライン5233Aを接地し、ドレインビットライン5233Bをフローティング状態にし、選択セルのワードラインに高い正の電圧を印加する。これにより、フローティングゲート内に電子をトンネルさせる。同じ階層にある他のすべてのビットラインをフローティング状態にするか、これに微小な正の電圧を印加するとともに、同じ階層にある他のすべそのワードラインを接地する。読み出すためには、選択セルのワードラインにパルス電圧を印加して、アクセストランジスタの閾値電圧より高い電圧を読む。このとき、セルのソースビットラインは接地され、ドレインビットラインは、1ないし3Vの低い電圧に設定される。同じ階層にある他のすべてのビットラインは、フローティング状態にあるか、接地され、同じ階層にある他のすべてのワードラインは接地される。セルを消去するためには、そのワードラインに高い負のパルス電圧を印加し、ソースビットラインを接地する。すべてのワードラインに高い負のパルス電圧を印加し、ソースビットラインを接地することにより、アレイ全体を消去することができる。
フラッシュメモリアレイの別の好適な態様において、図85に示すように、ドレインに対するゲートのオフセット領域5267により、ドレイン漏れ電流に関連するTFTのバンド間欠陥を低減することができる。つまり、図85に示す具体例において、ワードライン5239および電荷記憶領域5241は、ドレイン領域5243Bから離れて位置がずれている。厚い絶縁層5269が、半導体島5237およびワードライン5239の間のオフセット領域5267に形成されている。フローティングゲート5247、トンネル誘電層5249、および制御ゲート誘電層5251は、位置合わせされた水平方向の側面5253Aおよび5253Bを有する。一方の水平方向側面だけが、半導体島5237の水平方向側面5255Aと位置合わせされる。島5237は、フローティングゲート5247、トンネル誘電層5249、および制御ゲート誘電層5251よりも大きい幅を有する。
必要ならば、図84および図85に示す実施形態のフローティングゲートによる電荷記憶領域の代わりに、ONOまたはナノ結晶による電荷記憶領域を用いることができる。さらに、図84および図85に示すデバイスは、必要ならば、ボトムゲート型の構造物(つまり、ワードラインの上にビットラインを形成)として構成することもできる。
図83Aおよび83Bに示すフラッシュメモリアレイにおいて、1ビット当たりの各セルサイズは、約8F/Nないし約10F/Nである。このとき、Fは最小フィーチャサイズで、Nはアレイ内のデバイス階層数である。図84および図85に示すフラッシュメモリアレイにおいて、1ビット当たりの各セルサイズは、約9F/Nないし約11F/Nである。こうして、約8F/Nないし約10F/Nのセルサイズを実現することができる。このセルサイズは、好適にも、市販されているフラッシュメモリアレイのセルサイズ(7.7Fないし13.9F)に匹敵する。アクセストランジスタおよびコンタクトが市販されているデバイスの実効セルサイズに影響を与える場合、その冗長性により、セルサイズは9.8Fないし19.2Fの範囲にある。しかし、本実施形態のフラッシュメモリアレイが3次元アレイとして構成された(N>1)場合、本実施形態によるフラッシュメモリアレイの1ビット当たりの各セルサイズは、先行技術によるセルサイズよりも実質的に小さくなる。例えば、N=2に対して、セルサイズは、約4Fないし約5.5Fである。N>2に対して、セルサイズはよりいっそう小さくなる。
図86を参照しながら、図83ないし図85に示すフラッシュメモリアレイの製造方法について説明する。図86Aないし86Dは、各デバイス階層において、ワードラインがビットラインの上方に配置されたフラッシュメモリアレイの製造方法を示す。フォトレジストマスクを用いて、基板(図示せず)から上方の第1の高さに、離間した複数のビットライン導電層5233を形成する。図86Aにおいて、ビットライン導電層5233Aおよび5233Bは、第1の方向に延びている。このビットラインは、好適には、ポリシリコン層と、金属層または金属シリサイド層を有する。第1の絶縁層5245がビットライン導電層5233Aおよび5233Bの間、およびその上方に積層される。この絶縁層5245は、ビットライン導電層5233Aおよび5233Bの上部表面が露出するまで、CMPにより平坦化される。
図86Bに示すように、第1の半導体層5237と電荷記憶膜を含む層積層物が、露出したビットライン導電層5233Aおよび5233Bと、平坦化された絶縁層5245の上に積層される。層5237は、アモルファスシリコンまたはポリシリコンからなる層であってもよい。図86Bにおいて、電荷記憶膜は、トンネル誘電層5249ポリシリコンのフローティングゲート層5247を有する。択一的には、電荷記憶膜は、ONO積層物または誘電性を有するように絶縁されたナノ結晶であってもよい。
第2のフォトレジスト(図示せず)を積層物の上に形成して、フォトリソグラフィ技術を用いてパターン形成してマスクを構成する。このフォトレジストをマスクとして用いて、層積層物5237、5249、および5247をエッチングして、複数の第1のレール積層物5271を形成する(図86Cにおいては、分かりやすくするために、ただ1つのレール積層物を図示する。)。第1のレール積層物5271は、基板と平行な平面において、ビットライン導電層5233と同じか、実質的に同じ方向に延びている。第1のレール積層物5271のそれぞれは、半導体レール5237と、電荷記憶領域レール5247/5249とを有する。第1のレール積層物5271は、少なくとも1つの位置合わせされた水平方向端部5253/5255を有する。図86Cにおいて、第1のレール積層物5271のそれぞれは、同じフォトレジストマスクを用いてパターン形成されているので、このように水平方向に位置合わせされた2つの側面を有する。このフォトレジストマスクは、エッチングステップ後、除去される。
フローティングゲート型のEEPROMが構成される場合、図86dに示すように、制御ゲート絶縁層5251が、第1のレール積層物5271の上方、およびその間の空間5273内に積層される。こうして、層5251は、第1のレール積層物5271の水平方向端部を超えて延びる。ONO型または絶縁性ナノ結晶型のEEPROMが形成される場合、半導体層5237は、積層後、第1のレール積層物5271にパターン形成される。ONOまたはナノ結晶含有層を、パターン形成された第1のレール積層物5271の上方に積層した後、ワードラインのために導電層5239を積層する。
第2の導電層5239が制御ゲート絶縁層5251の上方に形成される。好適には、層5239は、ポリシリコン層および金属シリサイド層を有する。第3のフォトレジストマスク(図示せず)を第2の導電層5239の上に形成する。第2の導電層5239、制御ゲート絶縁層5251、および第1のレール積層物5271をエッチングして、図86Dに示すような複数の第2のレール積層物5235を形成する。第2のレール積層物5235は、ワードラインを構成するパターン形成された第2の導電層5239、電荷記憶領域島5247/5249/5251、および半導体島5237を備える。
第2の導電型のドーパント(つまり、n+またはp+)を、複数の離間した第1の導電層から、第1の導電型(つまり、p−またはn−)の半導体島5237内に拡散させることにより、ソース5243Aおよびドレイン5243Bを形成することができる。ソース領域およびドレイン領域は、半導体層5237をビットライン導電層5233Aおよび5233Bの上に積層した後、製造プロセス中いつでも形成することができる。例えば、ドーパントをソース領域およびドレイン領域に拡散させ、アモルファスシリコン層5237をポリシリコン層に再結晶化する(または層5237の粒子寸法を大きくする)ために、第2のレール積層物5235を形成した後、このデバイスをアニール処理してもよい。拡散のためのアニール処理と、結晶化のためのアニール処理を同じ加熱処理で行ってもよいし、別々の加熱処理で行ってもよい。例えば、再結晶化処理は、層5237を積層した後、直ちに行ってもよい。
第2のレール積層物5235の側面は、図83Bに示すように、基板に対して垂直で、TFT EEPROM5232のソース5243Aおよびドレイン5243Bが延びる方向とは平行な平面に位置合わせされている。制御ゲート誘電層5251は、ワードライン5239と第1の絶縁層5245の間に積層される。制御ゲート誘電層5251は、第1のレール積層物5235の一部であるので、制御ゲート誘電層5251は、図83Bに示すように、基板に対して垂直で、半導体島5237、トンネル誘電層5249、フローティングゲート5247、および制御ゲート5239に対して平行な平面に位置合わせされている。第2のレール積層物5235をエッチングしている間に、第1のレール積層物5271を島状に変形する。
そして図83Bに示すように、第2の絶縁層5257を第2のレール積層物5235の上方に積層し、第2のレール積層物5235を用いてCMPにより平坦化する。その後、中間絶縁層5259を第2の絶縁層5257およびレール積層物5235の上に積層する。必要ならば、単一の絶縁層を第2のレール積層物5235の上方およびその間に積層して、第2の絶縁層5257と中間絶縁層5259を形成してもよい。この単一層は、CMPにより平坦化される。
必要ならば、アレイのデバイス階層を複数追加して、層5259の上にモノリシックに形成して、図83Aに示すような少なくともデバイス3階層を有する3次元モノリシックアレイを構成することができる。各デバイス階層は、好適には、中間絶縁層により分離されている。
フラッシュメモリアレイの択一的な製造方法において、各デバイス階層におけるワードラインをビットライン導電層の下方に形成してもよい。(すなわち、トップゲート型TFT EEPROMではなく、ボトムゲート型TFT EEPROMが形成される。)この択一的な製造方法において、図86Eに示すように、ゲートライン5239、電荷記憶領域5251/5247/5249、および半導体島5237を有する第2のレール積層物5235が、まず積層される。そして第1の絶縁層5245が、第2のレール積層物5235を構成する半導体島の上に形成される。第1の絶縁層5245は、必要ならば、同様に、第2のレール積層物5235の間にも形成される。択一的には、第1の絶縁層5245を形成する前に、別の絶縁層が第2のレール積層物5235の間に形成され、CMPにより平坦化される。
すると溝部が第1の絶縁層5245内に形成される。この溝部を介して、ドーパントイオンを注入(または拡散)することにより、ソースおよびドレイン領域5243が半導体島5237内に形成される。溝部をエッチングする際に用いたフォトレジスト層(図示せず)は、イオン注入の前または後に除去してもよい。図86Fに示すように、(ポリシリコン層およびシリサイド層を含む層などの)第2の導電層が溝部内および第1の絶縁層の上に形成される。そして、第2導電層はCMPを用いて平坦化され、半導体島5237の上方に配置するビットライン導電層5233が形成される。択一的には、イオン注入する代わりに、ビットライン導電層5233から拡散させることにより、ソースおよびドレイン領域5243を形成することができる。
同様の製造方法を用いて、図84に示すアクセストランジスタ、または図85に示すドレインオフセット領域を含むTFT EEPROMを有するフラッシュメモリアレイを形成することができる。これらの製造方法において、トンネル誘電層5249およびフローティングゲート層5247を含む層積層物が、図86Cに示すように、第1の半導体層5237の上に形成される。そして層積層物をパターン形成して、第1のレール積層物5271を形成する。この第1のレール積層物は、第1のレールが1つの位置合わせされた水平方向端部を有し、半導体レール5237のドレイン部が露出するように、第1の幅を有する半導体レール5237と、第1の幅より狭い第2の幅を有する電荷記憶領域レール5247/5249とを備える。
こうした構造体は、2つの異なるエッチング方法を用いて実現できる。第1のエッチング方法は、図86Gに示すように、第1の幅を有する第1のフォトレジストマスク5275を積層物上に形成するステップを含む。そして、図86Gに示すように、第1のフォトレジストマスク5275を用いて、第1の半導体層5237、トンネル誘電層5249、およびフローティングゲート層5247をエッチングする。第1の幅よりも狭い第2の幅を有する第2のフォトレジストマスク5277を、フローティングゲート層5247上に形成する。図86Hに示すように、第2のフォトレジストマスク5277を用いて、第1の半導体層5237をエッチングせずに、トンネル誘電層5249およびフローティングゲート層5247をエッチングする。
第2のエッチング方法は、図86Iに示すように、第1の幅を有する第1のフォトレジストマスク5279を積層物上に形成し、第1のフォトレジストマスク5279を用いて、トンネル誘電層5249およびフローティングゲート層5247をエッチングするステップを含む。そして、図86Jに示すように、第1の幅よりも広い第2の幅を有する第2のフォトレジストマスク5277を、フローティングゲート層5247、および第1の半導体層5237が露出した部分の上に形成する(このとき、層5281および層5249/5249の間で位置合わせ不良が生じる可能性がある。)図86Jに示すように、第1の半導体層5237は、第2のフォトレジストマスク5277を用いてエッチングされる。
図84に示すアクセストランジスタ5261を有するTFT EEPROMを形成するために、パターン形成されたフローティングゲート5247、および第1のレール積層物を構成する半導体レール5237の露出した部分の上方に、制御ゲート誘電層5251を形成する。この制御ゲート誘電層5251は、半導体レール5237の露出した部分の上方にあるアクセストランジスタのゲート誘電層として機能する。
図85に示すドレインオフセット領域5267を含むTFT EEPROMを形成するために、制御ゲート誘電層5251は、フローティングゲート層5247とトンネル誘電層5249と同時にパターン形成され、ドレイン部分、および半導体レール5237のシリコンチャンネルの一部を露出させる。そして、制御ゲート誘電層5251の上方、および半導体レール5237の間に、第2の絶縁層5269を形成して、半導体レールを互いに絶縁する。層5269は、比較的に厚く、電荷記憶領域5241の膜厚以上の膜厚を有する。層5269がCMPにより平坦化され、電荷記憶領域の上側部分が露出する。そして、第2の絶縁層5269の上にワードライン5239を形成して、オフセット領域5267を形成する。
本実施形態による複数回プログラム可能な不揮発性フラッシュメモリアレイは、交差点アレイ(すなわち、レール積層物アレイ)内に何度もプログラムできるセルを提供する。FNトンネリングを用いて、書き込み、消去することができる。これにより、数多くのセルを並列に書き込むことを可能にするとともに、高い集積度と低電力のファイル保存を可能にする。加えて、1層当たりのセルサイズは、極めて好ましいことに、市販されているフラッシュメモリのセルサイズに匹敵する。
V. 論理回路およびメモリ回路のためのCMOSアレイ
NMOSデバイスまたはPMOSデバイスのアレイに関し、先の実施形態で説明された。しかし、本発明の別の好適な実施形態において、CMOS(相補型金属酸化膜半導体)トランジスタのアレイが提供される。好適には、隣接するNMOSトランジスタおよびPMOSトランジスタは、共通ゲートを有する。しかし、必要ならば、隣接するNMOSトランジスタおよびPMOSトランジスタは、個別のゲートを有してもよい。CMOSデバイスのアレイは、先の実施形態で開示したように、垂直方向の柱状CMOSデバイスのアレイ、自己整合されたCMOS TFTのアレイ、またはレール積層物のTFTアレイであってもよい。CMOSデバイスは、好適には、基板の上方にある3次元のモノリシックアレイとして構成される。しかし、CMOSデバイスは、必要ならば、基板の中または上方に2次元アレイとして構成することができる。
CMOSアレイのPMOSトランジスタまたはNMOSトランジスタにおいて、同じ階層の互いに隣接するもの同士が交互となるように(PMOSトランジスタおよびNMOSトランジスタが交互になるように)、これらトランジスタを形成することができる。しかし。本発明の好適な実施形態においては、一方の電荷キャリア型のトランジスタ(NMOSまたはPMOS)が、他方の電荷キャリア型のトランジスタ(PMOSまたはNMOS)上に形成され、その間に(メモリデバイス内のワードラインとして知られる)共通のゲートラインが形成される。このように、このアレイは、好適には、垂直方向に積層された、共通ゲートを有する複数のCMOSトランジスタから構成される。
図87は、本発明の好適な実施形態によるレール積層物として構成されたCMOSトランジスタのアレイの1階層を示し、このアレイは、垂直方向に積層された、共通ゲートを有する複数のCMOSトランジスタのアレイである。なお、このアレイは、上述したような自己整合されたTFT、または柱状構造物として構成できることに留意されたい。図87に示すCMOSアレイは、異なる電荷キャリア型を有するトランジスタがゲートラインのいずれか一方に形成されている点以外、図73に示すアレイと同様のものである。図87において、NMOSトランジスタは、PMOSトランジスタの下方に配置されている。ただし、必要ならば、NMOSトランジスタをPMOSトランジスタの下方に配置してもよいことを理解されたい。
図87において、CMOSデバイスアレイ5300は、CMPにより平坦化された酸化シリコン層などの平坦化された中間絶縁層5301の上方に形成される。層5301は、先の実施形態と同様、基板(図示せず)の上に形成される。各CMOSデバイスは、絶縁層の上に形成されるので、CMOS TFTである。しかし、必要ならば、CMOSデバイスを単結晶性シリコン基板の上に形成してもよい。
このアレイは、複数のゲートライン(すなわち、ワードライン)5303を有する。(図87の断面図においては、ただ1層のゲートラインが図示される。)好適には、ゲートラインは、第1のn+ポリシリコン層5305と、第1のn+ポリシリコン層の上方に形成されたTiSi層またはWSi層などのシリサイド層と、このシリサイド層の上方に形成された第2のp+ポリシリコン層5309とを有する。ゲートライン5303は、各TFTのゲート電極として機能する。したがって、ゲートラインを接続する個別のゲート電極は必要ない。
第1の絶縁層5311が、ゲート電極5303の第1の側面に隣接して形成される。この絶縁層5311は、従来式のゲート誘電層であってもよい。好適には、EEPROM CMOSのTFTなどの電荷記憶CMOSのTFTを構成するために、絶縁層5311は、ONO積層物、または絶縁されたナノ結晶などの電荷記憶層(電荷捕獲媒体)である。フローティングゲート型のEEPROM CMOSのTFTを所望する場合、絶縁層5311とゲートライン5303の間にフローティングゲート誘電層および制御ゲート誘電層を追加してもよい。
p−ポリシリコン層などのp−型半導体層5313が、ゲートとは反対側にある第1絶縁層の側面上に積層される。この層は、NMOSのTFT本体部を含む。n+ソースおよびドレイン領域5315が層5313内に形成される。領域5315の間における層5313の一部がNMOS TFTのチャンネル領域を構成する。
好適には、ソースおよびドレイン電極(すなわち、ビットライン)5317からn型ドーパントを拡散させることにより、ソースおよびドレイン領域5315を形成する。しかし、マスキングして、イオン注入するなど、任意の他の方法を用いて領域5315を形成することができる。電極5317は、ソースおよびドレイン領域5315と接触し、p型半導体層5313の底部上に(すなわち、第1の絶縁層5311とは反対側の層5313の側面上に)積層される。好適には、電極5317は、ゲートライン5303に対して垂直な方向に延びるn+ポリシリコンレールを有する。必要ならば、随意の金属層または金属シリサイド層を電極5317と接触するように形成して、電極の導電性を増大させる。しかし、必要ならば、電極5317は、高濃度に不純物ドープされたポリシリコンの代わりに、金属層または金属シリサイド層を有していてもよい。酸化シリコンなどの平坦な絶縁充填層5318が、ソースおよびドレイン電極5317の間に積層される。
こうして、NMOS TFTは、隣接するソースおよびドレイン領域5315の間に形成され、図87に示すように、層5305、5311、5313、および5317の一部から構成される。PMOS TFT5321は、NMOS TFT5319の上方に形成される。
PMOS TFT5321は、ゲート電極5303の第2側面に隣接した第2の絶縁層5323を有する。図87において、層5323は、ゲートライン5303のp+ポリシリコン層5309上に形成される。絶縁層5323は、従来式のゲート誘電層であってもよい。好適には、EEPROM CMOSのTFTなどの電荷記憶CMOSのTFTを構成するために、絶縁層5323は、ONO積層物、または絶縁されたナノ結晶などの電荷記憶層(電荷捕獲媒体)である。フローティングゲート型のEEPROM CMOSのTFTを所望する場合、絶縁層5323とゲートライン5303の間にフローティングゲート誘電層および制御ゲート誘電層を追加してもよい。
n−ポリシリコン層などのn−型半導体層5325が、第2絶縁層5323の上に積層される。層5325は、ゲート電極とは反対側の層5323の上に形成される。p+ソース領域およびドレイン領域5327は、その間の領域がPMOSTFTのチャンネル領域となるように、層5325内に形成される。ソースおよびドレイン電極5329は、n−ポリシリコン層5325の上に形成され、ソースおよびドレイン電極5329と接触する。こうして、電極5329は、第2絶縁層5323とは反対側のn−ポリシリコン層5325の上側側面の上に配置される。酸化シリコンなどの平坦な絶縁充填層5331が、ソースおよびドレイン電極5329の間に積層される。必要ならば、随意の金属層または金属シリサイド層を電極5317と接触するように形成して、電極の導電性を増大させる。
したがって、図87に示すように、PMOSのTFT5321は、隣接するソースとドレイン領域の間に配置され、層5309、5323、5325、および5329の一部から構成される。TFT EEPROMのCMOSデバイス5319および5321は、それぞれ、第1および第3の離間した電極または導電層5317および5329と、共通ゲートライン5303の交差点に形成される。必要ならば、CMOS構造体を逆にして、PMOSのTFTをNMOSのTFTの下方に形成してもよい。なお、留意されたい。NMOS電極およびPMOS電極(すなわち、ビットライン)は、同じピッチを有することが好ましいが、互いの上部に直接配置する必要はない。NMOSトランジスタおよびPMOSトランジスタが異なるチャンネル長を有することができるが、ピッチ(およびアレイサイズ)は、2つのチャンネル長のうち、より長い方に制限されることになる。好適な態様において、一方の導電型(すなわち、NMOSのTFTまたはPMOSのTFT)は、電荷記憶層または電荷記憶領域を有し、他方の導電型(すなわち、PMOSのTFTまたはNMOSのTFT)は、電荷記憶層または電荷記憶領域を有さない。つまり、この態様によるCMOSは、1つのEEPROM TFTと、1つの非EEPROM TFTとを有する。
図87に示すTFTのCMOSデバイスアレイ5300は、極めて平坦で小型である。NMOSのソースおよびドレイン電極5317は、中間絶縁層5301の上方であって、基板表面に対して平行な第1平面内に延びるポリシリコンレールを有する。p型ポリシリコン層5313は、ソースおよびドレイン電極5317の上方であって、第2平面内に延びる。ゲートライン5303は、層5317、5313、および5311の上方であって、第3平面内に延びる。n−型ポリシリコン層5325は、ゲートライン5303の上方であって、第4平面内に延びる。PMOSのソースおよびドレイン電極5329は、n−型ポリシリコン層5325の上方であって、第5平面内に延びるポリシリコンを有する。5つの平面のそれぞれは、他のすべとの平面と交差しない。
TFTのCMOSアレイ5300は、同様に、自己整合される。ゲート電極5303、第1の絶縁層5311、p−型半導体層5313、第2の絶縁層5323、およびn−型金導体層5325は、基板に平行な平面内に形成されたレール積層物を構成する。レール積層物は、ソースおよびドレイン電極5317および5329に対して垂直方向に延びる。つまり、以下により詳細に説明するように、ゲート電極5303、第1の絶縁層5311、p−型半導体層5313、第2の絶縁層5323、およびn−型金導体層5325は、基板に対して垂直で、ソース−ドレイン方向に対して平行な面に自己整合される。
TFTのCMOSアレイ5300は、好適には、モノリシックな3次元アレイとして構成され、1層またはそれ以上の中間絶縁層により垂直方向に分離された複数のデバイス階層を有する。アレイの各デバイス階層は、先の実施形態のようなTFTのCMOSデバイス5300を有する。周辺回路またはドライバ回路(図示せず)は、基板内、好適には、アレイの下方において、アレイと垂直方向に少なくとも部分的に位置合わせした状態で配置されるか、あるいはアレイの内部またはアレイの上方において、アレイと垂直方向に少なくとも部分的に位置合わせした状態で配置される。
図88Aないし88Dは、本発明の好適な実施形態によるレール積層物TFTのCMOSアレイ5300の製造方法を示す。まず、n+ポリシリコン層を積層し、パターン形成して、ソースおよびドレイン電極または導電層5317を形成する。二酸化シリコン層などの絶縁層5318を導電層5317の上方およびその間に積層する。層5318は、図88Aに示すように、CMPにより平坦化され、平坦化されたブロック5331を形成する。導電層5317の上側表面は、ブロックの上側表面において露出している。
層積層物がブロック5332の上に積層される。これらの層は、p−型ポリシリコン層(またはアモルファスシリコン層)5313、第1の絶縁層または局在的電荷記憶膜5311、ゲート層5303、第2の絶縁層または電荷記憶膜5323、およびn−型ポリシリコン層(またはアモルファスシリコン層)5325を有する。フォトレジストマスク(図示せず)をこの積層物の上に形成し、層積層物をエッチングして、複数のレール積層物5333を形成する(図88Bでは、分かりやすくするために、ただ1つのレール積層物5333を図示する。)。すべての層をパターン形成した後、マスクを除去してもよい。レール積層物5333内のすべての層が同じステップでパターン形成されるので、レール積層物5333内の層は、基板に対して垂直な方向の平面において、自己整合される。(つまり、レール積層物の側面は平坦である。)レール積層物5333は、ブロック5332の上方に配置される。レール積層物5333は、電極5317の方向とは異なる方向に延びる。好適には、レール積層物5333および電極5317は、図88Bに示すように、アレイ内において垂直方向に延びる。
シリコン酸化層などの絶縁層5331は、レール積層物5333の間の空間5335を充填するように、レール積層物5333の上方に積層される。そして層5331がCMPにより平坦化される。フォトレジストマスク(図示せず)は、層5331の上に形成され、このマスクを用いて、平行な溝部5339が層5331においてエッチングされる。この溝部は、図88Cに示すように、電極5317に対して平行で、レール積層物5333に対して垂直に延びる
必要ならば、層5331を積層する前に、随意の側壁スペーサ(図示せず)をレール積層物5333の側壁上に形成する。好適には、層5331の材料とは異なる絶縁材料を用いて、スペーサを形成する。このスペーサは、好適には、窒化シリコンからなる。このスペーサは、溝部をエッチングするとき、積層物5333の側壁を保護する。スペーサは、溝部がゲートライン間の領域におけるゲートラインの上側部分をはるかに超えて延びてエッチングされないようにし、ゲートがソース/ドレインとショートしないように保護する。
層5331および/またはフォトレジストをマスクとして用い、p−型イオン(すなわち、ボロンまたはBF)を、溝部5339を介して、露出したn型半導体層5325内にイオン注入する。図88Dに示すように、このイオン注入により、層5325内にp+ソースおよびドレイン領域5327が形成される。
次に、p−型ポリシリコン層を層5331の上方および溝部5339内に積層する。ポリシリコン層をCMPにより平坦化し、平坦化された絶縁層5331に埋め込まれた複数の離間したp+電極5329を形成する。電極5329は、レール積層物5333の上方に配置され、p+ソースおよびドレイン領域5327と接触する。電極5329とソースおよびドレイン領域5327は、同じリソグラフィステップを用いて形成されるので、電極5329とソースおよびドレイン領域5327との間に位置合わせ不良は生じない。択一的には、溝部5339内にイオン注入する代わりに、拡散処理により、ソースおよびドレイン領域5327を形成してもよい。
このアレイをアニール処理して、n+電極から拡散させることにより、n+ソースおよびドレイン領域5315を形成し、アモルファスまたはポリシリコン半導体層5313および5325を再結晶化させる。製造プロセスにおける任意の所望する時点で、同じまたは別のアニール処理する間に、こうした拡散処理および再結晶化処理を実施することができる。
必要ならば、図87および図88Dに示すように、中間絶縁層をアレイ上に形成し、その上に、CMOS EEPROMデバイスの別のTFTアレイを含む別の階層をモノリシックに形成する。配線金属層(好適には、アルミニウム以外の金属層)を中間絶縁層の中に形成してもよい。必要ならば、追加的な中間絶縁層およびデバイス階層を第2階層の上方に形成して、少なくともデバイス3階層を構成することができる。この実施形態の別の択一的な態様において、ゲートラインを含む第2のレール積層物は、中間絶縁層を介在させることなく、PMOS電極5329の上部の上に直接形成される。すると、PMOS電極5329は、2階層のレール積層物におけるソースおよびドレイン領域を有することになる。換言すると、中間絶縁層を介在させることなく、複数のデバイス階層を形成して、3次元のモノリシックアレイを構成することができる。この構成によれば、より少ない処理ステップで、より多くのトランジスタを提供するが、プログラムの自由度はより少ない。
図89に示すように、結果として得られたTFTのCMOSアレイは、NMOSデバイス5319およびPMOSデバイスのマトリックス5321のマトリックスである。図89に示すアレイは、プログラムされていない状態、または書き込まれていない状態のアレイである。ゲートライン(すなわち、ワードラインの行)5303と、ソースおよびドレイン電極5317、5329(すなわち、ビットライン)を接続する導電性リンクを形成するために、ゲート誘電層(すなわち、電荷記憶膜または電荷記憶領域)を絶縁導通させ、NMOSトランジスタまたはPMOSトランジスタの電荷記憶領域に電荷を蓄積して、それらの閾値電圧を上げ、永久的にこれらの電荷を隔離することにより、このアレイを論理要素またはメモリデバイスとして構成することができる。TFTのCMOS EEPROMデバイスアレイ5300を用いて、論理要素またはメモリアレイを形成することができる。さらに、書きこまれていない状態の同じ半導体デバイスを、アンチヒューズ、EPROM、またはEEPROMとして用いることができる。
本発明の好適な実施形態によれば、複数の電荷記憶デバイスと複数のアンチヒューズデバイスとを有する回路が提供される。この回路は、フィールド・プログラマブル・ゲート・アレイまたはプログラマブル論理回路を構成する。好適には、複数の電荷記憶デバイスおよび複数のアンチヒューズデバイスは、同じ型式のデバイスからなる。これにより、この回路の製造方法が簡略化される。これらのデバイスは、第1のプログラム電圧をデバイスに印加すると、その閾値電圧を高くすることによりデバイスを切断するとき、電荷記憶デバイスとして機能する。同様に、これらのデバイスは、第1の電圧より高い第2プログラム電圧をデバイスに印加したとき、アンチヒューズとして機能する。第2の電圧は、電荷記憶領域を貫通する導電性リンクを形成するのに十分な任意の電圧であってもよい。例えば、デバイス特性に依存するが、第1の電圧(すなわち電荷記憶電圧)は5ボルト未満で、導電性リンクを形成する上で十分な第2電圧は、5ないし50ボルトである。電圧は、ドライバまたは周辺回路からデバイスに供給される。しかし、必要ならば、異なる構造を有する電荷記憶半導体デバイス、およびアンチヒューズ半導体デバイスを提供することもできる。
なお、導電性リンクが電荷記憶領域を貫通するように形成されるとき、アンチヒューズデバイスとして機能する任意の電荷記憶デバイスが本発明の範疇に含まれることに留意されたい。つまり、半導体活性領域、半導体活性領域に隣接する電荷記憶領域、第1および第2の電極を備え、第1のプログラム電圧が第1および第2の電極の間に印加されたとき電荷記憶領域に電荷が蓄積され、第1および第2の電極の間の導電性経路を形成するように、導電性リンクが電荷記憶領域を貫通して形成される任意のデバイスが、本発明の範疇内に含まれる。したがって、アンチヒューズデバイスとして利用できる電荷記憶デバイスは、レール積層物のTFT EEPROMに限定されない。こうした電荷記憶デバイスとして、柱状または自動位置合わせされたTFT EEPROMと、先の実施形態の電荷記憶領域を含むダイオードと、さらに単結晶半導体基板内に形成されたEPROMおよびEEPROMとが包含される。
図89に示す4×4のセルアレイ回路がどのようにしてインバータ5453としてプログラムできるかを示す。まずゲートライン(すなわち、ワードライン)5345とビットライン5347の間に高電圧を印加する。この高電圧を用いて、出力電圧Voutを供給する。これにより、ライン5345および5347と電気的に接続されるように、導電性アンチヒューズリンク5348が形成される。そしてドライバ回路は、NMOSトランジスタ5355およびPMOSトランジスタ5357以外のすべてのトランジスタ5350にプログラム電圧を供給し、切断するために必要な閾値電圧を大きくする。NMOSトランジスタ5355およびPMOSトランジスタ5357がインバータを構成する。高電圧Vin’がゲートライン5349に印加された場合、低電圧Vout’が読み出される。逆も成り立つ。電圧VSS(すなわち、グランド)およびVDD(すなわち、供給電源電圧)が、トランジスタ5355および5357に接続されるビットライン5351および5353に供給される。
図91は、図89に示す4×4のセルアレイ回路がどのようにして2つの入力NANDゲート5360としてプログラムできるかを示す。まずゲートライン(すなわち、ワードライン)5345とビットライン5347の間に高電圧を印加する。この高電圧を用いて、出力電圧Voutを供給する。これにより、ライン5345および5347と電気的に接続されるように、導電性アンチヒューズリンク5348が形成される。そしてドライバ回路は、PMOSトランジスタ5361および5365と、NMOSトランジスタ5363および5365以外のすべてのトランジスタ5350にプログラム電圧を供給する。トランジスタ5361、5363、5365、および5367はNANDゲートを構成する。入力電圧Vin1およびVin2がゲートライン5369および5371に印加される。CMOS5361/5363がゲートライン5369に接続され、トランジスタ5365および5367がゲートライン5371に接続される。電圧VSSおよび電圧VDDがビットライン5373および5375に供給される。NMOS5367はビットラインに接続され、PMOS5361および5365はビットライン5373に接続される。出力電圧は、書き込みアンチヒューズ5348により接続されるライン5345または5347から読み出される。
図92は、図89に示す5×6のセルアレイ回路を、どのようにして静的ランダムアクセスメモリ(SRAM)5380としてプログラムできるかを示す。まずゲートライン(すなわち、ワードライン)5381および5383と、ビットライン5385、5387、および5388の間に高電圧を印加する。これにより、ライン5381をライン5385および5386と電気的に接続されるように、導電性アンチヒューズリンク5348が形成される。そしてドライバ回路は、トランジスタ5389、5390、5391、5392、5393、および5394以外のすべてのトランジスタ5350にプログラム電圧を供給して、これらを切断するために必要な閾値電圧を高くする。トランジスタ5389および5390は、SRAMアクセストランジスタであり、トランジスタ5391、5392、5393、および5394は、相互連結インバータである。このセルは、ワードライン5395に正の電圧を印加することによりアクセスされる。データは、ビットライン5396および5397に形成きれるBLおよびBL−barの上に入力され、これらから読み出される。VSSおよびVDDがそれぞれビットライン5398および5399に供給される。
図89ないし図91は、プログラム可能なさまざまな具体的構成を図示している。なお、例えば、NORゲートなどの他の任意の好適な論理デバイスまたはメモリデバイスを、上述の方法を用いてプログラムできることに留意されたい。すべての論理機能は、NANDゲートなどの基本的要素により実現できるので、任意の論理回路をこのアレイの形式にプログラムすることができる。さらに必要ならば、論理デバイスおよびメモリデバイスを同じ回路内にプログラムすることができる。論理デバイスに関して、一般に、論理ブロックのサイズは、セル領域の(x+1)倍であって、(x)は論理ゲートに対する入力数である。セル領域を4Fと同程度に小さくすることができるので、このときFは最小フィーチャサイズ(ピッチの半分)である。例えば、F=0.25ミクロンであるとき、ロジックゲート当たりの最小領域は、2入力NANDゲートまたはNORゲートに関して、4(F(x+1))、または2.25ミクロン四方となる。好適には、論理ゲート当たりの領域は、4(F(x+1))ないし5(F(x+1))である。このサイズは、ブロックの各端部上の「絶縁」列および行を有し、次のブロックと共有する。
VI. 金属誘導結晶化
本発明の好適な実施形態は、基板上に構成された不揮発性の薄膜トランジスタ(TFT)のメモリデバイスまたは論理デバイスに関する。このデバイスは、ソース領域、ドレイン領域、および遷移金属誘導による水平方向の結晶化(MILC)プロセスを用いて結晶化された、積層または成膜アモルファスシリコンまたはポリシリコンからなるチャンネル領域を有する。2次元、または好適には、それ以上3次元の多数回プログラム可能な(MTP)不揮発性メモリまたはロジックは、こうした薄膜トランジスタメモリデバイスから構成される。
本実施形態の第1の態様において、アモルファスシリコン(a−Si)またはポリシリコンなどの積層薄膜シリコンとして形成されるチャンネルを有するTFTによる不揮発性メモリセルまたはロジックセルの動作特性を向上させることが必要である。これは、a−Siまたはポリシリコンの粒子サイズを大きくして、単結晶性シリコンと近似できるとき、実現できる。
これまで、数多くの方法により、a−Siの結晶化が実現されてきた。第1のアプローチによれば、a−Siを部分的に再結晶化させて、約600℃で数十時間のアニールステップにより、他結晶性シリコンが形成された。この材料で形成されるデバイスは、より低い動作特性を有し、製造するために比較的に長い時間を要するので、このアプローチは好ましくない。したがって、遷移金属またはゲルマニウムの触媒を用いて、シード領域における水平方向の再結晶化を誘導することにより、結晶性を改善できる。
残念ながら、このように構成されたトランジスタからなる殆どのデバイスは、(単結晶性シリコンに比べて)比較的に粗悪な動作特性を有し、数百mV/decオーダの閾値以下の傾斜値と、数十μA/μmオーダのidsatを示す。金属誘導水平方向結晶化(MILC:metal-induced lateral crystallization)処理により、約400℃内し約700℃の温度で、数μm/時間以上の水平方向の結晶成長が実現される。数百ミクロンのシリコン結晶にさらに拡大させるために、比較的に短い時間の高い温度アニールステップで、例えば、900℃で30分間のアニールステップを追加して、複数階層のa−Si(または別の半導体材料)を同時に結晶化させる。アニール時間を適当に調整すると、約750℃内し約975℃の結晶化温度範囲で満足できる結果が得られる。高温で短期間のアニールステップは、これにより実現されるデバイスの拡散領域を飽和させることなく、低温アニールステップと同様、複数階層のデバイスに対して1度に適用することができる。
積層されたa−Si層を再結晶化させるための本発明の特別の実施形態による方法の具体例について説明し、図93ないし図95で図示する。当業者ならば理解されるように、ここで説明されるプロセスに対する日常的な数多くの修正を加えることが可能で、ここで開示される発明の概念に影響を与えるものではない。
ここで図93ないし図95を参照すると、積層(または成膜)されたa−Si層を再結晶化させるための製造方法のプロセスフローチャートが図93に図示されている。図94Aないし94Hは、図93のプロセスに従って用意されたシリコンウェーハの垂直方向の断面図である。図95は、標準的なシリコンウェーハの上方の埋め込み酸化層の上方に積層されたa−Si層内のシードウィンドウを介した、金属誘導水平方向結晶化(MILC)処理の効果を図示する。
プロセス5408の第1ステップ5406において(図94A)、標準的なシリコンウェーハ基板の上に厚い(例えば、3000Å)酸化層5410を成膜(または積層)して、埋め込み酸化層を形成する。次のステップ5414において、埋め込み酸化層5410の上に、薄い(例えば、1000Å)のアモルファスシリコン(a−Si)層5416を積層する。これは、例えば、550℃の温度で、シリコンソースとしてSiHを用い、70SCCMの流速で、300mTorrの圧力で、低圧化学気相蒸着法(LPCVD)により実現される。択一的には、層5416はポリシリコン層で構成されてもよい。次のステップ5418において、(例えば、3000Åの)犠牲低温酸化層(LTO)5420を積層する。ステップ5419において、マスク5422を用いて、これをパターン形成し、エッチングして遷移金属シードウィンドウ5424を露出させる。これらのシートウィンドウは、図95に示すような幅が約2μmのスロットであってもよい。マスク5244を除去してもよい。
次のステップ5426において、LTO層5420の上に(例えば、100ÅのNi(ニッケル)の)遷移金属層5428を積層する。Niが現在のところ好適ではあるが、他の遷移金属も用いることができる。Niほど好適ではないが、利用できる他の遷移金属は、Fe(鉄)、Co(コバルト)、Ru(ルテニウム)、Rh(ロジウム)、Pd(パラジウム)、Os(オスミウム)、Ir(イリジウム)、Pt(プラチナ)、Cu(銅)、およびAu(金)である。必要ならば、ゲルマニウムも利用できる。イオン注入、または当業者に広く知られた他のメカニズムにより、遷移金属がシードウィンドウ内に導入される。
次のステップ5430において、最初の水平方向結晶化のためにアニール処理される。このステップは、図94Fに示すように、所定の範囲にある温度および時間で実現される。例えば、N雰囲気中、560℃で20時間で実現される。より低い温度は、より長いアニール時間を要す。より高い温度は、より短いアニール時間を要す。当業者ならば理解されるように、これは生産量を検討する上で最適化される。所定のデバイスに対して十分で、数十μmのシリコン粒子サイズを提供するように結晶化される。さらに良好な特性、および数百μmの粒子サイズを必要とする他のデバイスは、以下説明する高温アニールステップを要する。
次のステップ5432において、残存する遷移金属層5428を除去する。これは、70℃のHSO:H(4:1)を用いて実現される。そしてステップ5434において、HFを用いて、LTO層5420を除去する。
最後に、(必要ならば)高温アニールステップ5436(例えば、N雰囲気中、900℃で30分間)を実施して、さらに大きな粒子(100μm以上の大きさ)のシリコン結晶を形成するために、結晶化されたa−Siを部分的にさらに結晶化させる。このステップにより、結晶化されたa−Si層(つまり、大きな粒子のポリシリコン層)に、従来式のSOI(シリコンオンインシュレータ)CMOS技術と同様の動作特性を与える。ここで用いた遷移金属結晶化半導体材料は、結晶化を促進するために用いられた微少検出可能量の遷移金属を含むことに留意されたい。通常の半導体処理において、微少量の遷移金属(通常、Fe、Ni)は、(通常はステンレススチールを含む)半導体製造装置の構造体から漏れ出して、TFTチャンネルを形成するときに、半導体膜内に埋もれてしまう。運営、こうした遷移金属は、約1014原子数/cc未満のレベルで存在する。しかし、遷移金属結晶化ステップにおいては、約1014原子数/ccより大きく、約1018原子数/ccまでの微少量の遷移金属が、処理後、結晶化された半導体材料内に残存する。不純物の勾配を形成したい場合、一般に、これは不純物の問題とはならないが、例えば、P(リン)のゲッタリング材料が、TFTのソースおよび/またはドレイン領域に形成して、各ソースおよび/またはドレイン領域におけるこうした不純物の濃度を上げることにより、チャンネル領域におけるこうした不純物の濃度を下げることができる。シードウィンドウ5424の領域においてデバイスを形成することは、遷移金属不純物が過剰に多いので、避ける必要がある。
上述の金属誘導結晶化方法を用いて、上述の任意のデバイス半導体活性層を再結晶化させることができる。つまり、柱状TFT、自己整合されたTFT、レール積層物TFT、およびさまざまな構造物のダイオード(すなわち、1つまたはそれ以上のpn接合部を含む半導体活性領域)を、再結晶化されたa−Siまたはポリシリコン内に構成できる。
VII. 再結晶化
これまで説明したさまざまな実施形態において、金属シリサイド層は、ポリシリコンのワードラインまたはビットラインなどのシリコン層と接触するように形成された。シリコン層と接触するチタンシリサイドを形成する1つの好適な方法において、シリコンキャップとTiN層を用いた。チタンシリサイド層は、ドープされないアモルファスシリコンのキャップ層上に形成される。このキャップ層は、1019cm−3を超える濃度、例えば、1019cm−3ないし1021cm−3の濃度でドープされたポリシリコンまたはアモルファスシリコンなどの高濃度で不純物ドープされたシリコン層で構成される。このキャップ層は、好適には、p+ポリシリコン層またはn+ポリシリコン層の上に積層される。n+アモルファスシリコンは、後のアニールステップの間に、n+ポリシリコン層に再結晶化スることができる。
チタンシリサイド(TiSi)の形成方法は、以下のステップを有する。高濃度に不純物ドープされたポリシリコン層が積層される。例えば、不純物濃度が5×1020cm−3となるまで、p+ポリシリコン層にボロンがドープされ、約1400Åの膜厚を有する。ドープされないアモルファスシリコンからなるキャップ層が、p+ポリシリコン層の上に積層される。キャップ層は、例えば、600Åの膜厚を有していてもよい。チタニウム層は、キャップ層の上に積層される。チタニウム層は、例えば、250Åの膜厚を有していてもよい。窒化チタニウム層がチタニウム層の上に積層される。窒化チタニウム層は、例えば、100Åの膜厚を有していてもよい。必要に応じて、他の膜厚を用いることもできる。
これらの層を650℃未満の温度で5分未満の間アニール処理し、キャップ層のチタニウムとシリコンを反応させ、C49相のTiSi層を形成する。アニール処理は、例えば、600℃の温度1分間で実施できる。必要ならば、p+ポリシリコン層が積層物の上に積層され、積層物は、ワードラインまたはビットラインなどの薄い「ワイヤ」または「レール」にエッチングされる。ワイヤまたはレールは、0.25mm未満の幅を有していてもよい。チタンシリサイドは、(650℃以上の)高温アニールにより、C49相からC54相へ変換することができる。ワイヤまたはレールをパターン形成す前または後に、例えば、800℃で1分間のアニール処理を実施することができる。Si/Ti/TiNの膜積層物のそれぞれを650℃未満でアニール処理することにより、ドーパントの拡散および熱的グルービングを最小限に抑える。複数膜による積層物はね積層した後、続けてエッチングすることができる。
本発明に関するこれまでの開示内容は、図示し、説明するために提示されたものである。これで本発明のすべてが開示されたわけではなく、本発明を開示されたままの形態に限定する意図はなく、上述の教示内容に照らして、数多くの変形例および変更例が可能であり、本発明の実施より想到される。図面および明細書は、本発明の原理および実際的な応用を説明するために選択された。図面は、必ずしも実寸大ではなく、概略的なブロック形式でアレイを図示している。本発明の範疇は、添付されたクレームおよびその均等物に限定されると意図されている。
図1Aは、本発明の実施形態による柱状メモリを示す。 図1Bは、本発明の実施形態による、柱状物を包囲する単一の電荷記憶媒体および単一の制御ゲートを有する柱状メモリを上から見た図である。 図1Cは、本発明の実施形態による、複数の電荷記憶媒体および複数の制御ゲートを有する柱状メモリを上から見た図である。 図2は、本発明の実施形態による柱状メモリを示す。 図3Aは、本発明の実施形態による柱状メモリデバイスの超薄膜チャンネルのメモリデバイス、およびその製造方法を示す。 図3Bは、本発明の実施形態による柱状メモリデバイスの超薄膜チャンネルのメモリデバイス、およびその製造方法を示す。 図3Cは、本発明の実施形態による柱状メモリデバイスの超薄膜チャンネルのメモリデバイス、およびその製造方法を示す。 図3Dは、本発明の実施形態による柱状メモリデバイスの超薄膜チャンネルのメモリデバイス、およびその製造方法を示す。 図4は、本発明の実施形態によるショットキコンタクトを有する柱状メモリを示す。 図5は、本発明の実施形態によるゲートダイオードの柱状メモリを示す。 図6は、本発明の実施形態によるナノ結晶性フローティングゲートを有する柱状メモリを示す。 図7は、本発明の実施形態による電荷捕獲誘電体を有する柱状メモリを示す。 図8Aは、明示的な柱状物形成プロセスを用いて柱状物を形成する方法を示す。 図8Bは、明示的な柱状物形成プロセスを用いて柱状物を形成する方法を示す。 図9Aは、交差エッチング技術を用いて柱状物を形成する方法を示す。 図9Bは、交差エッチング技術を用いて柱状物を形成する方法を示す。 図10Aは、本発明の実施形態による柱状メモリデバイスを、「スペーサエッチ」技術を用いて形成する方法を示す。 図10Bは、本発明の実施形態による柱状メモリデバイスを、「スペーサエッチ」技術を用いて形成する方法を示す。 図10Cは、本発明の実施形態による柱状メモリデバイスを、「スペーサエッチ」技術を用いて形成する方法を示す。 図10Dは、本発明の実施形態による柱状メモリデバイスを、「スペーサエッチ」技術を用いて形成する方法を示す。 図10Eは、本発明の実施形態による柱状メモリデバイスを、「スペーサエッチ」技術を用いて形成する方法を示す。 図11Aは、隣接する柱状メモリの間に共通制御ゲートを形成する方法と、隣接する柱状物の間で絶縁される制御ゲートを示す。 図11Bは、隣接する柱状メモリの間に共通制御ゲートを形成する方法と、隣接する柱状物の間で絶縁される制御ゲートを示す。 図11Cは、隣接する柱状メモリの間に共通制御ゲートを形成する方法と、隣接する柱状物の間で絶縁される制御ゲートを示す。 図12Aは、2階層以上の柱状メモリの間に、共通する連続膜の制御ゲートを形成する方法を示す。 図12Bは、2階層以上の柱状メモリの間に、共通する連続膜の制御ゲートを形成する方法を示す。 図13Aは、本発明の実施形態による複数階層を有する柱状メモリを製造する方法を示す。 図13Bは、本発明の実施形態による複数階層を有する柱状メモリを製造する方法を示す。 図14Aは、本発明の実施形態による複数階層を有する柱状メモリを製造する方法を示す。 図14Bは、本発明の実施形態による複数階層を有する柱状メモリを製造する方法を示す。 図15Aは、本発明の実施形態による複数階層を有する柱状メモリを製造する方法を示す。 図15Bは、本発明の実施形態による複数階層を有する柱状メモリを製造する方法を示す。 図16Aは、本発明の実施形態による複数階層を有する柱状メモリを製造する方法を示す。 図16Bは、本発明の実施形態による複数階層を有する柱状メモリを製造する方法を示す。 図17Aは、本発明の実施形態による複数階層を有する柱状メモリを製造する方法を示す。 図17Bは、本発明の実施形態による複数階層を有する柱状メモリを製造する方法を示す。 図18Aは、本発明の実施形態による複数階層を有する柱状メモリを製造する方法を示す。 図18Bは、本発明の実施形態による複数階層を有する柱状メモリを製造する方法を示す。 図19Aは、本発明の実施形態による複数階層を有する柱状メモリを製造する方法を示す。 図19Bは、本発明の実施形態による複数階層を有する柱状メモリを製造する方法を示す。 図20Aは、本発明の実施形態による複数階層を有する柱状メモリを製造する方法を示す。 図20Bは、本発明の実施形態による複数階層を有する柱状メモリを製造する方法を示す。 図21Aは、本発明の実施形態による複数階層を有する柱状メモリを製造する方法を示す。 図22Aは、本発明の実施形態による複数階層を有する柱状メモリを製造する方法を示す。 図22Bは、本発明の実施形態による複数階層を有する柱状メモリを製造する方法を示す。 図23Aは、本発明の実施形態による複数階層を有する柱状メモリを製造する方法を示す。 図23Bは、本発明の実施形態による複数階層を有する柱状メモリを製造する方法を示す。 図23Cは、本発明の実施形態による複数階層を有する柱状メモリを製造する方法を示す。 図24は、本発明の実施形態による複数階層を有する柱状メモリを製造する方法を示す。 図25は、本発明の実施形態による複数階層を有する柱状メモリを製造する方法を示す。 図26は、本発明の実施形態による複数階層を有する柱状メモリを製造する方法を示す。 図27は、本発明の実施形態による複数階層を有する柱状メモリを製造する方法を示す。 図28は、本発明の実施形態による複数階層を有する柱状メモリを製造する方法を示す。 図29Aは、本発明の実施形態によるメモリセルを示す。 図29Bは、図29Aのセル特性を示すグラフである。 図30は、本発明の実施形態により形成された2端子セルの断面図である。 図31は、本発明の実施形態により形成された3端子セルの断面図である。 図32は、本発明の実施形態により形成されたレール積層物を有する3次元メモリアレイの垂直断面図である。 図33は、本発明の実施形態による、基板の上方に柱状物として形成されたセルの斜視図である。 図34は、別の実施形態による、柱状物として形成されたセルを示す。 図35は、3次元のデバイスアレイの概略図である。 図36は、3次元のデバイスアレイの概略図である。 図37は、本発明の実施形態による方法を用いて、ONO誘電層、第1のゲート電極、保護酸化層、および障壁窒化層が積層された後のウェーハの側方断面図である。 図38は、ビットラインがパターン形成され、ソース/ドレインがイオン注入された後のメモリアレイの側方断面図である。 図39は、珪化プロセス後のアレイの側方断面図である。この断面は、ビットラインと垂直方向にある。 図40は、酸化層を充填し、平坦化した後のアレイの側方断面図である。この断面は、ビットラインと垂直方向にある。 図41は、障壁層が除去された後のアレイの側方断面図である。この断面は、ビットラインと垂直方向にある。 図42は、ワードラインの形成中のアレイの側方断面図である。この断面は、ビットラインと垂直方向にある。 図43は、ワードラインの形成後の、図42のA−A線から見たアレイの側方断面図である。この断面は、ビットラインと垂直方向にあり、ビットラインを貫通する。 図44は、ワードラインの形成後の、図42のB−B線から見たアレイの側方断面図である。この断面は、ビットラインと垂直方向にあり、トランジスタチャンネルを貫通する。 図45は、酸化層で充填し、平坦化した後の第2の実施形態によるアレイの側方断面図である。この断面は、ビットラインと垂直方向にある。 図46は、ワードライン形成後の第2の好適な実施形態によるアレイの側方断面図である。この断面は、ビットラインと垂直方向にある。 図47は、ワードライン形成後の好適な実施形態によるアレイの側方断面図である。この断面は、ビットラインと垂直方向にある。 図48Aは、好適な実施形態によるTFTアレイの択一的な製造方法を示す。 図48Bは、好適な実施形態によるTFTアレイの択一的な製造方法を示す。 図48Cは、好適な実施形態によるTFTアレイの択一的な製造方法を示す。 図49Aは、好適な実施形態によるTFTアレイの択一的な製造方法を示す。 図49Bは、好適な実施形態によるTFTアレイの択一的な製造方法を示す。 図49Cは、好適な実施形態によるTFTアレイの択一的な製造方法を示す。 図50は、ワードライン形成後の好適な実施形態による好適な態様のアレイの側方断面図である。この断面は、ビットラインと垂直方向にある。 図51は、ワードライン形成後の好適な実施形態による好適な態様のアレイの側方断面図である。この断面は、ビットラインと垂直方向にある。 図52は、好適な実施形態による3次元アレイの3次元斜視図である。 図53は、同じ階層にあるワードラインコンタクト導電体、およびビットラインコンタクト導電体の側方断面図である。次の階層のコンタクトのために、開口部が形成されている。 図54は、第(N+1)階層のワードラインコンタクト導電体、および第N階層のビットラインコンタクト導電体の側方断面図である。次の階層のコンタクトのために、ランドパッドが第(N+1)階層の導電体内に形成されている。 図55は、好適な実施形態によるアレイの製造方法を示す側方断面図である。この断面は、ビットラインと垂直方向にある。 図56は、好適な実施形態によるアレイの製造方法を示す側方断面図である。この断面は、ビットラインと垂直方向にある。 図57は、好適な実施形態によるアレイの製造方法を示す側方断面図である。この断面は、ビットラインと垂直方向にある。 図58は、好適な実施形態によるアレイの製造方法を示す側方断面図である。この断面は、ビットラインと垂直方向にある。 図59は、好適な実施形態によるアレイの製造方法を示す側方断面図である。この断面は、ビットラインと垂直方向にある。 図60は、好適な実施形態によるアレイの製造方法を示す側方断面図である。この断面は、ビットラインと垂直方向にある。 図61は、好適な実施形態によるアレイの製造方法を示す側方断面図である。この断面は、ビットラインと垂直方向にある。 図62は、本発明の好適な実施形態による、結晶化ウィンドウを形成した後のアレイの上面図である。 図63は、図62のA−A線から見た側方断面図である。この断面は、ビットラインと垂直方向にある。 図64は、図62のB−B線から見た側方断面図である。この断面は、ビットラインと平行方向にある。 図65は、本発明の好適な実施形態による、活性層を結晶化した後のアレイの平面図である。 図66は、本発明の特定の実施形態による2次元メモリアレイの正面斜視図である。 図67は、本発明の特定の実施形態による2次元メモリアレイの垂直断面図である。 図68は、本発明の特定の実施形態によるメモリアレイの平面図である。 図69は、本発明の特定の実施形態による3次元メモリアレイの垂直断面図である。 図70は、本発明の特定の実施形態による2次元メモリアレイの垂直断面図である。 図71は、本発明の特定の実施形態による3次元メモリアレイの垂直断面図である。 図72は、本発明の特定の実施形態によるメモリアレイの垂直断面図である。 図73は、本発明の特定の実施形態による3次元メモリアレイの垂直断面図である。 図74は、本発明の特定の実施形態による、メモリセルをプログラムするための方法を示す。 図75は、本発明の特定の実施形態による、メモリセルをプログラムするための方法を示す。 図76は、本発明の特定の実施形態によるメモリセルの製造方法を示す。 図77は、誘電積層物上のSONOSを示す断面図である。 図78は、ナノ結晶性電荷記憶媒体を示す断面図である。 図79は、水平方向の導電性を改善するために、耐熱性の金属シリサイドが内部に形成されたドープされたシリコンからなるビットラインの断面図である。 図80は、本発明の特定の実施形態による基板の断面図である。 図81Aは、本発明の特定の実施形態によるメモリアレイの製造方法のステップを示す。 図81Bは、本発明の特定の実施形態によるメモリアレイの製造方法のステップを示す。 図81Cは、本発明の特定の実施形態によるメモリアレイの製造方法のステップを示す。 図81Dは、本発明の特定の実施形態によるメモリアレイの製造方法のステップを示す。 図81Eは、本発明の特定の実施形態によるメモリアレイの製造方法のステップを示す。 図81Fは、本発明の特定の実施形態によるメモリアレイの製造方法のステップを示す。 図81Gは、本発明の特定の実施形態によるメモリアレイの製造方法のステップを示す。 図81Hは、本発明の特定の実施形態によるメモリアレイの製造方法のステップを示す。 図82Aは、本発明の特定の実施形態によるメモリアレイの製造方法のステップを示す。 図82Bは、本発明の特定の実施形態によるメモリアレイの製造方法のステップを示す。 図82Cは、本発明の特定の実施形態によるメモリアレイの製造方法のステップを示す。 図82Dは、本発明の特定の実施形態によるメモリアレイの製造方法のステップを示す。 図82Eは、本発明の特定の実施形態によるメモリアレイの製造方法のステップを示す。 図82Fは、本発明の特定の実施形態によるメモリアレイの製造方法のステップを示す。 図82Gは、本発明の特定の実施形態によるメモリアレイの製造方法のステップを示す。 図82Hは、本発明の特定の実施形態によるメモリアレイの製造方法のステップを示す。 図82Iは、本発明の特定の実施形態によるメモリアレイの製造方法のステップを示す。 図83は、本発明の特定の実施形態によるフラッシュメモリアレイを示す。 図84は、本発明の特定の実施形態によるフラッシュメモリアレイを示す。 図85は、本発明の特定の実施形態によるフラッシュメモリアレイを示す。 図86Aは、図83ないし図85のアレイの製造方法を示す。 図86Bは、図83ないし図85のアレイの製造方法を示す。 図86Cは、図83ないし図85のアレイの製造方法を示す。 図86Dは、図83ないし図85のアレイの製造方法を示す。 図86Eは、図83ないし図85のアレイの製造方法を示す。 図86Fは、図83ないし図85のアレイの製造方法を示す。 図86Gは、図83ないし図85のアレイの製造方法を示す。 図86Hは、図83ないし図85のアレイの製造方法を示す。 図86Iは、図83ないし図85のアレイの製造方法を示す。 図86Jは、図83ないし図85のアレイの製造方法を示す。 図87は、本発明の好適な実施形態によるCMOSアレイを示す。 図88Aは、図87に示すCMOSアレイの製造方法を示す。 図88Bは、図87に示すCMOSアレイの製造方法を示す。 図88Cは、図87に示すCMOSアレイの製造方法を示す。 図88Dは、図87に示すCMOSアレイの製造方法を示す。 図89は、図87に示すCMOSアレイを用いた論理回路およびメモリ回路を示す。 図90は、図87に示すCMOSアレイを用いた論理回路およびメモリ回路を示す。 図91は、図87に示すCMOSアレイを用いた論理回路およびメモリ回路を示す。 図92は、図87に示すCMOSアレイを用いた論理回路およびメモリ回路を示す。 図93は、本発明の特定の実施形態による、不揮発性TFTメモリデバイスに用いられる結晶化アモルファスシリコン層の製造方法を示すフローチャートである。 図94Aは、図93に示す製造方法におけるステップを示す垂直断面図である。 図94Bは、図93に示す製造方法におけるステップを示す垂直断面図である。 図94Cは、図93に示す製造方法におけるステップを示す垂直断面図である。 図94Dは、図93に示す製造方法におけるステップを示す垂直断面図である。 図94Eは、図93に示す製造方法におけるステップを示す垂直断面図である。 図94Fは、図93に示す製造方法におけるステップを示す垂直断面図である。 図94Gは、図93に示す製造方法におけるステップを示す垂直断面図である。 図94Hは、図93に示す製造方法におけるステップを示す垂直断面図である。 図95は、図93に示す製造方法を処理した後、シリコンウェーハの一部の平面図である。 図96は、先行技術によるデバイスを示す図である。 図97は、先行技術によるデバイスを示す図である。 図98は、先行技術によるデバイスを示す図である。 図99は、先行技術によるデバイスを示す図である。 図100は、先行技術によるデバイスを示す図である。 図101は、先行技術によるデバイスを示す図である。
100.3端子不揮発性積層可能柱状メモリデバイス
101.単結晶基板
102.第1のコンタクト領域
103.第1の入力/出力導電体(ソース/ドレイン導電体)
104.半導体本体部
106.第2のコンタクト領域
108.柱状物
110.電荷記憶媒体
112.制御ゲート
116.第2の入力/出力導電体(ソース/ドレイン導電体)

Claims (13)

  1. メモリデバイスであって、
    基板と、
    前記基板の上面に形成された第1の入力/出力導電層と、
    前記第1の入力/出力導電層の上に配置された第1の垂直な半導体チャンネルと、
    前記第1の垂直な半導体チャンネルの下面及び上面で、前記第1の垂直な半導体チャンネルとそれぞれ接触する第1および第2のソース/ドレインコンタクト領域と、
    前記第2のソース/ドレインコンタクト領域の上面に形成された第2の入力/出力導電層と、
    前記第2の入力/出力導電層の上に配置された第2の垂直な半導体チャンネルと、
    前記第2の垂直な半導体チャンネルの下面及び上面で、前記第2の垂直な半導体チャンネルとそれぞれ接触する第3および第4のソース/ドレインコンタクト領域と、
    前記第4のソース/ドレインコンタクト領域の上面に形成された第3の入力/出力導電層と、を有し、
    前記第1の垂直な半導体チャンネルと、第1および第2のソース/ドレインコンタクト領域は、第1のデバイス階層にある第1の柱状物であり、前記第2の垂直な半導体チャンネルと、第3および第4のソース/ドレインコンタクト領域は、第2のデバイス階層にある第2の柱状物であり、さらに、
    前記第1のデバイス階層にあり、前記第1の柱状物の第1面の第1部分に隣接して配置された第1の電荷記憶媒体と、
    前記第1のデバイス階層に配置され、前記第1の電荷記憶媒体に隣接して配置された第1の制御ゲートと、
    前記第1のデバイス階層および第2のデバイス階層にあり、前記第1の柱状物の前記第1面と直交する方向の第2面の第2部分に隣接して配置され、かつ前記第1の柱状物の前記第2面の前記第2部分の上方にある前記第2の柱状物の第2面の第2部分に隣接して配置された第2の電荷記憶媒体と、
    前記第1のデバイス階層および前記第2のデバイス階層に配置され、前記第2の電荷記憶媒体に隣接して配置された第2の制御ゲートと、
    前記第2のデバイス階層に配置された部分を少なくとも有し、前記第1の柱状物の前記第1面の前記第1部分の上方にある前記第2の柱状物の前記第2面と直交する方向の第1面の第1部分に隣接して配置された第3の電荷記憶媒体と、
    前記第2のデバイス階層に配置された部分を少なくとも有し、前記第3の電荷記憶媒体に隣接して配置された第3の制御ゲートと、を有し、
    前記第1の電荷記憶媒体は、前記第1の制御ゲートよりも前記第1の垂直な半導体チャンネルの近くに配置された第1のトンネル誘電膜を有し、
    前記第2の電荷記憶媒体は、前記第2の制御ゲートよりも前記第1の垂直な半導体チャンネルおよび第2の垂直な半導体チャンネルの近くに配置され、第1のデバイス階層と第2のデバイス階層において連続した第2のトンネル誘電膜を有し、
    前記第3の電荷記憶媒体は、前記第3の制御ゲートよりも前記第2の垂直な半導体チャンネルの近くに配置された第3のトンネル誘電膜を有し、
    前記第1および第3の制御ゲートの双方は、互いに分離されるとともに独立して制御可能であるメモリデバイス。
  2. 請求項1記載のメモリデバイスであって、
    前記第1および第2の垂直な半導体チャンネルは、シリコンチャンネルを有するメモリデバイス。
  3. 請求項1記載のメモリデバイスであって、
    前記第1のソース/ドレインコンタクト領域は前記第1の垂直な半導体チャンネルの下面と接触し、前記第2のソース/ドレインコンタクト領域は前記第の垂直な半導体チャンネルの上面と接触するメモリデバイス。
  4. 請求項1記載のメモリデバイスであって、
    前記第1の電荷記憶媒体は、前記垂直な半導体チャンネルと前記第1の制御ゲートとの間に配置された第1の誘電体分離フローティングゲートを有し、
    前記第2の電荷記憶媒体は、前記垂直な半導体チャンネルと前記第2の制御ゲートとの間に配置された第2の誘電体分離フローティングゲートを有するメモリデバイス。
  5. 請求項1記載のメモリデバイスであって、
    前記第1および第2の電荷記憶媒体は、ONO誘電膜または導電性ナノ結晶を含む絶縁層を有するメモリデバイス。
  6. 請求項1記載のメモリデバイスであって、
    前記デバイスは、複数のデバイス階層からなる電荷記憶デバイスのモノリシックな3次元アレイを有するメモリデバイス。
  7. メモリデバイスであって、
    基板と、
    前記基板の上面に形成された第1の入力/出力導電層と、
    前記第1の入力/出力導電層の上に配置された第1の垂直な半導体チャンネルと、
    前記第1の垂直な半導体チャンネルの下面及び上面で、前記第1の垂直な半導体チャンネルとそれぞれ接触する第1および第2のソース/ドレインコンタクト領域と、
    前記第2のソース/ドレインコンタクト領域の上面に形成された第2の入力/出力導電層と、
    前記第2の入力/出力導電層の上に配置された第2の垂直な半導体チャンネルと、
    前記第2の垂直な半導体チャンネルの下面及び上面で、前記第2の垂直な半導体チャンネルとそれぞれ接触する第3および第4のソース/ドレインコンタクト領域と、
    前記第4のソース/ドレインコンタクト領域の上面に形成された第3の入力/出力導電層と、を有し、
    前記第1の垂直な半導体チャンネルと、第1および第2のソース/ドレインコンタクト領域は、第1のデバイス階層にある第1の柱状物であり、前記第2の垂直な半導体チャンネルと、第3および第4のソース/ドレインコンタクト領域は、第2のデバイス階層にある第2の柱状物であり、さらに、
    前記第1のデバイス階層にあり、前記第1の柱状物の第1面の第1部分に隣接して配置された第1の電荷記憶媒体と、
    前記第1のデバイス階層に配置され、前記第1の電荷記憶媒体に隣接して配置された第1の制御ゲートと、
    前記第1のデバイス階層および第2のデバイス階層にあり、前記第1の柱状物の前記第1面と直交する方向の第2面の第2部分に隣接して配置され、かつ前記第1の柱状物の前記第2面の前記第2部分の上方にある前記第2の柱状物の第2面の第2部分に隣接して配置された第2の電荷記憶媒体と、
    前記第1のデバイス階層および前記第2のデバイス階層に配置され、前記第2の電荷記憶媒体に隣接して配置された第2の制御ゲートと、
    前記第2のデバイス階層に配置された部分を少なくとも有し、前記第1の柱状物の前記第1面の前記第1部分の上方にある前記第2の柱状物の前記第2面と直交する方向の第1面の第1部分に隣接して配置された第3の電荷記憶媒体と、
    前記第2のデバイス階層に配置された部分を少なくとも有し、前記第3の電荷記憶媒体に隣接して配置された第3の制御ゲートと、を有し、
    前記第1の電荷記憶媒体は、前記第1の制御ゲートよりも前記第1の垂直な半導体チャンネルの近くに配置された第1の層または膜を有し、
    前記第2の電荷記憶媒体は、前記第2の制御ゲートよりも前記第1の垂直な半導体チャンネルおよび第2の垂直な半導体チャンネルの近くに配置され、第1のデバイス階層と第2のデバイス階層において連続した第2の層または膜を有し、
    前記第3の電荷記憶媒体は、前記第3の制御ゲートよりも前記第2の垂直な半導体チャンネルの近くに配置された第3の層または膜を有し、
    前記第1および第3の制御ゲートの双方は、互いに分離されるとともに独立して制御可能であるメモリデバイス。
  8. 請求項7記載のメモリデバイスであって、
    前記デバイスは、複数のデバイス階層からなる電荷記憶デバイスのモノリシックな3次元アレイを有するメモリデバイス。
  9. 請求項7記載のメモリデバイスであって、
    前記第1および第2の垂直な半導体チャンネルは、シリコンチャンネルを有するメモリデバイス。
  10. 請求項7記載のメモリデバイスであって、
    前記第1のソース/ドレインコンタクト領域は前記第1の垂直な半導体チャンネルの下面と接触し、前記第2のソース/ドレインコンタクト領域は前記第の垂直な半導体チャンネルの上面と接触するメモリデバイス。
  11. 請求項7記載のメモリデバイスであって、
    前記第1、第2および第3の層または膜は、絶縁層または絶縁膜であるメモリデバイス。
  12. 請求項7記載のメモリデバイスであって、
    前記第1および第2の電荷記憶媒体は、ONO誘電膜または導電性ナノ結晶を含む絶縁層を有するメモリデバイス。
  13. 請求項7記載のメモリデバイスであって、
    前記第1および第2の垂直な半導体チャンネルの上面および下面に対し略直角方向の側面と前記第1および第2の電荷記憶媒体の双方との間に配置された連続したトンネル誘電膜をさらに有するメモリデバイス。
JP2002520307A 2000-08-14 2001-08-13 高集積メモリデバイス Expired - Lifetime JP5792918B2 (ja)

Applications Claiming Priority (13)

Application Number Priority Date Filing Date Title
US63970200A 2000-08-14 2000-08-14
US63957900A 2000-08-14 2000-08-14
US09/639,702 2000-08-14
US09/639,579 2000-08-14
US63974900A 2000-08-17 2000-08-17
US09/639,749 2000-08-17
US74512500A 2000-12-21 2000-12-21
US09/745,125 2000-12-21
US80123301A 2001-03-06 2001-03-06
US09/801,233 2001-03-06
US27985501P 2001-03-28 2001-03-28
US60/279,855 2001-03-28
PCT/US2001/025092 WO2002015277A2 (en) 2000-08-14 2001-08-13 Dense arrays and charge storage devices, and methods for making same

Publications (3)

Publication Number Publication Date
JP2004507091A JP2004507091A (ja) 2004-03-04
JP2004507091A5 JP2004507091A5 (ja) 2008-10-02
JP5792918B2 true JP5792918B2 (ja) 2015-10-14

Family

ID=27559541

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2002520307A Expired - Lifetime JP5792918B2 (ja) 2000-08-14 2001-08-13 高集積メモリデバイス

Country Status (8)

Country Link
US (14) US6881994B2 (ja)
EP (3) EP2323164B1 (ja)
JP (1) JP5792918B2 (ja)
KR (2) KR100821456B1 (ja)
CN (2) CN101179079B (ja)
AU (1) AU2001286432A1 (ja)
MY (1) MY129228A (ja)
WO (1) WO2002015277A2 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN114035710A (zh) * 2021-10-22 2022-02-11 上海交通大学 外触发触控传感阵列及其制备方法

Families Citing this family (890)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8779597B2 (en) * 2004-06-21 2014-07-15 Sang-Yun Lee Semiconductor device with base support structure
US6856572B2 (en) * 2000-04-28 2005-02-15 Matrix Semiconductor, Inc. Multi-headed decoder structure utilizing memory array line driver with dual purpose driver device
US6888750B2 (en) * 2000-04-28 2005-05-03 Matrix Semiconductor, Inc. Nonvolatile memory on SOI and compound semiconductor substrates and method of fabrication
AU2001286432A1 (en) 2000-08-14 2002-02-25 Matrix Semiconductor, Inc. Dense arrays and charge storage devices, and methods for making same
KR100426380B1 (ko) * 2001-03-30 2004-04-08 주승기 실리콘 박막의 결정화 방법 및 이를 이용한 반도체 소자제조 방법
DE10130766B4 (de) * 2001-06-26 2005-08-11 Infineon Technologies Ag Vertikal-Transistor, Speicheranordnung sowie Verfahren zum Herstellen eines Vertikal-Transistors
US6841813B2 (en) * 2001-08-13 2005-01-11 Matrix Semiconductor, Inc. TFT mask ROM and method for making same
US6593624B2 (en) 2001-09-25 2003-07-15 Matrix Semiconductor, Inc. Thin film transistors with vertically offset drain regions
US6744094B2 (en) * 2001-08-24 2004-06-01 Micron Technology Inc. Floating gate transistor with horizontal gate layers stacked next to vertical body
US6754108B2 (en) 2001-08-30 2004-06-22 Micron Technology, Inc. DRAM cells with repressed floating gate memory, low tunnel barrier interpoly insulators
US7476925B2 (en) * 2001-08-30 2009-01-13 Micron Technology, Inc. Atomic layer deposition of metal oxide and/or low asymmetrical tunnel barrier interploy insulators
US7087954B2 (en) * 2001-08-30 2006-08-08 Micron Technology, Inc. In service programmable logic arrays with low tunnel barrier interpoly insulators
US7075829B2 (en) * 2001-08-30 2006-07-11 Micron Technology, Inc. Programmable memory address and decode circuits with low tunnel barrier interpoly insulators
US7135734B2 (en) * 2001-08-30 2006-11-14 Micron Technology, Inc. Graded composition metal oxide tunnel barrier interpoly insulators
US6963103B2 (en) * 2001-08-30 2005-11-08 Micron Technology, Inc. SRAM cells with repressed floating gate memory, low tunnel barrier interpoly insulators
US7132711B2 (en) * 2001-08-30 2006-11-07 Micron Technology, Inc. Programmable array logic or memory with p-channel devices and asymmetrical tunnel barriers
US7068544B2 (en) * 2001-08-30 2006-06-27 Micron Technology, Inc. Flash memory with low tunnel barrier interpoly insulators
US6815781B2 (en) 2001-09-25 2004-11-09 Matrix Semiconductor, Inc. Inverted staggered thin film transistor with salicided source/drain structures and method of making same
US6690026B2 (en) * 2001-09-28 2004-02-10 Intel Corporation Method of fabricating a three-dimensional array of active media
US7219271B2 (en) 2001-12-14 2007-05-15 Sandisk 3D Llc Memory device and method for redundancy/self-repair
JP3879518B2 (ja) * 2002-01-21 2007-02-14 ソニー株式会社 磁気記憶装置およびその製造方法
US6781189B2 (en) 2002-01-22 2004-08-24 Micron Technology, Inc. Floating gate transistor with STI
US6853049B2 (en) * 2002-03-13 2005-02-08 Matrix Semiconductor, Inc. Silicide-silicon oxide-semiconductor antifuse device and method of making
US7160577B2 (en) 2002-05-02 2007-01-09 Micron Technology, Inc. Methods for atomic-layer deposition of aluminum oxides in integrated circuits
US7045430B2 (en) * 2002-05-02 2006-05-16 Micron Technology Inc. Atomic layer-deposited LaAlO3 films for gate dielectrics
US20030218896A1 (en) * 2002-05-22 2003-11-27 Pon Harry Q Combined memory
DE10224956A1 (de) * 2002-06-05 2004-01-08 Infineon Technologies Ag Verfahren zur Einstellung der Einsatzspannung eines Feldeffekttansistors, Feldeffekttransistor sowie integrierte Schaltung
US6853587B2 (en) * 2002-06-21 2005-02-08 Micron Technology, Inc. Vertical NROM having a storage density of 1 bit per 1F2
US6737675B2 (en) 2002-06-27 2004-05-18 Matrix Semiconductor, Inc. High density 3D rail stack arrays
US6952043B2 (en) * 2002-06-27 2005-10-04 Matrix Semiconductor, Inc. Electrically isolated pillars in active devices
US7221586B2 (en) 2002-07-08 2007-05-22 Micron Technology, Inc. Memory utilizing oxide nanolaminates
US6734063B2 (en) * 2002-07-22 2004-05-11 Infineon Technologies Ag Non-volatile memory cell and fabrication method
US7019353B2 (en) * 2002-07-26 2006-03-28 Micron Technology, Inc. Three dimensional flash cell
US6921702B2 (en) * 2002-07-30 2005-07-26 Micron Technology Inc. Atomic layer deposited nanolaminates of HfO2/ZrO2 films as gate dielectrics
US6835619B2 (en) 2002-08-08 2004-12-28 Micron Technology, Inc. Method of forming a memory transistor comprising a Schottky contact
US6808983B2 (en) * 2002-08-27 2004-10-26 Micron Technology, Inc. Silicon nanocrystal capacitor and process for forming same
US6740957B2 (en) * 2002-08-29 2004-05-25 Micron Technology, Inc. Shallow trench antifuse and methods of making and using same
US7042027B2 (en) * 2002-08-30 2006-05-09 Micron Technology, Inc. Gated lateral thyristor-based random access memory cell (GLTRAM)
US6917078B2 (en) * 2002-08-30 2005-07-12 Micron Technology Inc. One transistor SOI non-volatile random access memory cell
US6888200B2 (en) * 2002-08-30 2005-05-03 Micron Technology Inc. One transistor SOI non-volatile random access memory cell
JP4183464B2 (ja) * 2002-09-20 2008-11-19 富士フイルム株式会社 固体撮像装置とその駆動方法
US6858899B2 (en) * 2002-10-15 2005-02-22 Matrix Semiconductor, Inc. Thin film transistor with metal oxide layer and method of making same
US6710409B1 (en) 2002-10-15 2004-03-23 Matrix Semiconductor, Inc. Inverted staggered thin film transistor with etch stop layer and method of making same
US6995053B2 (en) * 2004-04-23 2006-02-07 Sharp Laboratories Of America, Inc. Vertical thin film transistor
US7259984B2 (en) * 2002-11-26 2007-08-21 Cornell Research Foundation, Inc. Multibit metal nanocrystal memories and fabrication
US6954394B2 (en) * 2002-11-27 2005-10-11 Matrix Semiconductor, Inc. Integrated circuit and method for selecting a set of memory-cell-layer-dependent or temperature-dependent operating conditions
US6859410B2 (en) 2002-11-27 2005-02-22 Matrix Semiconductor, Inc. Tree decoder structure particularly well-suited to interfacing array lines having extremely small layout pitch
US7660181B2 (en) * 2002-12-19 2010-02-09 Sandisk 3D Llc Method of making non-volatile memory cell with embedded antifuse
JP2006511965A (ja) * 2002-12-19 2006-04-06 マトリックス セミコンダクター インコーポレイテッド 高密度不揮発性メモリを製作するための改良された方法
US20070164388A1 (en) * 2002-12-19 2007-07-19 Sandisk 3D Llc Memory cell comprising a diode fabricated in a low resistivity, programmed state
US7618850B2 (en) * 2002-12-19 2009-11-17 Sandisk 3D Llc Method of making a diode read/write memory cell in a programmed state
US8008700B2 (en) * 2002-12-19 2011-08-30 Sandisk 3D Llc Non-volatile memory cell with embedded antifuse
US7800932B2 (en) 2005-09-28 2010-09-21 Sandisk 3D Llc Memory cell comprising switchable semiconductor memory element with trimmable resistance
US7800933B2 (en) * 2005-09-28 2010-09-21 Sandisk 3D Llc Method for using a memory cell comprising switchable semiconductor memory element with trimmable resistance
DE10260185B4 (de) * 2002-12-20 2007-04-12 Infineon Technologies Ag Halbleiterspeicher mit vertikalen Charge-trapping-Speicherzellen und Verfahren zu seiner Herstellung
US6807119B2 (en) * 2002-12-23 2004-10-19 Matrix Semiconductor, Inc. Array containing charge storage and dummy transistors and method of operating the array
US6849905B2 (en) * 2002-12-23 2005-02-01 Matrix Semiconductor, Inc. Semiconductor device with localized charge storage dielectric and method of making same
EP1434264A3 (en) * 2002-12-27 2017-01-18 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method using the transfer technique
US7005350B2 (en) * 2002-12-31 2006-02-28 Matrix Semiconductor, Inc. Method for fabricating programmable memory array structures incorporating series-connected transistor strings
US7233522B2 (en) 2002-12-31 2007-06-19 Sandisk 3D Llc NAND memory array incorporating capacitance boosting of channel regions in unselected memory cells and method for operation of same
US7505321B2 (en) * 2002-12-31 2009-03-17 Sandisk 3D Llc Programmable memory array structure incorporating series-connected transistor strings and methods for fabrication and operation of same
US6713371B1 (en) * 2003-03-17 2004-03-30 Matrix Semiconductor, Inc. Large grain size polysilicon films formed by nuclei-induced solid phase crystallization
US6868022B2 (en) * 2003-03-28 2005-03-15 Matrix Semiconductor, Inc. Redundant memory structure using bad bit pointers
US6822903B2 (en) * 2003-03-31 2004-11-23 Matrix Semiconductor, Inc. Apparatus and method for disturb-free programming of passive element memory cells
US7233024B2 (en) * 2003-03-31 2007-06-19 Sandisk 3D Llc Three-dimensional memory device incorporating segmented bit line memory array
US6879505B2 (en) * 2003-03-31 2005-04-12 Matrix Semiconductor, Inc. Word line arrangement having multi-layer word line segments for three-dimensional memory array
US6815077B1 (en) * 2003-05-20 2004-11-09 Matrix Semiconductor, Inc. Low temperature, low-resistivity heavily doped p-type polysilicon deposition
US6963104B2 (en) * 2003-06-12 2005-11-08 Advanced Micro Devices, Inc. Non-volatile memory device
DE10326805B4 (de) * 2003-06-13 2007-02-15 Infineon Technologies Ag Herstellungsverfahren für nichtflüchtige Speicherzellen
US7243203B2 (en) * 2003-06-13 2007-07-10 Sandisk 3D Llc Pipeline circuit for low latency memory
US8125003B2 (en) * 2003-07-02 2012-02-28 Micron Technology, Inc. High-performance one-transistor memory cell
JP2005057187A (ja) * 2003-08-07 2005-03-03 Renesas Technology Corp 半導体記憶装置およびその製造方法
US7012299B2 (en) * 2003-09-23 2006-03-14 Matrix Semiconductors, Inc. Storage layer optimization of a nonvolatile memory device
US7057958B2 (en) * 2003-09-30 2006-06-06 Sandisk Corporation Method and system for temperature compensation for memory cells with temperature-dependent behavior
US7221008B2 (en) * 2003-10-06 2007-05-22 Sandisk Corporation Bitline direction shielding to avoid cross coupling between adjacent cells for NAND flash memory
US7195992B2 (en) * 2003-10-07 2007-03-27 Sandisk 3D Llc Method of uniform seeding to control grain and defect density of crystallized silicon for use in sub-micron thin film transistors
US7202523B2 (en) * 2003-11-17 2007-04-10 Micron Technology, Inc. NROM flash memory devices on ultrathin silicon
TWI276206B (en) * 2003-11-25 2007-03-11 Promos Technologies Inc Method for fabricating flash memory device and structure thereof
US6933558B2 (en) * 2003-12-04 2005-08-23 Advanced Micro Devices, Inc. Flash memory device
US7023739B2 (en) * 2003-12-05 2006-04-04 Matrix Semiconductor, Inc. NAND memory array incorporating multiple write pulse programming of individual memory cells and method for operation of same
US7221588B2 (en) * 2003-12-05 2007-05-22 Sandisk 3D Llc Memory array incorporating memory cells arranged in NAND strings
US7423304B2 (en) 2003-12-05 2008-09-09 Sandisck 3D Llc Optimization of critical dimensions and pitch of patterned features in and above a substrate
US7172840B2 (en) * 2003-12-05 2007-02-06 Sandisk Corporation Photomask features with interior nonprinting window using alternating phase shifting
US20050128807A1 (en) * 2003-12-05 2005-06-16 En-Hsing Chen Nand memory array incorporating multiple series selection devices and method for operation of same
US7241654B2 (en) * 2003-12-17 2007-07-10 Micron Technology, Inc. Vertical NROM NAND flash memory array
US6951780B1 (en) * 2003-12-18 2005-10-04 Matrix Semiconductor, Inc. Selective oxidation of silicon in diode, TFT, and monolithic three dimensional memory arrays
US7816722B2 (en) * 2004-02-04 2010-10-19 Hewlett-Packard Development Company, L.P. Memory array
US7256450B2 (en) * 2004-03-24 2007-08-14 Micron Technology, Inc. NROM memory device with a high-permittivity gate dielectric formed by the low temperature oxidation of metals
TWI228832B (en) * 2004-04-05 2005-03-01 Quanta Display Inc Structure of LTPS-TFT and fabricating method of channel layer thereof
US7315067B2 (en) * 2004-07-02 2008-01-01 Impinj, Inc. Native high-voltage n-channel LDMOSFET in standard logic CMOS
US8264039B2 (en) * 2004-04-26 2012-09-11 Synopsys, Inc. High-voltage LDMOSFET and applications therefor in standard CMOS
US20050275106A1 (en) * 2004-06-14 2005-12-15 Fricke Peter J Electronic isolation device
US7825982B2 (en) * 2004-06-17 2010-11-02 Aptina Imaging Corporation Operation stabilized pixel bias circuit
KR100536043B1 (ko) * 2004-06-25 2005-12-12 삼성전자주식회사 적층형 반도체 장치 및 그 제조 방법
US8159001B2 (en) * 2004-07-02 2012-04-17 Synopsys, Inc. Graded junction high voltage semiconductor device
US7205486B2 (en) * 2004-07-16 2007-04-17 Cardiac Pacemakers, Inc. Thermally isolated via structure
KR100668301B1 (ko) 2004-07-16 2007-01-12 삼성전자주식회사 실리콘 산화물 상에 형성된 나노 도트 구조체 및 그 제조방법
US7518182B2 (en) 2004-07-20 2009-04-14 Micron Technology, Inc. DRAM layout with vertical FETs and method of formation
US7601649B2 (en) * 2004-08-02 2009-10-13 Micron Technology, Inc. Zirconium-doped tantalum oxide films
KR100615093B1 (ko) * 2004-08-24 2006-08-22 삼성전자주식회사 나노크리스탈을 갖는 비휘발성 메모리 소자의 제조방법
US7081421B2 (en) 2004-08-26 2006-07-25 Micron Technology, Inc. Lanthanide oxide dielectric layer
US7588988B2 (en) 2004-08-31 2009-09-15 Micron Technology, Inc. Method of forming apparatus having oxide films formed using atomic layer deposition
US7259415B1 (en) 2004-09-02 2007-08-21 Micron Technology, Inc. Long retention time single transistor vertical memory gain cell
US7271052B1 (en) 2004-09-02 2007-09-18 Micron Technology, Inc. Long retention time single transistor vertical memory gain cell
US7271433B1 (en) 2004-09-02 2007-09-18 Micron Technology, Inc. High-density single transistor vertical memory gain cell
US7566974B2 (en) * 2004-09-29 2009-07-28 Sandisk 3D, Llc Doped polysilicon via connecting polysilicon layers
US7638850B2 (en) 2004-10-14 2009-12-29 Saifun Semiconductors Ltd. Non-volatile memory structure and method of fabrication
KR100618875B1 (ko) * 2004-11-08 2006-09-04 삼성전자주식회사 수직 채널 mos 트랜지스터를 구비한 반도체 메모리소자 및 그 제조방법
US7416956B2 (en) * 2004-11-23 2008-08-26 Sandisk Corporation Self-aligned trench filling for narrow gap isolation regions
US7381615B2 (en) 2004-11-23 2008-06-03 Sandisk Corporation Methods for self-aligned trench filling with grown dielectric for high coupling ratio in semiconductor devices
US7199419B2 (en) * 2004-12-13 2007-04-03 Micron Technology, Inc. Memory structure for reduced floating body effect
US7218570B2 (en) * 2004-12-17 2007-05-15 Sandisk 3D Llc Apparatus and method for memory operations using address-dependent conditions
US7277336B2 (en) * 2004-12-28 2007-10-02 Sandisk 3D Llc Method and apparatus for improving yield in semiconductor devices by guaranteeing health of redundancy information
US7286439B2 (en) 2004-12-30 2007-10-23 Sandisk 3D Llc Apparatus and method for hierarchical decoding of dense memory arrays using multiple levels of multiple-headed decoders
US7298665B2 (en) * 2004-12-30 2007-11-20 Sandisk 3D Llc Dual-mode decoder circuit, integrated circuit memory array incorporating same, and related methods of operation
US8482052B2 (en) 2005-01-03 2013-07-09 Macronix International Co., Ltd. Silicon on insulator and thin film transistor bandgap engineered split gate memory
US7642585B2 (en) * 2005-01-03 2010-01-05 Macronix International Co., Ltd. Non-volatile memory cells, memory arrays including the same and methods of operating cells and arrays
US7315474B2 (en) 2005-01-03 2008-01-01 Macronix International Co., Ltd Non-volatile memory cells, memory arrays including the same and methods of operating cells and arrays
US7709334B2 (en) * 2005-12-09 2010-05-04 Macronix International Co., Ltd. Stacked non-volatile memory device and methods for fabricating the same
US7473589B2 (en) * 2005-12-09 2009-01-06 Macronix International Co., Ltd. Stacked thin film transistor, non-volatile memory devices and methods for fabricating the same
US7307268B2 (en) 2005-01-19 2007-12-11 Sandisk Corporation Structure and method for biasing phase change memory array for reliable writing
KR100695892B1 (ko) * 2005-01-25 2007-03-19 삼성전자주식회사 굴곡진 프로파일을 갖는 부유 게이트를 구비하는 비휘발성메모리 소자 및 그 형성 방법
US7692236B1 (en) * 2005-02-15 2010-04-06 Spansion Llc Multiple dual bit memory integrated circuit system
US7517796B2 (en) * 2005-02-17 2009-04-14 Sandisk 3D Llc Method for patterning submicron pillars
US20060205129A1 (en) * 2005-02-25 2006-09-14 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing semiconductor device
US7303959B2 (en) * 2005-03-11 2007-12-04 Sandisk 3D Llc Bottom-gate SONOS-type cell having a silicide gate
US7422985B2 (en) * 2005-03-25 2008-09-09 Sandisk 3D Llc Method for reducing dielectric overetch using a dielectric etch stop at a planar surface
US7521353B2 (en) 2005-03-25 2009-04-21 Sandisk 3D Llc Method for reducing dielectric overetch when making contact to conductive features
US7687409B2 (en) 2005-03-29 2010-03-30 Micron Technology, Inc. Atomic layer deposited titanium silicon oxide films
US7142471B2 (en) * 2005-03-31 2006-11-28 Sandisk 3D Llc Method and apparatus for incorporating block redundancy in a memory array
US7054219B1 (en) 2005-03-31 2006-05-30 Matrix Semiconductor, Inc. Transistor layout configuration for tight-pitched memory array lines
US7272052B2 (en) * 2005-03-31 2007-09-18 Sandisk 3D Llc Decoding circuit for non-binary groups of memory line drivers
US7359279B2 (en) * 2005-03-31 2008-04-15 Sandisk 3D Llc Integrated circuit memory array configuration including decoding compatibility with partial implementation of multiple memory layers
US7602006B2 (en) * 2005-04-20 2009-10-13 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor flash device
US7662729B2 (en) 2005-04-28 2010-02-16 Micron Technology, Inc. Atomic layer deposition of a ruthenium layer to a lanthanide oxide dielectric layer
US7473952B2 (en) * 2005-05-02 2009-01-06 Infineon Technologies Ag Memory cell array and method of manufacturing the same
US7728390B2 (en) * 2005-05-06 2010-06-01 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-level interconnection memory device
US7371627B1 (en) * 2005-05-13 2008-05-13 Micron Technology, Inc. Memory array with ultra-thin etched pillar surround gate access transistors and buried data/bit lines
US7120046B1 (en) 2005-05-13 2006-10-10 Micron Technology, Inc. Memory array with surrounding gate access transistors and capacitors with global and staggered local bit lines
US7378707B2 (en) * 2005-05-26 2008-05-27 Micron Technology, Inc. Scalable high density non-volatile memory cells in a contactless memory array
US7183608B2 (en) * 2005-05-26 2007-02-27 Macronix International Co., Ltd. Memory array including isolation between memory cell and dummy cell portions
US7572695B2 (en) 2005-05-27 2009-08-11 Micron Technology, Inc. Hafnium titanium oxide films
US8110863B2 (en) 2005-06-01 2012-02-07 Sandisk 3D Llc TFT charge storage memory cell having high-mobility corrugated channel
US20060273298A1 (en) * 2005-06-02 2006-12-07 Matrix Semiconductor, Inc. Rewriteable memory cell comprising a transistor and resistance-switching material in series
US7538389B2 (en) * 2005-06-08 2009-05-26 Micron Technology, Inc. Capacitorless DRAM on bulk silicon
US7317641B2 (en) * 2005-06-20 2008-01-08 Sandisk Corporation Volatile memory cell two-pass writing method
US7764549B2 (en) * 2005-06-20 2010-07-27 Sandisk 3D Llc Floating body memory cell system and method of manufacture
US7212454B2 (en) * 2005-06-22 2007-05-01 Sandisk 3D Llc Method and apparatus for programming a memory array
TWI270977B (en) * 2005-06-27 2007-01-11 Powerchip Semiconductor Corp Non-volatile memory and manufacturing method and operating method thereof
US7157345B1 (en) * 2005-06-29 2007-01-02 Freescale Semiconductor, Inc. Source side injection storage device and method therefor
US7132329B1 (en) * 2005-06-29 2006-11-07 Freescale Semiconductor, Inc. Source side injection storage device with spacer gates and method therefor
US7888721B2 (en) * 2005-07-06 2011-02-15 Micron Technology, Inc. Surround gate access transistors with grown ultra-thin bodies
US7426128B2 (en) * 2005-07-11 2008-09-16 Sandisk 3D Llc Switchable resistive memory with opposite polarity write pulses
EP1746645A3 (en) * 2005-07-18 2009-01-21 Saifun Semiconductors Ltd. Memory array with sub-minimum feature size word line spacing and method of fabrication
US7927948B2 (en) 2005-07-20 2011-04-19 Micron Technology, Inc. Devices with nanocrystals and methods of formation
US7211487B2 (en) * 2005-07-25 2007-05-01 Freescale Semiconductor, Inc. Process for forming an electronic device including discontinuous storage elements
US7619270B2 (en) * 2005-07-25 2009-11-17 Freescale Semiconductor, Inc. Electronic device including discontinuous storage elements
US7642594B2 (en) * 2005-07-25 2010-01-05 Freescale Semiconductor, Inc Electronic device including gate lines, bit lines, or a combination thereof
US7619275B2 (en) * 2005-07-25 2009-11-17 Freescale Semiconductor, Inc. Process for forming an electronic device including discontinuous storage elements
US7211858B2 (en) * 2005-07-25 2007-05-01 Freescale Semiconductor, Inc. Split gate storage device including a horizontal first gate and a vertical second gate in a trench
US7582929B2 (en) * 2005-07-25 2009-09-01 Freescale Semiconductor, Inc Electronic device including discontinuous storage elements
US7768051B2 (en) * 2005-07-25 2010-08-03 Micron Technology, Inc. DRAM including a vertical surround gate transistor
US7526739B2 (en) * 2005-07-26 2009-04-28 R3 Logic, Inc. Methods and systems for computer aided design of 3D integrated circuits
US7755129B2 (en) * 2005-08-15 2010-07-13 Macronix International Co., Ltd. Systems and methods for memory structure comprising a PPROM and an embedded flash memory
US8110469B2 (en) 2005-08-30 2012-02-07 Micron Technology, Inc. Graded dielectric layers
US7560335B2 (en) * 2005-08-30 2009-07-14 Micron Technology, Inc. Memory device transistors
US7696567B2 (en) * 2005-08-31 2010-04-13 Micron Technology, Inc Semiconductor memory device
KR100697291B1 (ko) * 2005-09-15 2007-03-20 삼성전자주식회사 비휘발성 반도체 메모리 장치 및 그 제조방법
US7800934B2 (en) * 2005-09-28 2010-09-21 Sandisk 3D Llc Programming methods to increase window for reverse write 3D cell
JP4822791B2 (ja) * 2005-10-04 2011-11-24 ルネサスエレクトロニクス株式会社 半導体記憶装置
US7521950B2 (en) * 2005-10-07 2009-04-21 International Business Machines Corporation Wafer level I/O test and repair enabled by I/O layer
US7737003B2 (en) 2005-10-11 2010-06-15 International Business Machines Corporation Method and structure for optimizing yield of 3-D chip manufacture
US20070085129A1 (en) * 2005-10-14 2007-04-19 Macronix International Co., Ltd. Nitride read only memory device with buried diffusion spacers and method for making the same
US20070102724A1 (en) * 2005-11-10 2007-05-10 Matrix Semiconductor, Inc. Vertical diode doped with antimony to avoid or limit dopant diffusion
US20070120180A1 (en) * 2005-11-25 2007-05-31 Boaz Eitan Transition areas for dense memory arrays
US20070120173A1 (en) * 2005-11-28 2007-05-31 Bohumil Lojek Non-volatile memory cell with high current output line
US7615502B2 (en) * 2005-12-16 2009-11-10 Sandisk 3D Llc Laser anneal of vertically oriented semiconductor structures while maintaining a dopant profile
TWI266423B (en) * 2005-12-23 2006-11-11 Ind Tech Res Inst Three-dimensional thin-film transistor nano-die memory device and manufacturing method thereof
KR100713301B1 (ko) * 2005-12-28 2007-05-04 동부일렉트로닉스 주식회사 다중 병렬 구조의 에프피지에이 구조 및 그 형성 방법
JP2007193862A (ja) * 2006-01-17 2007-08-02 Toshiba Corp 不揮発性半導体記憶装置
US7544980B2 (en) * 2006-01-27 2009-06-09 Freescale Semiconductor, Inc. Split gate memory cell in a FinFET
US20070183189A1 (en) * 2006-02-08 2007-08-09 Thomas Nirschl Memory having nanotube transistor access device
KR100723527B1 (ko) * 2006-02-13 2007-05-30 삼성전자주식회사 수직 채널 트랜지스터를 구비한 반도체 소자의 제조방법 및그에 의해 제조된 반도체 소자
US8357994B1 (en) * 2006-03-01 2013-01-22 Sandia Corporation Antifuse with a single silicon-rich silicon nitride insulating layer
US8008137B2 (en) * 2006-03-15 2011-08-30 Marvell World Trade Ltd. Method for fabricating 1T-DRAM on bulk silicon
US7700461B2 (en) 2006-03-17 2010-04-20 Samsung Electronics Co., Ltd. Methods of laterally forming single crystalline thin film regions from seed layers
EP1997148A1 (en) 2006-03-20 2008-12-03 STMicroelectronics S.r.l. Semiconductor field-effect transistor, memory cell and memory device
US8395199B2 (en) * 2006-03-25 2013-03-12 4D-S Pty Ltd. Systems and methods for fabricating self-aligned memory cell
US7592224B2 (en) 2006-03-30 2009-09-22 Freescale Semiconductor, Inc Method of fabricating a storage device including decontinuous storage elements within and between trenches
US7427549B2 (en) * 2006-03-31 2008-09-23 Freescale Semiconductor, Inc. Method of separating a structure in a semiconductor device
US8354311B2 (en) 2006-04-04 2013-01-15 Micron Technology, Inc. Method for forming nanofin transistors
US20070228491A1 (en) * 2006-04-04 2007-10-04 Micron Technology, Inc. Tunneling transistor with sublithographic channel
US7491995B2 (en) 2006-04-04 2009-02-17 Micron Technology, Inc. DRAM with nanofin transistors
US7425491B2 (en) 2006-04-04 2008-09-16 Micron Technology, Inc. Nanowire transistor with surrounding gate
US8734583B2 (en) * 2006-04-04 2014-05-27 Micron Technology, Inc. Grown nanofin transistors
JP4908901B2 (ja) * 2006-04-11 2012-04-04 ラピスセミコンダクタ株式会社 不揮発性メモリの製造方法
US7907450B2 (en) 2006-05-08 2011-03-15 Macronix International Co., Ltd. Methods and apparatus for implementing bit-by-bit erase of a flash memory device
US8008144B2 (en) 2006-05-11 2011-08-30 Micron Technology, Inc. Dual work function recessed access device and methods of forming
US8860174B2 (en) * 2006-05-11 2014-10-14 Micron Technology, Inc. Recessed antifuse structures and methods of making the same
US20070262395A1 (en) * 2006-05-11 2007-11-15 Gibbons Jasper S Memory cell access devices and methods of making the same
US8129242B2 (en) * 2006-05-12 2012-03-06 Macronix International Co., Ltd. Method of manufacturing a memory device
US7283414B1 (en) 2006-05-24 2007-10-16 Sandisk 3D Llc Method for improving the precision of a temperature-sensor circuit
US20080135914A1 (en) * 2006-06-30 2008-06-12 Krishna Nety M Nanocrystal formation
US20080017890A1 (en) * 2006-06-30 2008-01-24 Sandisk 3D Llc Highly dense monolithic three dimensional memory array and method for forming
US20080012065A1 (en) * 2006-07-11 2008-01-17 Sandisk Corporation Bandgap engineered charge storage layer for 3D TFT
US7932548B2 (en) * 2006-07-14 2011-04-26 4D-S Pty Ltd. Systems and methods for fabricating self-aligned memory cell
JP2008028257A (ja) * 2006-07-24 2008-02-07 Toshiba Corp 半導体装置及びその製造方法
US20080032475A1 (en) * 2006-08-02 2008-02-07 Spansion Llc Memory cell system with gradient charge isolation
US20080032464A1 (en) * 2006-08-02 2008-02-07 Spansion Llc Memory cell system with nitride charge isolation
US7619945B2 (en) * 2006-08-18 2009-11-17 Unity Semiconductor Corporation Memory power management
JP4250649B2 (ja) * 2006-09-26 2009-04-08 株式会社東芝 不揮発性半導体記憶素子及び不揮発性半導体記憶装置
US7598130B2 (en) * 2006-09-28 2009-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Method for reducing layout-dependent variations in semiconductor devices
JP5068053B2 (ja) * 2006-10-02 2012-11-07 ルネサスエレクトロニクス株式会社 不揮発性半導体記憶装置およびその動作方法
US8772858B2 (en) 2006-10-11 2014-07-08 Macronix International Co., Ltd. Vertical channel memory and manufacturing method thereof and operating method using the same
US7811890B2 (en) 2006-10-11 2010-10-12 Macronix International Co., Ltd. Vertical channel transistor structure and manufacturing method thereof
KR101131136B1 (ko) * 2006-10-19 2012-04-03 삼성전자주식회사 리세스-타입 제어 게이트 전극을 구비하는 반도체 메모리소자의 동작 방법
KR100881392B1 (ko) * 2006-10-31 2009-02-05 주식회사 하이닉스반도체 수직형 트랜지스터를 구비한 반도체 소자 및 그의 제조방법
US20080111182A1 (en) * 2006-11-02 2008-05-15 Rustom Irani Forming buried contact etch stop layer (CESL) in semiconductor devices self-aligned to diffusion
US7994564B2 (en) * 2006-11-20 2011-08-09 Taiwan Semiconductor Manufacturing Company, Ltd. Non-volatile memory cells formed in back-end-of line processes
US8077536B2 (en) 2008-08-05 2011-12-13 Zeno Semiconductor, Inc. Method of operating semiconductor memory device with floating body transistor using silicon controlled rectifier principle
US9391079B2 (en) 2007-11-29 2016-07-12 Zeno Semiconductor, Inc. Compact semiconductor memory device having reduced number of contacts, methods of operating and methods of making
US8194451B2 (en) * 2007-11-29 2012-06-05 Zeno Semiconductor, Inc. Memory cells, memory cell arrays, methods of using and methods of making
US8547756B2 (en) 2010-10-04 2013-10-01 Zeno Semiconductor, Inc. Semiconductor memory device having an electrically floating body transistor
US9601493B2 (en) 2006-11-29 2017-03-21 Zeno Semiconductor, Inc Compact semiconductor memory device having reduced number of contacts, methods of operating and methods of making
US7760548B2 (en) 2006-11-29 2010-07-20 Yuniarto Widjaja Semiconductor memory having both volatile and non-volatile functionality and method of operating
US8514622B2 (en) 2007-11-29 2013-08-20 Zeno Semiconductor, Inc. Compact semiconductor memory device having reduced number of contacts, methods of operating and methods of making
US8159868B2 (en) 2008-08-22 2012-04-17 Zeno Semiconductor, Inc. Semiconductor memory having both volatile and non-volatile functionality including resistance change material and method of operating
KR100780249B1 (ko) * 2006-11-30 2007-11-27 동부일렉트로닉스 주식회사 플래시 메모리 소자
CN101192611A (zh) * 2006-12-01 2008-06-04 张国飙 混合层三维存储器
KR20090102789A (ko) * 2006-12-06 2009-09-30 퓨전 멀티시스템즈, 인크.(디비에이 퓨전-아이오) 프로그레시브 raid를 이용한 데이터 저장 장치, 시스템 및 방법
US20080150011A1 (en) * 2006-12-21 2008-06-26 Spansion Llc Integrated circuit system with memory system
JP4772656B2 (ja) * 2006-12-21 2011-09-14 株式会社東芝 不揮発性半導体メモリ
KR100854861B1 (ko) * 2006-12-27 2008-08-28 주식회사 하이닉스반도체 비휘발성 메모리 소자 및 그 제조 방법
JP2008166437A (ja) * 2006-12-27 2008-07-17 Spansion Llc 半導体装置、その制御方法およびその製造方法
US20080160680A1 (en) * 2006-12-28 2008-07-03 Yuan Jack H Methods of fabricating shield plates for reduced field coupling in nonvolatile memory
US20080157169A1 (en) * 2006-12-28 2008-07-03 Yuan Jack H Shield plates for reduced field coupling in nonvolatile memory
US7572699B2 (en) * 2007-01-24 2009-08-11 Freescale Semiconductor, Inc Process of forming an electronic device including fins and discontinuous storage elements
US7651916B2 (en) * 2007-01-24 2010-01-26 Freescale Semiconductor, Inc Electronic device including trenches and discontinuous storage elements and processes of forming and using the same
US7838922B2 (en) * 2007-01-24 2010-11-23 Freescale Semiconductor, Inc. Electronic device including trenches and discontinuous storage elements
US7888200B2 (en) 2007-01-31 2011-02-15 Sandisk 3D Llc Embedded memory in a CMOS circuit and methods of forming the same
US7868388B2 (en) * 2007-01-31 2011-01-11 Sandisk 3D Llc Embedded memory in a CMOS circuit and methods of forming the same
US7575973B2 (en) * 2007-03-27 2009-08-18 Sandisk 3D Llc Method of making three dimensional NAND memory
US7851851B2 (en) 2007-03-27 2010-12-14 Sandisk 3D Llc Three dimensional NAND memory
US7808038B2 (en) 2007-03-27 2010-10-05 Sandisk 3D Llc Method of making three dimensional NAND memory
WO2008118435A1 (en) * 2007-03-27 2008-10-02 Sandisk 3D Llc Three dimensional nand memory and method of making thereof
WO2008118433A1 (en) * 2007-03-27 2008-10-02 Sandisk 3D Llc Three dimensional nand memory and method of making thereof
US7514321B2 (en) 2007-03-27 2009-04-07 Sandisk 3D Llc Method of making three dimensional NAND memory
TWI424536B (zh) * 2007-03-27 2014-01-21 Sandisk 3D Llc 三維反及型記憶體及其製作方法
US7745265B2 (en) 2007-03-27 2010-06-29 Sandisk 3D, Llc Method of making three dimensional NAND memory
US7848145B2 (en) 2007-03-27 2010-12-07 Sandisk 3D Llc Three dimensional NAND memory
US7714377B2 (en) * 2007-04-19 2010-05-11 Qimonda Ag Integrated circuits and methods of manufacturing thereof
US8779495B2 (en) * 2007-04-19 2014-07-15 Qimonda Ag Stacked SONOS memory
US7966518B2 (en) * 2007-05-15 2011-06-21 Sandisk Corporation Method for repairing a neighborhood of rows in a memory array using a patch table
US7958390B2 (en) * 2007-05-15 2011-06-07 Sandisk Corporation Memory device for repairing a neighborhood of rows in a memory array using a patch table
US9299568B2 (en) 2007-05-25 2016-03-29 Cypress Semiconductor Corporation SONOS ONO stack scaling
US7923373B2 (en) 2007-06-04 2011-04-12 Micron Technology, Inc. Pitch multiplication using self-assembling materials
TW200849493A (en) * 2007-06-07 2008-12-16 Promos Technologies Inc Method for fabricating non-volatile memory
US7790534B2 (en) * 2007-06-15 2010-09-07 Sandisk 3D Llc Method to form low-defect polycrystalline semiconductor material for use in a transistor
US8004013B2 (en) 2007-06-15 2011-08-23 Sandisk 3D Llc Polycrystalline thin film bipolar transistors
US7855119B2 (en) * 2007-06-15 2010-12-21 Sandisk 3D Llc Method for forming polycrystalline thin film bipolar transistors
US20080315206A1 (en) * 2007-06-19 2008-12-25 Herner S Brad Highly Scalable Thin Film Transistor
US7537968B2 (en) * 2007-06-19 2009-05-26 Sandisk 3D Llc Junction diode with reduced reverse current
KR100950470B1 (ko) * 2007-06-22 2010-03-31 주식회사 하이닉스반도체 반도체 메모리소자의 스토리지전극 형성방법
US8102694B2 (en) * 2007-06-25 2012-01-24 Sandisk 3D Llc Nonvolatile memory device containing carbon or nitrogen doped diode
US8072791B2 (en) * 2007-06-25 2011-12-06 Sandisk 3D Llc Method of making nonvolatile memory device containing carbon or nitrogen doped diode
US7830697B2 (en) * 2007-06-25 2010-11-09 Sandisk 3D Llc High forward current diodes for reverse write 3D cell
US7684226B2 (en) * 2007-06-25 2010-03-23 Sandisk 3D Llc Method of making high forward current diodes for reverse write 3D cell
US7718546B2 (en) * 2007-06-27 2010-05-18 Sandisk 3D Llc Method for fabricating a 3-D integrated circuit using a hard mask of silicon-oxynitride on amorphous carbon
US20090003083A1 (en) * 2007-06-28 2009-01-01 Sandisk 3D Llc Memory cell with voltage modulated sidewall poly resistor
US7701746B2 (en) * 2007-06-28 2010-04-20 Sandisk 3D, Llc Method of making memory cell with voltage modulated sidewall poly resistor
US7759666B2 (en) * 2007-06-29 2010-07-20 Sandisk 3D Llc 3D R/W cell with reduced reverse leakage
US7800939B2 (en) * 2007-06-29 2010-09-21 Sandisk 3D Llc Method of making 3D R/W cell with reduced reverse leakage
US7749838B2 (en) * 2007-07-06 2010-07-06 Macronix International Co., Ltd. Fabricating method of non-volatile memory cell
US8247861B2 (en) * 2007-07-18 2012-08-21 Infineon Technologies Ag Semiconductor device and method of making same
US8679977B2 (en) * 2007-07-25 2014-03-25 Micron Technology, Inc. Method and apparatus providing multi-planed array memory device
US7737488B2 (en) 2007-08-09 2010-06-15 Macronix International Co., Ltd. Blocking dielectric engineered charge trapping memory cell with high speed erase
TW200908102A (en) * 2007-08-09 2009-02-16 Ind Tech Res Inst Laser crystallization process and laser process
US8298931B2 (en) * 2007-09-28 2012-10-30 Sandisk 3D Llc Dual damascene with amorphous carbon for 3D deep via/trench application
US7846782B2 (en) 2007-09-28 2010-12-07 Sandisk 3D Llc Diode array and method of making thereof
US8349663B2 (en) * 2007-09-28 2013-01-08 Sandisk 3D Llc Vertical diode based memory cells having a lowered programming voltage and methods of forming the same
US7884475B2 (en) * 2007-10-16 2011-02-08 International Business Machines Corporation Conductor structure including manganese oxide capping layer
US7847338B2 (en) 2007-10-24 2010-12-07 Yuniarto Widjaja Semiconductor memory having both volatile and non-volatile functionality and method of operating
FR2923646A1 (fr) * 2007-11-09 2009-05-15 Commissariat Energie Atomique Cellule memoire sram dotee de transistors a structure multi-canaux verticale
US8264875B2 (en) 2010-10-04 2012-09-11 Zeno Semiconducor, Inc. Semiconductor memory device having an electrically floating body transistor
US8174886B2 (en) 2007-11-29 2012-05-08 Zeno Semiconductor, Inc. Semiconductor memory having electrically floating body transistor
US8130547B2 (en) 2007-11-29 2012-03-06 Zeno Semiconductor, Inc. Method of maintaining the state of semiconductor memory having electrically floating body transistor
US8130548B2 (en) * 2007-11-29 2012-03-06 Zeno Semiconductor, Inc. Semiconductor memory having electrically floating body transistor
US8679861B2 (en) 2007-11-29 2014-03-25 International Business Machines Corporation Semiconductor chip repair by stacking of a base semiconductor chip and a repair semiconductor chip
US10403361B2 (en) 2007-11-29 2019-09-03 Zeno Semiconductor, Inc. Memory cells, memory cell arrays, methods of using and methods of making
US20090144678A1 (en) * 2007-11-30 2009-06-04 International Business Machines Corporation Method and on-chip control apparatus for enhancing process reliability and process variability through 3d integration
US9431549B2 (en) 2007-12-12 2016-08-30 Cypress Semiconductor Corporation Nonvolatile charge trap memory device having a high dielectric constant blocking region
US7746680B2 (en) 2007-12-27 2010-06-29 Sandisk 3D, Llc Three dimensional hexagonal matrix memory array
US7764534B2 (en) 2007-12-28 2010-07-27 Sandisk 3D Llc Two terminal nonvolatile memory using gate controlled diode elements
US8394683B2 (en) 2008-01-15 2013-03-12 Micron Technology, Inc. Methods of forming semiconductor constructions, and methods of forming NAND unit cells
US7843730B2 (en) * 2008-01-16 2010-11-30 Freescale Semiconductor, Inc. Non-volatile memory with reduced charge fluence
CN101236780B (zh) * 2008-02-26 2012-07-04 中国科学院上海微系统与信息技术研究所 三维立体结构相变存储器芯片的电路及实现方法
US7906818B2 (en) 2008-03-13 2011-03-15 Micron Technology, Inc. Memory array with a pair of memory-cell strings to a single conductive pillar
JP4649487B2 (ja) * 2008-03-17 2011-03-09 株式会社東芝 不揮発性半導体記憶装置及びその製造方法
US8674434B2 (en) 2008-03-24 2014-03-18 Micron Technology, Inc. Impact ionization devices
KR101477690B1 (ko) 2008-04-03 2014-12-30 삼성전자주식회사 비휘발성 메모리 소자 및 그 제조 방법
US8014200B2 (en) 2008-04-08 2011-09-06 Zeno Semiconductor, Inc. Semiconductor memory having volatile and multi-bit, non-volatile functionality and methods of operating
US7804119B2 (en) * 2008-04-08 2010-09-28 International Business Machines Corporation Device structures with a hyper-abrupt P-N junction, methods of forming a hyper-abrupt P-N junction, and design structures for an integrated circuit
US7830698B2 (en) * 2008-04-11 2010-11-09 Sandisk 3D Llc Multilevel nonvolatile memory device containing a carbon storage material and methods of making and using same
US7812335B2 (en) * 2008-04-11 2010-10-12 Sandisk 3D Llc Sidewall structured switchable resistor cell
US7923328B2 (en) * 2008-04-15 2011-04-12 Freescale Semiconductor, Inc. Split gate non-volatile memory cell with improved endurance and method therefor
JP2009266944A (ja) 2008-04-23 2009-11-12 Toshiba Corp 三次元積層不揮発性半導体メモリ
US8450835B2 (en) * 2008-04-29 2013-05-28 Sandisk 3D Llc Reverse leakage reduction and vertical height shrinking of diode with halo doping
JP5419384B2 (ja) * 2008-05-20 2014-02-19 東京エレクトロン株式会社 真空処理装置
JP5191803B2 (ja) * 2008-05-29 2013-05-08 株式会社東芝 不揮発性記憶装置の製造方法
JP2009295694A (ja) * 2008-06-03 2009-12-17 Toshiba Corp 不揮発性半導体記憶装置及びその製造方法
US7915667B2 (en) * 2008-06-11 2011-03-29 Qimonda Ag Integrated circuits having a contact region and methods for manufacturing the same
US8581342B2 (en) * 2008-06-20 2013-11-12 Infineon Technologies Austria Ag Semiconductor device with field electrode and method
KR20110042178A (ko) * 2008-07-09 2011-04-25 큐나노 에이비 나노구조 메모리 디바이스
US7825479B2 (en) 2008-08-06 2010-11-02 International Business Machines Corporation Electrical antifuse having a multi-thickness dielectric layer
ATE544155T1 (de) * 2008-08-07 2012-02-15 Sony Corp Elektronische vorrichtung für eine rekonfigurierbare logikschaltung
US7995384B2 (en) 2008-08-15 2011-08-09 Macronix International Co., Ltd. Electrically isolated gated diode nonvolatile memory
USRE47381E1 (en) 2008-09-03 2019-05-07 Zeno Semiconductor, Inc. Forming semiconductor cells with regions of varying conductivity
JP5454945B2 (ja) * 2008-09-05 2014-03-26 株式会社東芝 記憶装置
US8633074B2 (en) * 2008-09-17 2014-01-21 Spansion Llc Electrically programmable and erasable memory device and method of fabrication thereof
KR20100032211A (ko) * 2008-09-17 2010-03-25 삼성전자주식회사 비휘발성 메모리 소자 및 그 동작 방법
KR101502584B1 (ko) * 2008-10-16 2015-03-17 삼성전자주식회사 비휘발성 메모리 장치
US8173505B2 (en) * 2008-10-20 2012-05-08 Freescale Semiconductor, Inc. Method of making a split gate memory cell
US9030867B2 (en) 2008-10-20 2015-05-12 Seagate Technology Llc Bipolar CMOS select device for resistive sense memory
US7824986B2 (en) 2008-11-05 2010-11-02 Micron Technology, Inc. Methods of forming a plurality of transistor gates, and methods of forming a plurality of transistor gates having at least two different work functions
KR20100071211A (ko) * 2008-12-19 2010-06-29 삼성전자주식회사 셀 어레이로 인가되는 리키지 커런트를 막는 더미 셀 비트 라인 구조를 갖는 반도체 소자 및 그 형성 방법
US8093661B2 (en) * 2009-01-07 2012-01-10 Macronix International Co., Ltd. Integrated circuit device with single crystal silicon on silicide and manufacturing method
US8089137B2 (en) 2009-01-07 2012-01-03 Macronix International Co., Ltd. Integrated circuit memory with single crystal silicon on silicide driver and manufacturing method
US11908899B2 (en) 2009-02-20 2024-02-20 Zeno Semiconductor, Inc. MOSFET and memory cell having improved drain current through back bias application
WO2016176248A1 (en) 2015-04-29 2016-11-03 Zeno Semiconductor, Inc. A mosfet and memory cell having improved drain current through back bias application
US8203187B2 (en) * 2009-03-03 2012-06-19 Macronix International Co., Ltd. 3D memory array arranged for FN tunneling program and erase
TWI433302B (zh) 2009-03-03 2014-04-01 Macronix Int Co Ltd 積體電路自對準三度空間記憶陣列及其製作方法
KR20100099912A (ko) 2009-03-04 2010-09-15 삼성전자주식회사 반도체 메모리 장치 및 그 제조 방법
JP4829320B2 (ja) * 2009-03-17 2011-12-07 株式会社東芝 不揮発性半導体記憶装置の製造方法
KR101539699B1 (ko) * 2009-03-19 2015-07-27 삼성전자주식회사 3차원 구조의 비휘발성 메모리 소자 및 그 제조방법
US8076717B2 (en) * 2009-05-20 2011-12-13 Micron Technology, Inc. Vertically-oriented semiconductor selection device for cross-point array memory
US7968876B2 (en) * 2009-05-22 2011-06-28 Macronix International Co., Ltd. Phase change memory cell having vertical channel access transistor
US8350316B2 (en) * 2009-05-22 2013-01-08 Macronix International Co., Ltd. Phase change memory cells having vertical channel access transistor and memory plane
US8168538B2 (en) * 2009-05-26 2012-05-01 Macronix International Co., Ltd. Buried silicide structure and method for making
US8138541B2 (en) 2009-07-02 2012-03-20 Micron Technology, Inc. Memory cells
US20110002169A1 (en) 2009-07-06 2011-01-06 Yan Li Bad Column Management with Bit Information in Non-Volatile Memory Systems
US8208285B2 (en) * 2009-07-13 2012-06-26 Seagate Technology Llc Vertical non-volatile switch with punchthrough access and method of fabrication therefor
US7993989B2 (en) * 2009-08-13 2011-08-09 International Business Machines Corporation Vertical spacer forming and related transistor
US8207064B2 (en) 2009-09-17 2012-06-26 Sandisk 3D Llc 3D polysilicon diode with low contact resistance and method for forming same
US8164146B2 (en) * 2009-09-23 2012-04-24 Macronix International Co., Ltd. Substrate symmetrical silicide source/drain surrounding gate transistor
US8383512B2 (en) 2011-01-19 2013-02-26 Macronix International Co., Ltd. Method for making multilayer connection structure
US8154128B2 (en) * 2009-10-14 2012-04-10 Macronix International Co., Ltd. 3D integrated circuit layer interconnect
CN102044569B (zh) * 2009-10-23 2013-09-11 中芯国际集成电路制造(上海)有限公司 电容器及其制造方法
US8158967B2 (en) 2009-11-23 2012-04-17 Micron Technology, Inc. Integrated memory arrays
US8148222B2 (en) 2009-12-10 2012-04-03 Micron Technology, Inc. Cross-point diode arrays and methods of manufacturing cross-point diode arrays
US7985649B1 (en) * 2010-01-07 2011-07-26 Freescale Semiconductor, Inc. Method of making a semiconductor structure useful in making a split gate non-volatile memory cell
US8299519B2 (en) * 2010-01-11 2012-10-30 International Business Machines Corporation Read transistor for single poly non-volatile memory using body contacted SOI device
WO2011097389A1 (en) * 2010-02-04 2011-08-11 Sandisk 3D Llc Non-volatile memory cell containing nanodots and method of making thereof
CN107293322B (zh) 2010-02-07 2021-09-21 芝诺半导体有限公司 含导通浮体晶体管、并具有永久性和非永久性功能的半导体存储元件及操作方法
US10461084B2 (en) 2010-03-02 2019-10-29 Zeno Semiconductor, Inc. Compact semiconductor memory device having reduced number of contacts, methods of operating and methods of making
US8288795B2 (en) 2010-03-02 2012-10-16 Micron Technology, Inc. Thyristor based memory cells, devices and systems including the same and methods for forming the same
US9608119B2 (en) * 2010-03-02 2017-03-28 Micron Technology, Inc. Semiconductor-metal-on-insulator structures, methods of forming such structures, and semiconductor devices including such structures
US9922981B2 (en) 2010-03-02 2018-03-20 Zeno Semiconductor, Inc. Compact semiconductor memory device having reduced number of contacts, methods of operating and methods of making
US8507966B2 (en) * 2010-03-02 2013-08-13 Micron Technology, Inc. Semiconductor cells, arrays, devices and systems having a buried conductive line and methods for forming the same
US10340276B2 (en) 2010-03-02 2019-07-02 Zeno Semiconductor, Inc. Method of maintaining the state of semiconductor memory having electrically floating body transistor
US8513722B2 (en) 2010-03-02 2013-08-20 Micron Technology, Inc. Floating body cell structures, devices including same, and methods for forming same
US9646869B2 (en) * 2010-03-02 2017-05-09 Micron Technology, Inc. Semiconductor devices including a diode structure over a conductive strap and methods of forming such semiconductor devices
CN102812552B (zh) * 2010-03-15 2015-11-25 美光科技公司 半导体存储器装置及用于对半导体存储器装置进行偏置的方法
US8437192B2 (en) 2010-05-21 2013-05-07 Macronix International Co., Ltd. 3D two bit-per-cell NAND flash memory
US8355281B2 (en) * 2010-04-20 2013-01-15 Micron Technology, Inc. Flash memory having multi-level architecture
KR101894897B1 (ko) * 2010-06-04 2018-09-04 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체 장치
WO2011152254A1 (en) * 2010-06-04 2011-12-08 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device
US8946046B1 (en) 2012-05-02 2015-02-03 Crossbar, Inc. Guided path for forming a conductive filament in RRAM
US9570678B1 (en) 2010-06-08 2017-02-14 Crossbar, Inc. Resistive RAM with preferental filament formation region and methods
US9601692B1 (en) 2010-07-13 2017-03-21 Crossbar, Inc. Hetero-switching layer in a RRAM device and method
US9012307B2 (en) 2010-07-13 2015-04-21 Crossbar, Inc. Two terminal resistive switching device structure and method of fabricating
KR101883236B1 (ko) 2010-06-11 2018-08-01 크로스바, 인크. 메모리 디바이스를 위한 필러 구조 및 방법
CN102959693B (zh) * 2010-06-30 2015-08-19 桑迪士克科技股份有限公司 超高密度垂直与非记忆器件及其制造方法
US8193054B2 (en) 2010-06-30 2012-06-05 SanDisk Technologies, Inc. Ultrahigh density vertical NAND memory device and method of making thereof
US10128261B2 (en) 2010-06-30 2018-11-13 Sandisk Technologies Llc Cobalt-containing conductive layers for control gate electrodes in a memory structure
US8198672B2 (en) 2010-06-30 2012-06-12 SanDisk Technologies, Inc. Ultrahigh density vertical NAND memory device
US8928061B2 (en) 2010-06-30 2015-01-06 SanDisk Technologies, Inc. Three dimensional NAND device with silicide containing floating gates
US8349681B2 (en) 2010-06-30 2013-01-08 Sandisk Technologies Inc. Ultrahigh density monolithic, three dimensional vertical NAND memory device
US8187936B2 (en) 2010-06-30 2012-05-29 SanDisk Technologies, Inc. Ultrahigh density vertical NAND memory device and method of making thereof
US9397093B2 (en) 2013-02-08 2016-07-19 Sandisk Technologies Inc. Three dimensional NAND device with semiconductor, metal or silicide floating gates and method of making thereof
US9159739B2 (en) 2010-06-30 2015-10-13 Sandisk Technologies Inc. Floating gate ultrahigh density vertical NAND flash memory
US8890233B2 (en) 2010-07-06 2014-11-18 Macronix International Co., Ltd. 3D memory array with improved SSL and BL contact layout
US8374018B2 (en) 2010-07-09 2013-02-12 Crossbar, Inc. Resistive memory using SiGe material
US8884261B2 (en) 2010-08-23 2014-11-11 Crossbar, Inc. Device switching using layered device structure
US8168506B2 (en) 2010-07-13 2012-05-01 Crossbar, Inc. On/off ratio for non-volatile memory device and method
US8569172B1 (en) 2012-08-14 2013-10-29 Crossbar, Inc. Noble metal/non-noble metal electrode for RRAM applications
US8947908B2 (en) 2010-11-04 2015-02-03 Crossbar, Inc. Hetero-switching layer in a RRAM device and method
US9401475B1 (en) 2010-08-23 2016-07-26 Crossbar, Inc. Method for silver deposition for a non-volatile memory device
US8492195B2 (en) 2010-08-23 2013-07-23 Crossbar, Inc. Method for forming stackable non-volatile resistive switching memory devices
US8889521B1 (en) 2012-09-14 2014-11-18 Crossbar, Inc. Method for silver deposition for a non-volatile memory device
US8557650B2 (en) 2010-08-31 2013-10-15 Freescale Semiconductor, Inc. Patterning a gate stack of a non-volatile memory (NVM) using a dummy gate stack
US8202778B2 (en) * 2010-08-31 2012-06-19 Freescale Semiconductor, Inc. Patterning a gate stack of a non-volatile memory (NVM) with simultaneous etch in non-NVM area
US8659944B2 (en) 2010-09-01 2014-02-25 Macronix International Co., Ltd. Memory architecture of 3D array with diode in memory string
JP5075959B2 (ja) * 2010-09-14 2012-11-21 株式会社東芝 抵抗変化メモリ
US8883589B2 (en) * 2010-09-28 2014-11-11 Sandisk 3D Llc Counter doping compensation methods to improve diode performance
US8391049B2 (en) * 2010-09-29 2013-03-05 Crossbar, Inc. Resistor structure for a non-volatile memory device and method
US8558212B2 (en) 2010-09-29 2013-10-15 Crossbar, Inc. Conductive path in switching material in a resistive random access memory device and control
KR101736235B1 (ko) 2010-10-08 2017-05-17 삼성전자주식회사 수직 채널 트랜지스터를 구비하는 반도체 장치 및 그 제조 방법
KR101723864B1 (ko) * 2010-10-08 2017-04-07 삼성전자주식회사 수직 채널 트랜지스터를 구비하는 반도체 장치 및 그 제조 방법
US11600667B1 (en) * 2010-10-11 2023-03-07 Monolithic 3D Inc. Method to produce 3D semiconductor devices and structures with memory
US9490261B2 (en) * 2010-10-21 2016-11-08 Cypress Semiconductor Ltd. Minimizing disturbs in dense non volatile memory arrays
US8361856B2 (en) 2010-11-01 2013-01-29 Micron Technology, Inc. Memory cells, arrays of memory cells, and methods of forming memory cells
US8329567B2 (en) 2010-11-03 2012-12-11 Micron Technology, Inc. Methods of forming doped regions in semiconductor substrates
US8502185B2 (en) 2011-05-31 2013-08-06 Crossbar, Inc. Switching device having a non-linear element
USRE46335E1 (en) 2010-11-04 2017-03-07 Crossbar, Inc. Switching device having a non-linear element
US8582359B2 (en) 2010-11-16 2013-11-12 Zeno Semiconductor, Inc. Dual-port semiconductor memory and first-in first-out (FIFO) memory having electrically floating body transistor
US8351243B2 (en) 2010-11-16 2013-01-08 Sandisk 3D Llc Transistor driven 3D memory
US20220208594A1 (en) * 2010-11-18 2022-06-30 Monolithic 3D Inc. Various 3d semiconductor devices and structures with memory cells
US11482439B2 (en) * 2010-11-18 2022-10-25 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device comprising charge trap junction-less transistors
KR20120069034A (ko) * 2010-12-20 2012-06-28 삼성전자주식회사 수직형 메모리 장치 및 그 제조 방법
US8930174B2 (en) 2010-12-28 2015-01-06 Crossbar, Inc. Modeling technique for resistive random access memory (RRAM) cells
KR101172272B1 (ko) * 2010-12-30 2012-08-09 에스케이하이닉스 주식회사 매립비트라인을 구비한 반도체장치 제조 방법
KR20120077505A (ko) * 2010-12-30 2012-07-10 삼성전자주식회사 비휘발성 반도체 메모리 장치 및 그 제조 방법
US8791010B1 (en) 2010-12-31 2014-07-29 Crossbar, Inc. Silver interconnects for stacked non-volatile memory device and method
US8815696B1 (en) 2010-12-31 2014-08-26 Crossbar, Inc. Disturb-resistant non-volatile memory device using via-fill and etchback technique
US9153623B1 (en) 2010-12-31 2015-10-06 Crossbar, Inc. Thin film transistor steering element for a non-volatile memory device
US8630114B2 (en) * 2011-01-19 2014-01-14 Macronix International Co., Ltd. Memory architecture of 3D NOR array
US8598032B2 (en) 2011-01-19 2013-12-03 Macronix International Co., Ltd Reduced number of masks for IC device with stacked contact levels
TWI447851B (zh) * 2011-01-19 2014-08-01 Macronix Int Co Ltd 多層連線結構及製造方法
US8503213B2 (en) 2011-01-19 2013-08-06 Macronix International Co., Ltd. Memory architecture of 3D array with alternating memory string orientation and string select structures
US8486791B2 (en) 2011-01-19 2013-07-16 Macronix International Co., Ltd. Mufti-layer single crystal 3D stackable memory
US8642452B2 (en) 2011-01-24 2014-02-04 International Business Machines Corporation Semiconductor-on-insulator device with asymmetric structure
US9431400B2 (en) * 2011-02-08 2016-08-30 Semiconductor Energy Laboratory Co., Ltd. Semiconductor memory device and method for manufacturing the same
US8598621B2 (en) 2011-02-11 2013-12-03 Micron Technology, Inc. Memory cells, memory arrays, methods of forming memory cells, and methods of forming a shared doped semiconductor region of a vertically oriented thyristor and a vertically oriented access transistor
US8450175B2 (en) 2011-02-22 2013-05-28 Micron Technology, Inc. Methods of forming a vertical transistor and at least a conductive line electrically coupled therewith
US8952418B2 (en) 2011-03-01 2015-02-10 Micron Technology, Inc. Gated bipolar junction transistors
KR101187641B1 (ko) * 2011-03-04 2012-10-08 에스케이하이닉스 주식회사 비휘발성 메모리 장치, 그 제조 방법, 및 그 동작 방법
US8519431B2 (en) 2011-03-08 2013-08-27 Micron Technology, Inc. Thyristors
WO2012121265A1 (en) * 2011-03-10 2012-09-13 Semiconductor Energy Laboratory Co., Ltd. Memory device and method for manufacturing the same
US8836137B2 (en) 2012-04-19 2014-09-16 Macronix International Co., Ltd. Method for creating a 3D stacked multichip module
US8957458B2 (en) 2011-03-24 2015-02-17 Zeno Semiconductor, Inc. Asymmetric semiconductor memory device having electrically floating body transistor
US8963231B2 (en) 2011-03-29 2015-02-24 Samsung Electronics Co., Ltd. Three dimensional semiconductor memory devices and methods of fabricating the same
US9240405B2 (en) 2011-04-19 2016-01-19 Macronix International Co., Ltd. Memory with off-chip controller
JP2012234980A (ja) * 2011-05-02 2012-11-29 Toshiba Corp 不揮発性半導体記憶装置とその製造方法
US8415721B2 (en) 2011-05-23 2013-04-09 Flashsilicon Incorporation Field side sub-bitline nor flash array and method of fabricating the same
JP2012244180A (ja) 2011-05-24 2012-12-10 Macronix Internatl Co Ltd 多層接続構造及びその製造方法
US8569831B2 (en) 2011-05-27 2013-10-29 Micron Technology, Inc. Integrated circuit arrays and semiconductor constructions
JP5816570B2 (ja) 2011-05-27 2015-11-18 ルネサスエレクトロニクス株式会社 半導体装置の製造方法および半導体装置
US9620206B2 (en) 2011-05-31 2017-04-11 Crossbar, Inc. Memory array architecture with two-terminal memory cells
US9437555B2 (en) * 2011-06-07 2016-09-06 Verisiti, Inc. Semiconductor device having features to prevent reverse engineering
US8619459B1 (en) 2011-06-23 2013-12-31 Crossbar, Inc. High operating speed resistive random access memory
US9166163B2 (en) 2011-06-30 2015-10-20 Crossbar, Inc. Sub-oxide interface layer for two-terminal memory
US9564587B1 (en) 2011-06-30 2017-02-07 Crossbar, Inc. Three-dimensional two-terminal memory with enhanced electric field and segmented interconnects
US8946669B1 (en) 2012-04-05 2015-02-03 Crossbar, Inc. Resistive memory device and fabrication methods
US9627443B2 (en) 2011-06-30 2017-04-18 Crossbar, Inc. Three-dimensional oblique two-terminal memory with enhanced electric field
US9252191B2 (en) 2011-07-22 2016-02-02 Crossbar, Inc. Seed layer for a p+ silicon germanium material for a non-volatile memory device and method
US8772848B2 (en) 2011-07-26 2014-07-08 Micron Technology, Inc. Circuit structures, memory circuitry, and methods
US10056907B1 (en) 2011-07-29 2018-08-21 Crossbar, Inc. Field programmable gate array utilizing two-terminal non-volatile memory
US9729155B2 (en) 2011-07-29 2017-08-08 Crossbar, Inc. Field programmable gate array utilizing two-terminal non-volatile memory
US8674724B2 (en) 2011-07-29 2014-03-18 Crossbar, Inc. Field programmable gate array utilizing two-terminal non-volatile memory
US8802525B2 (en) 2011-08-08 2014-08-12 Micron Technology, Inc. Methods of forming charge storage structures including etching diffused regions to form recesses
US8767482B2 (en) * 2011-08-18 2014-07-01 Micron Technology, Inc. Apparatuses, devices and methods for sensing a snapback event in a circuit
US9401363B2 (en) 2011-08-23 2016-07-26 Micron Technology, Inc. Vertical transistor devices, memory arrays, and methods of forming vertical transistor devices
US9177872B2 (en) * 2011-09-16 2015-11-03 Micron Technology, Inc. Memory cells, semiconductor devices, systems including such cells, and methods of fabrication
US8664076B2 (en) * 2011-09-21 2014-03-04 Texas Instruments Incorporated Method of forming a robust, modular MIS (metal-insulator-semiconductor) capacitor with improved capacitance density
US8574992B2 (en) 2011-09-22 2013-11-05 Macronix International Co., Ltd. Contact architecture for 3D memory array
US8541882B2 (en) 2011-09-22 2013-09-24 Macronix International Co. Ltd. Stacked IC device with recessed conductive layers adjacent to interlevel conductors
US9025358B2 (en) 2011-10-13 2015-05-05 Zeno Semiconductor Inc Semiconductor memory having both volatile and non-volatile functionality comprising resistive change material and method of operating
KR102306796B1 (ko) * 2011-11-04 2021-09-30 인텔 코포레이션 자기 정렬 캡의 형성 방법 및 장치
US9082656B2 (en) 2011-11-11 2015-07-14 Macronix International Co., Ltd. NAND flash with non-trapping switch transistors
KR101298327B1 (ko) * 2011-12-09 2013-08-20 제주대학교 산학협력단 광 저장 장치 및 방법
US8748258B2 (en) 2011-12-12 2014-06-10 International Business Machines Corporation Method and structure for forming on-chip high quality capacitors with ETSOI transistors
US8709890B2 (en) 2011-12-12 2014-04-29 International Business Machines Corporation Method and structure for forming ETSOI capacitors, diodes, resistors and back gate contacts
US8570806B2 (en) 2011-12-13 2013-10-29 Macronix International Co., Ltd. Z-direction decoding for three dimensional memory array
KR20130070150A (ko) * 2011-12-19 2013-06-27 에스케이하이닉스 주식회사 3차원 비휘발성 메모리 소자, 메모리 시스템 및 그 제조 방법
US9035275B2 (en) 2011-12-19 2015-05-19 Macronix International Co., Ltd. Three dimensional memory array adjacent to trench sidewalls
KR20130075348A (ko) 2011-12-27 2013-07-05 에스케이하이닉스 주식회사 매립비트라인을 구비한 반도체장치 및 그 제조 방법
US8587998B2 (en) 2012-01-06 2013-11-19 Macronix International Co., Ltd. 3D memory array with read bit line shielding
EP2815402B1 (en) 2012-02-16 2020-11-25 Zeno Semiconductor , Inc. Memory cell comprising first and second transistors and methods of operating
US9230651B2 (en) 2012-04-08 2016-01-05 Zeno Semiconductor, Inc. Memory device having electrically floating body transitor
US9036391B2 (en) 2012-03-06 2015-05-19 Micron Technology, Inc. Arrays of vertically-oriented transistors, memory arrays including vertically-oriented transistors, and memory cells
KR20130103942A (ko) * 2012-03-12 2013-09-25 에스케이하이닉스 주식회사 무접합 수직 게이트 트랜지스터를 갖는 반도체 소자 및 그 제조 방법
CN102637641B (zh) * 2012-03-20 2015-05-20 华中科技大学 一种相变随机存储器阵列与外围电路芯片的集成方法
US8878278B2 (en) 2012-03-21 2014-11-04 Sandisk Technologies Inc. Compact three dimensional vertical NAND and method of making thereof
US9087576B1 (en) 2012-03-29 2015-07-21 Crossbar, Inc. Low temperature fabrication method for a three-dimensional memory device and structure
TWI676265B (zh) * 2012-03-31 2019-11-01 愛爾蘭商經度閃存解決方案有限公司 具有高介電常數阻擋區域之非揮發性電荷擷取記憶體裝置
KR20130113212A (ko) * 2012-04-05 2013-10-15 에스케이하이닉스 주식회사 비휘발성 메모리 장치 및 그 제조 방법
US8847302B2 (en) 2012-04-10 2014-09-30 Sandisk Technologies Inc. Vertical NAND device with low capacitance and silicided word lines
US9685608B2 (en) 2012-04-13 2017-06-20 Crossbar, Inc. Reduced diffusion in metal electrode for two-terminal memory
US8658476B1 (en) 2012-04-20 2014-02-25 Crossbar, Inc. Low temperature P+ polycrystalline silicon material for non-volatile memory device
US8796658B1 (en) 2012-05-07 2014-08-05 Crossbar, Inc. Filamentary based non-volatile resistive memory device and method
US8765566B2 (en) 2012-05-10 2014-07-01 Crossbar, Inc. Line and space architecture for a non-volatile memory device
US8975705B2 (en) * 2012-05-21 2015-03-10 Unisantis Electronics Singapore Pte. Ltd. Semiconductor device
US8592889B1 (en) * 2012-05-21 2013-11-26 United Microelectronics Corp. Memory structure
US8828884B2 (en) 2012-05-23 2014-09-09 Sandisk Technologies Inc. Multi-level contact to a 3D memory array and method of making
US9331273B2 (en) * 2012-05-24 2016-05-03 SK Hynix Inc. Memory cell array and variable resistive memory device including the same
KR101430415B1 (ko) * 2012-06-09 2014-08-14 서울대학교산학협력단 게이트 다이오드 구조를 갖는 메모리 셀 스트링 및 이를 이용한 메모리 어레이
US8987098B2 (en) 2012-06-19 2015-03-24 Macronix International Co., Ltd. Damascene word line
US9029936B2 (en) 2012-07-02 2015-05-12 Sandisk Technologies Inc. Non-volatile memory structure containing nanodots and continuous metal layer charge traps and method of making thereof
US8658499B2 (en) 2012-07-09 2014-02-25 Sandisk Technologies Inc. Three dimensional NAND device and method of charge trap layer separation and floating gate formation in the NAND device
FR2993389B1 (fr) * 2012-07-10 2015-02-27 Soitec Silicon On Insulator Antifusible
US8633099B1 (en) 2012-07-19 2014-01-21 Macronix International Co., Ltd. Method for forming interlayer connectors in a three-dimensional stacked IC device
US8896096B2 (en) 2012-07-19 2014-11-25 Taiwan Semiconductor Manufacturing Company, Ltd. Process-compatible decoupling capacitor and method for making the same
US8927957B2 (en) 2012-08-09 2015-01-06 Macronix International Co., Ltd. Sidewall diode driving device and memory using same
US9741765B1 (en) 2012-08-14 2017-08-22 Crossbar, Inc. Monolithically integrated resistive memory using integrated-circuit foundry compatible processes
US9583701B1 (en) 2012-08-14 2017-02-28 Crossbar, Inc. Methods for fabricating resistive memory device switching material using ion implantation
US8614126B1 (en) 2012-08-15 2013-12-24 Sandisk Technologies Inc. Method of making a three-dimensional memory array with etch stop
US9129896B2 (en) 2012-08-21 2015-09-08 Micron Technology, Inc. Arrays comprising vertically-oriented transistors, integrated circuitry comprising a conductive line buried in silicon-comprising semiconductor material, methods of forming a plurality of conductive lines buried in silicon-comprising semiconductor material, and methods of forming an array comprising vertically-oriented transistors
US9006060B2 (en) 2012-08-21 2015-04-14 Micron Technology, Inc. N-type field effect transistors, arrays comprising N-type vertically-oriented transistors, methods of forming an N-type field effect transistor, and methods of forming an array comprising vertically-oriented N-type transistors
US8736069B2 (en) 2012-08-23 2014-05-27 Macronix International Co., Ltd. Multi-level vertical plug formation with stop layers of increasing thicknesses
US8946673B1 (en) 2012-08-24 2015-02-03 Crossbar, Inc. Resistive switching device structure with improved data retention for non-volatile memory device and method
US9478550B2 (en) 2012-08-27 2016-10-25 Micron Technology, Inc. Arrays of vertically-oriented transistors, and memory arrays including vertically-oriented transistors
JP2014049745A (ja) * 2012-08-31 2014-03-17 Toshiba Corp 半導体記憶装置、及びその製造方法
US9853053B2 (en) 2012-09-10 2017-12-26 3B Technologies, Inc. Three dimension integrated circuits employing thin film transistors
US8897073B2 (en) 2012-09-14 2014-11-25 Freescale Semiconductor, Inc. NVM with charge pump and method therefor
US9012318B2 (en) 2012-09-21 2015-04-21 Micron Technology, Inc. Etching polysilicon
US9312483B2 (en) 2012-09-24 2016-04-12 Crossbar, Inc. Electrode structure for a non-volatile memory device and method
US9576616B2 (en) 2012-10-10 2017-02-21 Crossbar, Inc. Non-volatile memory with overwrite capability and low write amplification
TWI649794B (zh) 2012-11-08 2019-02-01 日商半導體能源研究所股份有限公司 金屬氧化物膜及形成金屬氧化物膜的方法
US11068620B2 (en) 2012-11-09 2021-07-20 Crossbar, Inc. Secure circuit integrated with memory layer
US8982647B2 (en) 2012-11-14 2015-03-17 Crossbar, Inc. Resistive random access memory equalization and sensing
US9196315B2 (en) 2012-11-19 2015-11-24 Macronix International Co., Ltd. Three dimensional gate structures with horizontal extensions
US8823075B2 (en) 2012-11-30 2014-09-02 Sandisk Technologies Inc. Select gate formation for nanodot flat cell
US9412790B1 (en) 2012-12-04 2016-08-09 Crossbar, Inc. Scalable RRAM device architecture for a non-volatile memory device and method
US10403766B2 (en) * 2012-12-04 2019-09-03 Conversant Intellectual Property Management Inc. NAND flash memory with vertical cell stack structure and method for manufacturing same
US9406379B2 (en) 2013-01-03 2016-08-02 Crossbar, Inc. Resistive random access memory with non-linear current-voltage relationship
US9224474B2 (en) * 2013-01-09 2015-12-29 Macronix International Co., Ltd. P-channel 3D memory array and methods to program and erase the same at bit level and block level utilizing band-to-band and fowler-nordheim tunneling principals
US8759899B1 (en) 2013-01-11 2014-06-24 Macronix International Co., Ltd. Integration of 3D stacked IC device with peripheral circuits
US9208880B2 (en) 2013-01-14 2015-12-08 Zeno Semiconductor, Inc. Content addressable memory device having electrically floating body transistor
US8946807B2 (en) 2013-01-24 2015-02-03 Micron Technology, Inc. 3D memory
US9171636B2 (en) 2013-01-29 2015-10-27 Macronix International Co. Ltd. Hot carrier generation and programming in NAND flash
US9112145B1 (en) 2013-01-31 2015-08-18 Crossbar, Inc. Rectified switching of two-terminal memory via real time filament formation
US9324942B1 (en) 2013-01-31 2016-04-26 Crossbar, Inc. Resistive memory cell with solid state diode
US8934280B1 (en) 2013-02-06 2015-01-13 Crossbar, Inc. Capacitive discharge programming for two-terminal memory cells
US8987914B2 (en) 2013-02-07 2015-03-24 Macronix International Co., Ltd. Conductor structure and method
JP2014165457A (ja) * 2013-02-27 2014-09-08 Toshiba Corp 不揮発性半導体記憶装置の製造方法
US8987802B2 (en) 2013-02-28 2015-03-24 Sandisk Technologies Inc. Method for using nanoparticles to make uniform discrete floating gate layer
US9112047B2 (en) 2013-02-28 2015-08-18 Freescale Semiconductor, Inc. Split gate non-volatile memory (NVM) cell and method therefor
JP2014175348A (ja) 2013-03-06 2014-09-22 Toshiba Corp 不揮発性半導体記憶装置
US9029922B2 (en) 2013-03-09 2015-05-12 Zeno Semiconductor, Inc. Memory device comprising electrically floating body transistor
US8930866B2 (en) * 2013-03-11 2015-01-06 Taiwan Semiconductor Manufacturing Company, Ltd. Method of converting between non-volatile memory technologies and system for implementing the method
US9331181B2 (en) 2013-03-11 2016-05-03 Sandisk Technologies Inc. Nanodot enhanced hybrid floating gate for non-volatile memory devices
US9515080B2 (en) 2013-03-12 2016-12-06 Sandisk Technologies Llc Vertical NAND and method of making thereof using sequential stack etching and landing pad
US9698153B2 (en) 2013-03-12 2017-07-04 Sandisk Technologies Llc Vertical NAND and method of making thereof using sequential stack etching and self-aligned landing pad
US8993429B2 (en) 2013-03-12 2015-03-31 Macronix International Co., Ltd. Interlayer conductor structure and method
US9230987B2 (en) 2014-02-20 2016-01-05 Sandisk Technologies Inc. Multilevel memory stack structure and methods of manufacturing the same
US9449982B2 (en) 2013-03-12 2016-09-20 Sandisk Technologies Llc Method of making a vertical NAND device using a sacrificial layer with air gap and sequential etching of multilayer stacks
US9214351B2 (en) 2013-03-12 2015-12-15 Macronix International Co., Ltd. Memory architecture of thin film 3D array
US8946023B2 (en) 2013-03-12 2015-02-03 Sandisk Technologies Inc. Method of making a vertical NAND device using sequential etching of multilayer stacks
US8933457B2 (en) * 2013-03-13 2015-01-13 Macronix International Co., Ltd. 3D memory array including crystallized channels
US9379126B2 (en) 2013-03-14 2016-06-28 Macronix International Co., Ltd. Damascene conductor for a 3D device
US9184175B2 (en) * 2013-03-15 2015-11-10 Micron Technology, Inc. Floating gate memory cells in vertical memory
US9111853B2 (en) 2013-03-15 2015-08-18 Micron Technology, Inc. Methods of forming doped elements of semiconductor device structures
US9276011B2 (en) 2013-03-15 2016-03-01 Micron Technology, Inc. Cell pillar structures and integrated flows
US8901529B2 (en) * 2013-03-15 2014-12-02 International Business Machines Corporation Memory array with self-aligned epitaxially grown memory elements and annular FET
US9153650B2 (en) 2013-03-19 2015-10-06 Semiconductor Energy Laboratory Co., Ltd. Oxide semiconductor
US9093480B2 (en) 2013-04-01 2015-07-28 Sandisk Technologies Inc. Spacer passivation for high aspect ratio etching of multilayer stacks for three dimensional NAND device
US9099496B2 (en) 2013-04-01 2015-08-04 Sandisk Technologies Inc. Method of forming an active area with floating gate negative offset profile in FG NAND memory
US9275723B2 (en) 2013-04-10 2016-03-01 Zeno Semiconductor, Inc. Scalable floating body memory cell for memory compilers and method of using floating body memories with memory compilers
KR102002942B1 (ko) * 2013-04-18 2019-07-24 에스케이하이닉스 주식회사 비휘발성 메모리 장치 및 그 제조방법
US9368625B2 (en) 2013-05-01 2016-06-14 Zeno Semiconductor, Inc. NAND string utilizing floating body memory cell
US9177808B2 (en) 2013-05-21 2015-11-03 Sandisk Technologies Inc. Memory device with control gate oxygen diffusion control and method of making thereof
KR102109462B1 (ko) * 2013-06-13 2020-05-12 에스케이하이닉스 주식회사 비휘발성 메모리 장치 및 그 제조방법
US8981835B2 (en) 2013-06-18 2015-03-17 Sandisk Technologies Inc. Efficient voltage doubler
TWI652822B (zh) 2013-06-19 2019-03-01 日商半導體能源研究所股份有限公司 氧化物半導體膜及其形成方法
US9024680B2 (en) 2013-06-24 2015-05-05 Sandisk Technologies Inc. Efficiency for charge pumps with low supply voltages
US9077238B2 (en) 2013-06-25 2015-07-07 SanDisk Technologies, Inc. Capacitive regulation of charge pumps without refresh operation interruption
GB2515750B (en) * 2013-07-01 2017-11-15 Flexenable Ltd Supressing Leakage Currents in a Multi - TFT Device
US8969153B2 (en) 2013-07-01 2015-03-03 Sandisk Technologies Inc. NAND string containing self-aligned control gate sidewall cladding
US9437606B2 (en) 2013-07-02 2016-09-06 Sandisk Technologies Llc Method of making a three-dimensional memory array with etch stop
US9252151B2 (en) 2013-07-08 2016-02-02 Sandisk Technologies Inc. Three dimensional NAND device with birds beak containing floating gates and method of making thereof
US9076535B2 (en) 2013-07-08 2015-07-07 Macronix International Co., Ltd. Array arrangement including carrier source
US9117526B2 (en) 2013-07-08 2015-08-25 Macronix International Co., Ltd. Substrate connection of three dimensional NAND for improving erase performance
US9281022B2 (en) 2013-07-10 2016-03-08 Zeno Semiconductor, Inc. Systems and methods for reducing standby power in floating body memory devices
TWI608523B (zh) 2013-07-19 2017-12-11 半導體能源研究所股份有限公司 Oxide semiconductor film, method of manufacturing oxide semiconductor film, and semiconductor device
KR20150020847A (ko) * 2013-08-19 2015-02-27 에스케이하이닉스 주식회사 3차원 반도체 장치, 이를 구비하는 저항 변화 메모리 장치, 및 그 제조방법
US9214234B2 (en) * 2013-09-05 2015-12-15 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device and method of manufacturing the same
US9230980B2 (en) 2013-09-15 2016-01-05 Sandisk Technologies Inc. Single-semiconductor-layer channel in a memory opening for a three-dimensional non-volatile memory device
US9230973B2 (en) 2013-09-17 2016-01-05 Sandisk Technologies Inc. Methods of fabricating a three-dimensional non-volatile memory device
US9099538B2 (en) 2013-09-17 2015-08-04 Macronix International Co., Ltd. Conductor with a plurality of vertical extensions for a 3D device
US9023719B2 (en) 2013-09-17 2015-05-05 Sandisk Technologies Inc. High aspect ratio memory hole channel contact formation
US9070447B2 (en) 2013-09-26 2015-06-30 Macronix International Co., Ltd. Contact structure and forming method
US8970040B1 (en) 2013-09-26 2015-03-03 Macronix International Co., Ltd. Contact structure and forming method
US9083231B2 (en) 2013-09-30 2015-07-14 Sandisk Technologies Inc. Amplitude modulation for pass gate to improve charge pump efficiency
US9437604B2 (en) 2013-11-01 2016-09-06 Micron Technology, Inc. Methods and apparatuses having strings of memory cells including a metal source
US9202785B2 (en) * 2013-11-08 2015-12-01 Taiwan Semiconductor Manufacturing Company, Ltd. Three dimensional integrated circuit capacitor having vias
CN104638029A (zh) * 2013-11-13 2015-05-20 上海华虹宏力半导体制造有限公司 电容及其制造方法
US9685958B2 (en) * 2013-11-14 2017-06-20 Case Western Reserve University Defense against counterfeiting using antifuses
US9025386B1 (en) * 2013-11-20 2015-05-05 International Business Machines Corporation Embedded charge trap multi-time-programmable-read-only-memory for high performance logic technology
US9154027B2 (en) 2013-12-09 2015-10-06 Sandisk Technologies Inc. Dynamic load matching charge pump for reduced current consumption
US9449983B2 (en) 2013-12-19 2016-09-20 Sandisk Technologies Llc Three dimensional NAND device with channel located on three sides of lower select gate and method of making thereof
US20150187915A1 (en) * 2013-12-26 2015-07-02 Samsung Electronics Co., Ltd. Method for fabricating fin type transistor
KR20150076764A (ko) * 2013-12-27 2015-07-07 에스케이하이닉스 주식회사 반도체 장치
US9023701B1 (en) * 2013-12-31 2015-05-05 Macronix International Co., Ltd. Three-dimensional memory and method of forming the same
US9230905B2 (en) 2014-01-08 2016-01-05 Sandisk 3D Llc Trench multilevel contact to a 3D memory array and method of making thereof
US9548119B2 (en) 2014-01-15 2017-01-17 Zeno Semiconductor, Inc Memory device comprising an electrically floating body transistor
US9343322B2 (en) 2014-01-17 2016-05-17 Macronix International Co., Ltd. Three dimensional stacking memory film structure
US9747976B2 (en) 2014-01-30 2017-08-29 Hewlett Packard Enterprise Development Lp Charge trapping memristor
US10290801B2 (en) 2014-02-07 2019-05-14 Crossbar, Inc. Scalable silicon based resistive memory device
KR102154093B1 (ko) * 2014-02-14 2020-09-10 삼성전자주식회사 3차원 반도체 소자
KR102225989B1 (ko) * 2014-03-04 2021-03-10 삼성전자주식회사 불휘발성 메모리 시스템 및 그것의 동작 방법
US20150255510A1 (en) 2014-03-06 2015-09-10 Kabushiki Kaisha Toshiba Semiconductor device
US9343507B2 (en) 2014-03-12 2016-05-17 Sandisk 3D Llc Dual channel vertical field effect transistor including an embedded electrode
US9331088B2 (en) 2014-03-25 2016-05-03 Sandisk 3D Llc Transistor device with gate bottom isolation and method of making thereof
US9224747B2 (en) 2014-03-26 2015-12-29 Sandisk Technologies Inc. Vertical NAND device with shared word line steps
JP2015195262A (ja) * 2014-03-31 2015-11-05 マイクロン テクノロジー, インク. 半導体装置及びその製造方法
US9887939B2 (en) 2015-03-11 2018-02-06 International Business Machines Corporation Transmitting multi-destination packets in overlay networks
KR102188538B1 (ko) 2014-04-21 2020-12-09 삼성전자주식회사 반도체 메모리 장치 및 이의 제조 방법
US10062426B2 (en) 2014-04-24 2018-08-28 Micron Technology, Inc. Field effect transistor constructions with gate insulator having local regions radially there-through that have different capacitance at different circumferential locations relative to a channel core periphery
US9552991B2 (en) 2014-04-30 2017-01-24 Sandisk Technologies Llc Trench vertical NAND and method of making thereof
US9331094B2 (en) 2014-04-30 2016-05-03 Sandisk Technologies Inc. Method of selective filling of memory openings
US9559113B2 (en) 2014-05-01 2017-01-31 Macronix International Co., Ltd. SSL/GSL gate oxide in 3D vertical channel NAND
US9196628B1 (en) 2014-05-08 2015-11-24 Macronix International Co., Ltd. 3D stacked IC device with stepped substack interlayer connectors
US9548313B2 (en) 2014-05-30 2017-01-17 Sandisk Technologies Llc Method of making a monolithic three dimensional NAND string using a select gate etch stop layer
US9721964B2 (en) 2014-06-05 2017-08-01 Macronix International Co., Ltd. Low dielectric constant insulating material in 3D memory
US9553146B2 (en) 2014-06-05 2017-01-24 Sandisk Technologies Llc Three dimensional NAND device having a wavy charge storage layer
CN104022121B (zh) * 2014-06-23 2017-05-03 中国科学院微电子研究所 三维半导体器件及其制造方法
US9524779B2 (en) 2014-06-24 2016-12-20 Sandisk Technologies Llc Three dimensional vertical NAND device with floating gates
US9379124B2 (en) 2014-06-25 2016-06-28 Sandisk Technologies Inc. Vertical floating gate NAND with selectively deposited ALD metal films
US9768270B2 (en) 2014-06-25 2017-09-19 Sandisk Technologies Llc Method of selectively depositing floating gate material in a memory device
US9455263B2 (en) 2014-06-27 2016-09-27 Sandisk Technologies Llc Three dimensional NAND device with channel contacting conductive source line and method of making thereof
US9305932B2 (en) 2014-06-30 2016-04-05 Sandisk Technologies Inc. Methods of making three dimensional NAND devices
US9397107B2 (en) 2014-06-30 2016-07-19 Sandisk Technologies Llc Methods of making three dimensional NAND devices
US9177966B1 (en) 2014-07-08 2015-11-03 Sandisk Technologies Inc. Three dimensional NAND devices with air gap or low-k core
US9373409B2 (en) 2014-07-08 2016-06-21 Macronix International Co., Ltd. Systems and methods for reduced program disturb for 3D NAND flash
US9514835B2 (en) 2014-07-10 2016-12-06 Sandisk Technologies Llc Determination of word line to word line shorts between adjacent blocks
US9443612B2 (en) 2014-07-10 2016-09-13 Sandisk Technologies Llc Determination of bit line to low voltage signal shorts
US9484086B2 (en) 2014-07-10 2016-11-01 Sandisk Technologies Llc Determination of word line to local source line shorts
US9425046B1 (en) * 2014-07-18 2016-08-23 Crossbar, Inc. Method for surface roughness reduction after silicon germanium thin film deposition
US9847233B2 (en) 2014-07-29 2017-12-19 Taiwan Semiconductor Manufacturing Company Limited Semiconductor device and formation thereof
US9570460B2 (en) 2014-07-29 2017-02-14 Sandisk Technologies Llc Spacer passivation for high-aspect ratio opening film removal and cleaning
US9281065B2 (en) * 2014-08-11 2016-03-08 Empire Technology Development Llc Low-power nonvolatile memory cells with select gates
US9356031B2 (en) 2014-08-11 2016-05-31 Sandisk Technologies Inc. Three dimensional NAND string memory devices with voids enclosed between control gate electrodes
US9136130B1 (en) 2014-08-11 2015-09-15 Sandisk Technologies Inc. Three dimensional NAND string with discrete charge trap segments
US9640270B2 (en) * 2014-08-12 2017-05-02 Sandisk Technologies Llc System and method of using multiple read operations
US9496053B2 (en) 2014-08-15 2016-11-15 Zeno Semiconductor, Inc. Memory device comprising electrically floating body transistor
US9583539B2 (en) 2014-08-19 2017-02-28 Sandisk Technologies Llc Word line connection for memory device and method of making thereof
US9230983B1 (en) 2014-08-20 2016-01-05 Sandisk Technologies Inc. Metal word lines for three dimensional memory devices
US9401309B2 (en) 2014-08-26 2016-07-26 Sandisk Technologies Llc Multiheight contact via structures for a multilevel interconnect structure
US9576975B2 (en) 2014-08-26 2017-02-21 Sandisk Technologies Llc Monolithic three-dimensional NAND strings and methods of fabrication thereof
US9230974B1 (en) 2014-08-26 2016-01-05 Sandisk Technologies Inc. Methods of selective removal of blocking dielectric in NAND memory strings
US9601502B2 (en) 2014-08-26 2017-03-21 Sandisk Technologies Llc Multiheight contact via structures for a multilevel interconnect structure
US9236392B1 (en) 2014-08-26 2016-01-12 Sandisk Technologies Inc. Multiheight electrically conductive via contacts for a multilevel interconnect structure
US9620514B2 (en) 2014-09-05 2017-04-11 Sandisk Technologies Llc 3D semicircular vertical NAND string with self aligned floating gate or charge trap cell memory cells and methods of fabricating and operating the same
US9666594B2 (en) 2014-09-05 2017-05-30 Sandisk Technologies Llc Multi-charge region memory cells for a vertical NAND device
US9666590B2 (en) 2014-09-24 2017-05-30 Sandisk Technologies Llc High stack 3D memory and method of making
US9515085B2 (en) 2014-09-26 2016-12-06 Sandisk Technologies Llc Vertical memory device with bit line air gap
TWI555120B (zh) * 2014-10-14 2016-10-21 力晶科技股份有限公司 半導體元件及其製作方法
US9305934B1 (en) 2014-10-17 2016-04-05 Sandisk Technologies Inc. Vertical NAND device containing peripheral devices on epitaxial semiconductor pedestal
US9449981B2 (en) 2014-10-21 2016-09-20 Sandisk Technologies Llc Three dimensional NAND string memory devices and methods of fabrication thereof
US9934872B2 (en) 2014-10-30 2018-04-03 Sandisk Technologies Llc Erase stress and delta erase loop count methods for various fail modes in non-volatile memory
US9449980B2 (en) 2014-10-31 2016-09-20 Sandisk Technologies Llc Band gap tailoring for a tunneling dielectric for a three-dimensional memory structure
US9230979B1 (en) 2014-10-31 2016-01-05 Sandisk Technologies Inc. High dielectric constant etch stop layer for a memory structure
US9305849B1 (en) 2014-11-12 2016-04-05 Sandisk Technologies Inc. Method of making a three dimensional NAND device
US9236396B1 (en) 2014-11-12 2016-01-12 Sandisk Technologies Inc. Three dimensional NAND device and method of making thereof
US9419135B2 (en) * 2014-11-13 2016-08-16 Sandisk Technologies Llc Three dimensional NAND device having reduced wafer bowing and method of making thereof
US9698152B2 (en) 2014-11-13 2017-07-04 Sandisk Technologies Llc Three-dimensional memory structure with multi-component contact via structure and method of making thereof
US9947682B2 (en) * 2014-11-18 2018-04-17 Sandisk Technologies Llc Three dimensional non-volatile memory with separate source lines
US9698223B2 (en) 2014-11-25 2017-07-04 Sandisk Technologies Llc Memory device containing stress-tunable control gate electrodes
US9496419B2 (en) 2014-11-25 2016-11-15 Sandisk Technologies Llc Ruthenium nucleation layer for control gate electrodes in a memory structure
US9570455B2 (en) 2014-11-25 2017-02-14 Sandisk Technologies Llc Metal word lines for three dimensional memory devices
US9793288B2 (en) 2014-12-04 2017-10-17 Sandisk Technologies Llc Methods of fabricating memory device with spaced-apart semiconductor charge storage regions
US9754956B2 (en) 2014-12-04 2017-09-05 Sandisk Technologies Llc Uniform thickness blocking dielectric portions in a three-dimensional memory structure
US9553100B2 (en) 2014-12-04 2017-01-24 Sandisk Techologies Llc Selective floating gate semiconductor material deposition in a three-dimensional memory structure
WO2016099580A2 (en) 2014-12-23 2016-06-23 Lupino James John Three dimensional integrated circuits employing thin film transistors
US9224502B1 (en) * 2015-01-14 2015-12-29 Sandisk Technologies Inc. Techniques for detection and treating memory hole to local interconnect marginality defects
US9780182B2 (en) 2015-02-04 2017-10-03 Sandisk Technologies Llc Molybdenum-containing conductive layers for control gate electrodes in a memory structure
US10741572B2 (en) 2015-02-04 2020-08-11 Sandisk Technologies Llc Three-dimensional memory device having multilayer word lines containing selectively grown cobalt or ruthenium and method of making the same
US9984963B2 (en) 2015-02-04 2018-05-29 Sandisk Technologies Llc Cobalt-containing conductive layers for control gate electrodes in a memory structure
US9419058B1 (en) 2015-02-05 2016-08-16 Sandisk Technologies Llc Memory device with comb-shaped electrode having a plurality of electrode fingers and method of making thereof
US9356034B1 (en) 2015-02-05 2016-05-31 Sandisk Technologies Inc. Multilevel interconnect structure and methods of manufacturing the same
US9842847B2 (en) * 2015-02-11 2017-12-12 Micron Technology, Inc. Drain select gate formation methods and apparatus
US9484296B2 (en) 2015-02-12 2016-11-01 Sandisk Technologies Llc Self-aligned integrated line and via structure for a three-dimensional semiconductor device
US9583615B2 (en) 2015-02-17 2017-02-28 Sandisk Technologies Llc Vertical transistor and local interconnect structure
US9698202B2 (en) 2015-03-02 2017-07-04 Sandisk Technologies Llc Parallel bit line three-dimensional resistive random access memory
US9870945B2 (en) 2015-03-10 2018-01-16 Sandisk Technologies Llc Crystalline layer stack for forming conductive layers in a three-dimensional memory structure
US9530788B2 (en) 2015-03-17 2016-12-27 Sandisk Technologies Llc Metallic etch stop layer in a three-dimensional memory structure
US9799671B2 (en) 2015-04-07 2017-10-24 Sandisk Technologies Llc Three-dimensional integration schemes for reducing fluorine-induced electrical shorts
US9379129B1 (en) 2015-04-13 2016-06-28 Macronix International Co., Ltd. Assist gate structures for three-dimensional (3D) vertical gate array memory structure
US9601508B2 (en) 2015-04-27 2017-03-21 Sandisk Technologies Llc Blocking oxide in memory opening integration scheme for three-dimensional memory structure
US10553683B2 (en) 2015-04-29 2020-02-04 Zeno Semiconductor, Inc. MOSFET and memory cell having improved drain current through back bias application
US9397046B1 (en) 2015-04-29 2016-07-19 Sandisk Technologies Llc Fluorine-free word lines for three-dimensional memory devices
US9627403B2 (en) 2015-04-30 2017-04-18 Sandisk Technologies Llc Multilevel memory stack structure employing support pillar structures
US9478259B1 (en) 2015-05-05 2016-10-25 Macronix International Co., Ltd. 3D voltage switching transistors for 3D vertical gate memory array
US10074661B2 (en) * 2015-05-08 2018-09-11 Sandisk Technologies Llc Three-dimensional junction memory device and method reading thereof using hole current detection
US9666281B2 (en) 2015-05-08 2017-05-30 Sandisk Technologies Llc Three-dimensional P-I-N memory device and method reading thereof using hole current detection
JP6901831B2 (ja) 2015-05-26 2021-07-14 株式会社半導体エネルギー研究所 メモリシステム、及び情報処理システム
JP6773453B2 (ja) 2015-05-26 2020-10-21 株式会社半導体エネルギー研究所 記憶装置及び電子機器
US9859422B2 (en) 2015-05-28 2018-01-02 Sandisk Technologies Llc Field effect transistor with elevated active regions and methods of manufacturing the same
US9443861B1 (en) 2015-05-28 2016-09-13 Sandisk Technologies Llc Fluorine-blocking insulating spacer for backside contact structure of three-dimensional memory structures
US9917507B2 (en) 2015-05-28 2018-03-13 Sandisk Technologies Llc Dynamic clock period modulation scheme for variable charge pump load currents
US9646981B2 (en) 2015-06-15 2017-05-09 Sandisk Technologies Llc Passive devices for integration with three-dimensional memory devices
US9589981B2 (en) 2015-06-15 2017-03-07 Sandisk Technologies Llc Passive devices for integration with three-dimensional memory devices
KR102408898B1 (ko) * 2015-06-19 2022-06-16 엘지디스플레이 주식회사 박막 트랜지스터 기판 및 이를 이용한 표시장치
US9419012B1 (en) 2015-06-19 2016-08-16 Sandisk Technologies Llc Three-dimensional memory structure employing air gap isolation
US9356043B1 (en) 2015-06-22 2016-05-31 Sandisk Technologies Inc. Three-dimensional memory devices containing memory stack structures with position-independent threshold voltage
US10622368B2 (en) 2015-06-24 2020-04-14 Sandisk Technologies Llc Three-dimensional memory device with semicircular metal-semiconductor alloy floating gate electrodes and methods of making thereof
US9613977B2 (en) 2015-06-24 2017-04-04 Sandisk Technologies Llc Differential etch of metal oxide blocking dielectric layer for three-dimensional memory devices
US9530785B1 (en) 2015-07-21 2016-12-27 Sandisk Technologies Llc Three-dimensional memory devices having a single layer channel and methods of making thereof
US9627399B2 (en) 2015-07-24 2017-04-18 Sandisk Technologies Llc Three-dimensional memory device with metal and silicide control gates
US9647536B2 (en) 2015-07-28 2017-05-09 Sandisk Technologies Llc High voltage generation using low voltage devices
US9543318B1 (en) 2015-08-21 2017-01-10 Sandisk Technologies Llc Three dimensional memory device with epitaxial semiconductor pedestal for peripheral transistors
US9449987B1 (en) * 2015-08-21 2016-09-20 Sandisk Technologies Llc Three dimensional memory device with epitaxial semiconductor pedestal for peripheral transistors
US9502471B1 (en) 2015-08-25 2016-11-22 Sandisk Technologies Llc Multi tier three-dimensional memory devices including vertically shared bit lines
US9853043B2 (en) 2015-08-25 2017-12-26 Sandisk Technologies Llc Method of making a multilevel memory stack structure using a cavity containing a sacrificial fill material
US9520776B1 (en) 2015-09-18 2016-12-13 Sandisk Technologies Llc Selective body bias for charge pump transfer switches
US9576966B1 (en) 2015-09-21 2017-02-21 Sandisk Technologies Llc Cobalt-containing conductive layers for control gate electrodes in a memory structure
US9806089B2 (en) 2015-09-21 2017-10-31 Sandisk Technologies Llc Method of making self-assembling floating gate electrodes for a three-dimensional memory device
US9646975B2 (en) 2015-09-21 2017-05-09 Sandisk Technologies Llc Lateral stack of cobalt and a cobalt-semiconductor alloy for control gate electrodes in a memory structure
DE112016004265T5 (de) * 2015-09-21 2018-06-07 Monolithic 3D Inc. 3d halbleitervorrichtung und -struktur
KR102424964B1 (ko) * 2015-09-23 2022-07-25 삼성전자주식회사 반도체 소자 및 그 제조방법
US9842907B2 (en) 2015-09-29 2017-12-12 Sandisk Technologies Llc Memory device containing cobalt silicide control gate electrodes and method of making thereof
US9892800B2 (en) 2015-09-30 2018-02-13 Sunrise Memory Corporation Multi-gate NOR flash thin-film transistor strings arranged in stacked horizontal active strips with vertical control gates
US10121553B2 (en) 2015-09-30 2018-11-06 Sunrise Memory Corporation Capacitive-coupled non-volatile thin-film transistor NOR strings in three-dimensional arrays
US11120884B2 (en) 2015-09-30 2021-09-14 Sunrise Memory Corporation Implementing logic function and generating analog signals using NOR memory strings
US9842651B2 (en) 2015-11-25 2017-12-12 Sunrise Memory Corporation Three-dimensional vertical NOR flash thin film transistor strings
US9876025B2 (en) 2015-10-19 2018-01-23 Sandisk Technologies Llc Methods for manufacturing ultrathin semiconductor channel three-dimensional memory devices
US9780108B2 (en) 2015-10-19 2017-10-03 Sandisk Technologies Llc Ultrathin semiconductor channel three-dimensional memory devices
US9478495B1 (en) 2015-10-26 2016-10-25 Sandisk Technologies Llc Three dimensional memory device containing aluminum source contact via structure and method of making thereof
US9704920B2 (en) * 2015-10-27 2017-07-11 Sandisk Technologies Llc Resistive random access memory containing a steering element and a tunneling dielectric element
US9659955B1 (en) 2015-10-28 2017-05-23 Sandisk Technologies Llc Crystalinity-dependent aluminum oxide etching for self-aligned blocking dielectric in a memory structure
US9620512B1 (en) 2015-10-28 2017-04-11 Sandisk Technologies Llc Field effect transistor with a multilevel gate electrode for integration with a multilevel memory device
US9793139B2 (en) 2015-10-29 2017-10-17 Sandisk Technologies Llc Robust nucleation layers for enhanced fluorine protection and stress reduction in 3D NAND word lines
US9899399B2 (en) 2015-10-30 2018-02-20 Sandisk Technologies Llc 3D NAND device with five-folded memory stack structure configuration
US9831266B2 (en) 2015-11-20 2017-11-28 Sandisk Technologies Llc Three-dimensional NAND device containing support pedestal structures for a buried source line and method of making the same
KR101944229B1 (ko) * 2015-11-20 2019-01-30 샌디스크 테크놀로지스 엘엘씨 매립형 소스 라인을 위한 지지 페데스탈 구조물들을 포함하는 3차원 nand 디바이스 및 그 제조 방법
US9837431B2 (en) 2015-11-20 2017-12-05 Sandisk Technologies Llc 3D semicircular vertical NAND string with recessed inactive semiconductor channel sections
US9799670B2 (en) 2015-11-20 2017-10-24 Sandisk Technologies Llc Three dimensional NAND device containing dielectric pillars for a buried source line and method of making thereof
US9917100B2 (en) 2015-11-20 2018-03-13 Sandisk Technologies Llc Three-dimensional NAND device containing support pedestal structures for a buried source line and method of making the same
US9935123B2 (en) 2015-11-25 2018-04-03 Sandisk Technologies Llc Within array replacement openings for a three-dimensional memory device
US9530790B1 (en) 2015-12-24 2016-12-27 Sandisk Technologies Llc Three-dimensional memory device containing CMOS devices over memory stack structures
US9589839B1 (en) 2016-02-01 2017-03-07 Sandisk Technologies Llc Method of reducing control gate electrode curvature in three-dimensional memory devices
US9754820B2 (en) 2016-02-01 2017-09-05 Sandisk Technologies Llc Three-dimensional memory device containing an aluminum oxide etch stop layer for backside contact structure and method of making thereof
CN108540126A (zh) * 2017-03-03 2018-09-14 成都海存艾匹科技有限公司 基于三维可写存储器的可编程门阵列
US9673213B1 (en) 2016-02-15 2017-06-06 Sandisk Technologies Llc Three dimensional memory device with peripheral devices under dummy dielectric layer stack and method of making thereof
US9721663B1 (en) 2016-02-18 2017-08-01 Sandisk Technologies Llc Word line decoder circuitry under a three-dimensional memory array
US9595535B1 (en) 2016-02-18 2017-03-14 Sandisk Technologies Llc Integration of word line switches with word line contact via structures
US10056265B2 (en) * 2016-03-18 2018-08-21 Taiwan Semiconductor Manufacturing Co., Ltd. Directed self-assembly process with size-restricted guiding patterns
US9859338B2 (en) * 2016-03-21 2018-01-02 Winbond Electronics Corp. Three-dimensional resistive memory
US10355015B2 (en) 2016-03-23 2019-07-16 Sandisk Technologies Llc Three-dimensional NAND memory device with common bit line for multiple NAND strings in each memory block
US10224104B2 (en) 2016-03-23 2019-03-05 Sandisk Technologies Llc Three dimensional NAND memory device with common bit line for multiple NAND strings in each memory block
US9812463B2 (en) 2016-03-25 2017-11-07 Sandisk Technologies Llc Three-dimensional memory device containing vertically isolated charge storage regions and method of making thereof
US9711530B1 (en) 2016-03-25 2017-07-18 Sandisk Technologies Llc Locally-trap-characteristic-enhanced charge trap layer for three-dimensional memory structures
CN110021622A (zh) * 2018-01-10 2019-07-16 厦门海存艾匹科技有限公司 地址线含有不同金属材料的三维纵向多次编程存储器
US9728547B1 (en) 2016-05-19 2017-08-08 Sandisk Technologies Llc Three-dimensional memory device with aluminum-containing etch stop layer for backside contact structure and method of making thereof
US9985046B2 (en) 2016-06-13 2018-05-29 Sandisk Technologies Llc Method of forming a staircase in a semiconductor device using a linear alignment control feature
US10121794B2 (en) 2016-06-20 2018-11-06 Sandisk Technologies Llc Three-dimensional memory device having epitaxial germanium-containing vertical channel and method of making thereof
KR102106664B1 (ko) * 2016-06-22 2020-05-06 매그나칩 반도체 유한회사 Otp 셀 및 이를 이용한 otp 메모리 어레이
US10355139B2 (en) 2016-06-28 2019-07-16 Sandisk Technologies Llc Three-dimensional memory device with amorphous barrier layer and method of making thereof
US10361213B2 (en) 2016-06-28 2019-07-23 Sandisk Technologies Llc Three dimensional memory device containing multilayer wordline barrier films and method of making thereof
US9978768B2 (en) 2016-06-29 2018-05-22 Sandisk Technologies Llc Method of making three-dimensional semiconductor memory device having laterally undulating memory films
US9659866B1 (en) 2016-07-08 2017-05-23 Sandisk Technologies Llc Three-dimensional memory structures with low source line resistance
US10529620B2 (en) 2016-07-13 2020-01-07 Sandisk Technologies Llc Three-dimensional memory device containing word lines formed by selective tungsten growth on nucleation controlling surfaces and methods of manufacturing the same
US10381372B2 (en) 2016-07-13 2019-08-13 Sandisk Technologies Llc Selective tungsten growth for word lines of a three-dimensional memory device
US9748266B1 (en) 2016-07-20 2017-08-29 Sandisk Technologies Llc Three-dimensional memory device with select transistor having charge trapping gate dielectric layer and methods of making and operating thereof
US9824966B1 (en) 2016-08-12 2017-11-21 Sandisk Technologies Llc Three-dimensional memory device containing a lateral source contact and method of making the same
US9805805B1 (en) 2016-08-23 2017-10-31 Sandisk Technologies Llc Three-dimensional memory device with charge carrier injection wells for vertical channels and method of making and using thereof
US10050054B2 (en) 2016-10-05 2018-08-14 Sandisk Technologies Llc Three-dimensional memory device having drain select level isolation structure and method of making thereof
US9911754B1 (en) * 2016-10-07 2018-03-06 Macronix International Co., Ltd. 3D memory structure
US9806256B1 (en) 2016-10-21 2017-10-31 Sandisk Technologies Llc Resistive memory device having sidewall spacer electrode and method of making thereof
US9881929B1 (en) 2016-10-27 2018-01-30 Sandisk Technologies Llc Multi-tier memory stack structure containing non-overlapping support pillar structures and method of making thereof
US9929174B1 (en) 2016-10-28 2018-03-27 Sandisk Technologies Llc Three-dimensional memory device having non-uniform spacing among memory stack structures and method of making thereof
US10079301B2 (en) 2016-11-01 2018-09-18 Zeno Semiconductor, Inc. Memory device comprising an electrically floating body transistor and methods of using
US9985098B2 (en) 2016-11-03 2018-05-29 Sandisk Technologies Llc Bulb-shaped memory stack structures for direct source contact in three-dimensional memory device
DE102016222213A1 (de) * 2016-11-11 2018-05-17 Robert Bosch Gmbh MOS-Bauelement, elektrische Schaltung sowie Batterieeinheit für ein Kraftfahrzeug
US9991277B1 (en) 2016-11-28 2018-06-05 Sandisk Technologies Llc Three-dimensional memory device with discrete self-aligned charge storage elements and method of making thereof
US9876031B1 (en) 2016-11-30 2018-01-23 Sandisk Technologies Llc Three-dimensional memory device having passive devices at a buried source line level and method of making thereof
US10853244B2 (en) 2016-12-07 2020-12-01 Sandisk Technologies Llc Randomly writable memory device and method of operating thereof
US9899410B1 (en) * 2016-12-13 2018-02-20 Sandisk Technologies Llc Charge storage region in non-volatile memory
US10056399B2 (en) 2016-12-22 2018-08-21 Sandisk Technologies Llc Three-dimensional memory devices containing inter-tier dummy memory cells and methods of making the same
US10032908B1 (en) 2017-01-06 2018-07-24 Sandisk Technologies Llc Multi-gate vertical field effect transistor with channel strips laterally confined by gate dielectric layers, and method of making thereof
US10115735B2 (en) 2017-02-24 2018-10-30 Sandisk Technologies Llc Semiconductor device containing multilayer titanium nitride diffusion barrier and method of making thereof
JP2018157114A (ja) * 2017-03-17 2018-10-04 東芝メモリ株式会社 記憶装置
FR3064399B1 (fr) * 2017-03-22 2019-05-03 Stmicroelectronics (Crolles 2) Sas Transistor quantique vertical
US9960180B1 (en) 2017-03-27 2018-05-01 Sandisk Technologies Llc Three-dimensional memory device with partially discrete charge storage regions and method of making thereof
US11088146B2 (en) * 2017-04-04 2021-08-10 Intel Corporation Thin-film transistor embedded dynamic random-access memory
JP6876500B2 (ja) * 2017-04-19 2021-05-26 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US20180331117A1 (en) 2017-05-12 2018-11-15 Sandisk Technologies Llc Multilevel memory stack structure with tapered inter-tier joint region and methods of making thereof
US10157833B1 (en) 2017-05-23 2018-12-18 Globalfoundries Inc. Via and skip via structures
US10411695B2 (en) * 2017-05-26 2019-09-10 Indian Institute Of Science Programmable tunnel thermionic mode transistor
US10224340B2 (en) 2017-06-19 2019-03-05 Sandisk Technologies Llc Three-dimensional memory device having discrete direct source strap contacts and method of making thereof
US10692874B2 (en) 2017-06-20 2020-06-23 Sunrise Memory Corporation 3-dimensional NOR string arrays in segmented stacks
US10608008B2 (en) 2017-06-20 2020-03-31 Sunrise Memory Corporation 3-dimensional nor strings with segmented shared source regions
CN111033625B (zh) 2017-06-20 2024-02-06 日升存储公司 三维nor存储器阵列架构及其制造方法
US10438964B2 (en) 2017-06-26 2019-10-08 Sandisk Technologies Llc Three-dimensional memory device having direct source contact and metal oxide blocking dielectric and method of making thereof
KR20190008676A (ko) * 2017-07-17 2019-01-25 에스케이하이닉스 주식회사 반도체 장치 및 그 제조방법
US10964683B2 (en) * 2017-08-30 2021-03-30 Taiwan Semiconductor Manufacturing Company, Ltd. Memory array circuit and method of manufacturing the same
US10332985B2 (en) * 2017-08-31 2019-06-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10522686B2 (en) 2017-09-26 2019-12-31 International Business Machines Corporation Vertical thin film transistor
US10453798B2 (en) 2017-09-27 2019-10-22 Sandisk Technologies Llc Three-dimensional memory device with gated contact via structures and method of making thereof
US10115459B1 (en) 2017-09-29 2018-10-30 Sandisk Technologies Llc Multiple liner interconnects for three dimensional memory devices and method of making thereof
US10644024B2 (en) * 2017-10-20 2020-05-05 Chen-Chih WANG Transistor, semiconductor device, memory device and fabrication the same
US11239235B2 (en) 2017-10-20 2022-02-01 Chen-Chih WANG Transistor and logic gate
US10083877B1 (en) 2017-10-25 2018-09-25 Sandisk Technologies Llc Vertical field effect transistors including two-tier select gates and method of making the same
JP6956592B2 (ja) * 2017-10-31 2021-11-02 東京エレクトロン株式会社 シリコン酸化膜を形成する方法および装置
US10115897B1 (en) 2017-11-07 2018-10-30 Sandisk Technologies Llc Resistive memory cell containing a middle electrode and method of making the same
US10217746B1 (en) * 2017-11-30 2019-02-26 Sandisk Technologies Llc Three-dimensional memory device having L-shaped word lines and a support structure and methods of making the same
US10229931B1 (en) 2017-12-05 2019-03-12 Sandisk Technologies Llc Three-dimensional memory device containing fluorine-free tungsten—word lines and methods of manufacturing the same
US11201163B2 (en) * 2017-12-30 2021-12-14 Haibing Peng High-density NOR-type flash memory
US10373969B2 (en) 2018-01-09 2019-08-06 Sandisk Technologies Llc Three-dimensional memory device including partially surrounding select gates and fringe field assisted programming thereof
US10283493B1 (en) 2018-01-17 2019-05-07 Sandisk Technologies Llc Three-dimensional memory device containing bonded memory die and peripheral logic die and method of making thereof
US10510738B2 (en) 2018-01-17 2019-12-17 Sandisk Technologies Llc Three-dimensional memory device having support-die-assisted source power distribution and method of making thereof
US10475812B2 (en) 2018-02-02 2019-11-12 Sunrise Memory Corporation Three-dimensional vertical NOR flash thin-film transistor strings
US10199434B1 (en) 2018-02-05 2019-02-05 Sandisk Technologies Llc Three-dimensional cross rail phase change memory device and method of manufacturing the same
US10256247B1 (en) 2018-02-08 2019-04-09 Sandisk Technologies Llc Three-dimensional memory device with silicided word lines, air gap layers and discrete charge storage elements, and method of making thereof
US10468596B2 (en) 2018-02-21 2019-11-05 Sandisk Technologies Llc Damascene process for forming three-dimensional cross rail phase change memory devices
US11217532B2 (en) 2018-03-14 2022-01-04 Sandisk Technologies Llc Three-dimensional memory device containing compositionally graded word line diffusion barrier layer for and methods of forming the same
US10580976B2 (en) 2018-03-19 2020-03-03 Sandisk Technologies Llc Three-dimensional phase change memory device having a laterally constricted element and method of making the same
US10770459B2 (en) 2018-03-23 2020-09-08 Sandisk Technologies Llc CMOS devices containing asymmetric contact via structures
WO2019182261A1 (ko) * 2018-03-23 2019-09-26 홍잉 단결정립 나노와이어 제조 방법 및 이를 적용하는 반도체 소자의 제조 방법
US10355017B1 (en) 2018-03-23 2019-07-16 Sandisk Technologies Llc CMOS devices containing asymmetric contact via structures and method of making the same
KR102416099B1 (ko) * 2018-03-28 2022-07-01 에스케이하이닉스 주식회사 반도체 메모리 소자, 이의 구동 방법 및 이의 제조 방법
KR102592882B1 (ko) 2018-04-03 2023-10-24 에스케이하이닉스 주식회사 반도체 장치 및 그 제조방법
KR102588311B1 (ko) 2018-04-03 2023-10-13 에스케이하이닉스 주식회사 반도체 장치 및 그 제조방법
US10756186B2 (en) 2018-04-12 2020-08-25 Sandisk Technologies Llc Three-dimensional memory device including germanium-containing vertical channels and method of making the same
DE102018109013A1 (de) * 2018-04-17 2019-10-17 Infineon Technologies Ag Formmasse und halbleiter-package mit formmasse
WO2019204525A1 (en) 2018-04-18 2019-10-24 Zeno Semiconductor, Inc. A memory device comprising an electrically floating body transistor
US10700069B2 (en) * 2018-04-19 2020-06-30 Tc Lab, Inc. Multi-layer thyristor random access memory with silicon-germanium bases
US10381322B1 (en) 2018-04-23 2019-08-13 Sandisk Technologies Llc Three-dimensional memory device containing self-aligned interlocking bonded structure and method of making the same
US10593692B2 (en) 2018-04-30 2020-03-17 Sandisk Technologies Llc Three-dimensional nor-type memory device and method of making the same
US10950786B2 (en) 2018-05-17 2021-03-16 Macronix International Co., Ltd. Layer cost scalable 3D phase change cross-point memory
US10756097B2 (en) 2018-06-29 2020-08-25 International Business Machines Corporation Stacked vertical transistor-based mask-programmable ROM
US11751391B2 (en) 2018-07-12 2023-09-05 Sunrise Memory Corporation Methods for fabricating a 3-dimensional memory structure of nor memory strings
US11069696B2 (en) * 2018-07-12 2021-07-20 Sunrise Memory Corporation Device structure for a 3-dimensional NOR memory array and methods for improved erase operations applied thereto
CN112567516A (zh) 2018-07-12 2021-03-26 日升存储公司 三维nor存储器阵列的制造方法
JP6789576B2 (ja) * 2018-08-02 2020-11-25 株式会社フローディア 積和演算装置
US10615225B2 (en) 2018-08-22 2020-04-07 International Business Machines Corporation Multilayer back end of line (BEOL)-stackable cross-point memory array with complementary pass transistor selectors
CN112602193A (zh) * 2018-09-05 2021-04-02 东京毅力科创株式会社 用于制造单片集成3d cmos逻辑和存储器的架构设计和工艺
TWI713195B (zh) 2018-09-24 2020-12-11 美商森恩萊斯記憶體公司 三維nor記憶電路製程中之晶圓接合及其形成之積體電路
KR102059896B1 (ko) * 2018-10-24 2019-12-27 가천대학교 산학협력단 양자우물 구조를 갖는 1t 디램 셀 소자
US10868025B2 (en) 2018-11-26 2020-12-15 Sandisk Technologies Llc Three-dimensional memory device including replacement crystalline channels and methods of making the same
EP3891780A4 (en) 2018-12-07 2022-12-21 Sunrise Memory Corporation METHODS OF FORMING NETWORKS OF MULTILAYER VERTICAL NOR TYPE MEMORY CHAINS
CN111587489B (zh) 2018-12-17 2023-09-29 桑迪士克科技有限责任公司 具有应力竖直半导体沟道的三维存储器器件及其制备方法
US10797060B2 (en) 2018-12-17 2020-10-06 Sandisk Technologies Llc Three-dimensional memory device having stressed vertical semiconductor channels and method of making the same
US10797061B2 (en) 2018-12-17 2020-10-06 Sandisk Technologies Llc Three-dimensional memory device having stressed vertical semiconductor channels and method of making the same
US11721727B2 (en) 2018-12-17 2023-08-08 Sandisk Technologies Llc Three-dimensional memory device including a silicon-germanium source contact layer and method of making the same
KR102674883B1 (ko) * 2018-12-21 2024-06-14 에스케이하이닉스 주식회사 적층된 셀 트랜지스터들을 포함하는 비휘발성 메모리 소자 및 상기 비휘발성 메모리 소자의 동작 방법
US11600663B2 (en) 2019-01-11 2023-03-07 Zeno Semiconductor, Inc. Memory cell and memory array select transistor
US10985172B2 (en) 2019-01-18 2021-04-20 Sandisk Technologies Llc Three-dimensional memory device with mobility-enhanced vertical channels and methods of forming the same
CN109817624B (zh) * 2019-01-22 2020-09-25 上海华虹宏力半导体制造有限公司 存储器及其操作方法
WO2020160169A1 (en) 2019-01-30 2020-08-06 Sunrise Memory Corporation Device with embedded high-bandwidth, high-capacity memory using wafer bonding
US11610914B2 (en) 2019-02-11 2023-03-21 Sunrise Memory Corporation Vertical thin-film transistor and application as bit-line connector for 3-dimensional memory arrays
EP3925004A4 (en) 2019-02-11 2023-03-08 Sunrise Memory Corporation VERTICAL THIN FILM TRANSISTOR AND USE AS BITLINE CONNECTOR FOR THREE DIMENSIONAL MEMORY ARRANGEMENTS
US10879260B2 (en) 2019-02-28 2020-12-29 Sandisk Technologies Llc Bonded assembly of a support die and plural memory dies containing laterally shifted vertical interconnections and methods for making the same
US11239253B2 (en) * 2019-03-01 2022-02-01 Sandisk Technologies Llc Three-dimensional memory device having an epitaxial vertical semiconductor channel and method for making the same
US10790300B2 (en) * 2019-03-01 2020-09-29 Sandisk Technologies Llc Three-dimensional memory device having an epitaxial vertical semiconductor channel and method for making the same
US11424231B2 (en) * 2019-03-01 2022-08-23 Sandisk Technologies Llc Three-dimensional memory device having an epitaxial vertical semiconductor channel and method for making the same
US11398451B2 (en) * 2019-03-01 2022-07-26 Sandisk Technologies Llc Methods for reusing substrates during manufacture of a bonded assembly including a logic die and a memory die
US10950545B2 (en) 2019-03-08 2021-03-16 International Business Machines Corporation Circuit wiring techniques for stacked transistor structures
US11101290B2 (en) * 2019-04-29 2021-08-24 International Business Machines Corporation Cross-point multilayer stackable ferroelectric field-effect transistor random access memory
TWI743784B (zh) 2019-05-17 2021-10-21 美商森恩萊斯記憶體公司 形成三維水平nor記憶陣列之製程
CN110476209B (zh) 2019-06-28 2020-11-17 长江存储科技有限责任公司 三维存储器件中的存储器内计算
WO2020258209A1 (en) 2019-06-28 2020-12-30 Yangtze Memory Technologies Co., Ltd. Computation-in-memory in three-dimensional memory device
US10964811B2 (en) 2019-08-09 2021-03-30 Micron Technology, Inc. Transistor and methods of forming transistors
US11024736B2 (en) 2019-08-09 2021-06-01 Micron Technology, Inc. Transistor and methods of forming integrated circuitry
US10950626B2 (en) * 2019-08-13 2021-03-16 Sandisk Technologies Llc Three-dimensional memory device containing alternating stack of source layers and drain layers and vertical gate electrodes
US11018153B2 (en) 2019-08-13 2021-05-25 Sandisk Technologies Llc Three-dimensional memory device containing alternating stack of source layers and drain layers and vertical gate electrodes
CN112885830B (zh) * 2019-11-29 2023-05-26 芯恩(青岛)集成电路有限公司 堆叠神经元器件结构及其制作方法
US11251199B2 (en) 2019-12-09 2022-02-15 Sandisk Technologies Llc Three-dimensional NOR array including active region pillars and method of making the same
WO2021127218A1 (en) 2019-12-19 2021-06-24 Sunrise Memory Corporation Process for preparing a channel region of a thin-film transistor
US11114534B2 (en) 2019-12-27 2021-09-07 Sandisk Technologies Llc Three-dimensional nor array including vertical word lines and discrete channels and methods of making the same
CN111146203A (zh) * 2019-12-27 2020-05-12 上海华力微电子有限公司 3d nor闪存的制作方法及其的存储单元结构
US11164890B2 (en) 2020-01-09 2021-11-02 Sandisk Technologies Llc Cross-point array of ferroelectric field effect transistors and method of making the same
KR20210095266A (ko) * 2020-01-22 2021-08-02 삼성디스플레이 주식회사 발광 소자 및 이를 포함하는 표시 장치
CN115413367A (zh) 2020-02-07 2022-11-29 日升存储公司 具有低有效延迟的高容量存储器电路
US11561911B2 (en) 2020-02-24 2023-01-24 Sunrise Memory Corporation Channel controller for shared memory access
US11507301B2 (en) 2020-02-24 2022-11-22 Sunrise Memory Corporation Memory module implementing memory centric architecture
WO2021207050A1 (en) 2020-04-08 2021-10-14 Sunrise Memory Corporation Charge-trapping layer with optimized number of charge-trapping sites for fast program and erase of a memory cell in a 3-dimensional nor memory string array
US11502128B2 (en) 2020-06-18 2022-11-15 Taiwan Semiconductor Manufacturing Company, Ltd. Memory device and method of forming the same
US11521984B2 (en) 2020-06-24 2022-12-06 Sandisk Technologies Llc Three-dimensional memory device containing low resistance source-level contact and method of making thereof
CN115699187A (zh) * 2020-06-28 2023-02-03 华为技术有限公司 堆叠存储器及存储系统
US11069410B1 (en) 2020-08-05 2021-07-20 Sandisk Technologies Llc Three-dimensional NOR-NAND combination memory device and method of making the same
US11545500B2 (en) 2020-08-12 2023-01-03 Taiwan Semiconductor Manufacturing Co., Ltd. Three-dimensional memory device and method
US11800697B2 (en) * 2020-08-28 2023-10-24 Macronix International Co., Ltd. Memory structure
US11963352B2 (en) 2020-08-31 2024-04-16 Sandisk Technologies Llc Three-dimensional memory device with vertical field effect transistors and method of making thereof
US11296113B2 (en) 2020-08-31 2022-04-05 Sandisk Technologies Llc Three-dimensional memory device with vertical field effect transistors and method of making thereof
WO2022047067A1 (en) 2020-08-31 2022-03-03 Sunrise Memory Corporation Thin-film storage transistors in a 3-dimensional array or nor memory strings and process for fabricating the same
US11569215B2 (en) 2020-08-31 2023-01-31 Sandisk Technologies Llc Three-dimensional memory device with vertical field effect transistors and method of making thereof
US11646372B2 (en) 2020-09-19 2023-05-09 International Business Machines Corporation Vertical transistor floating body one transistor DRAM memory cell
US11482539B2 (en) 2020-10-28 2022-10-25 Sandisk Technologies Llc Three-dimensional memory device including metal silicide source regions and methods for forming the same
US11842777B2 (en) 2020-11-17 2023-12-12 Sunrise Memory Corporation Methods for reducing disturb errors by refreshing data alongside programming or erase operations
US11848056B2 (en) 2020-12-08 2023-12-19 Sunrise Memory Corporation Quasi-volatile memory with enhanced sense amplifier operation
US11637175B2 (en) 2020-12-09 2023-04-25 Micron Technology, Inc. Vertical transistors
CN112635487B (zh) * 2020-12-17 2024-06-04 长江存储科技有限责任公司 半导体器件及用于制造半导体器件的方法、掩模板系统
JP2022108157A (ja) * 2021-01-12 2022-07-25 キオクシア株式会社 半導体装置
US11515250B2 (en) 2021-02-03 2022-11-29 Sandisk Technologies Llc Three dimensional semiconductor device containing composite contact via structures and methods of making the same
US11468920B2 (en) 2021-02-05 2022-10-11 Winbond Electronics Corp. Semiconductor connection structure and method for manufacturing the same
US11737274B2 (en) 2021-02-08 2023-08-22 Macronix International Co., Ltd. Curved channel 3D memory device
US20220262809A1 (en) 2021-02-12 2022-08-18 Taiwan Semiconductor Manufacturing Co., Ltd. Memory array and methods of forming same
US11882702B2 (en) 2021-02-16 2024-01-23 Sandisk Technologies Llc Lateral transistors for selecting blocks in a three-dimensional memory array and methods for forming the same
US11626415B2 (en) 2021-02-16 2023-04-11 Sandisk Technologies Llc Lateral transistors for selecting blocks in a three-dimensional memory array and methods for forming the same
CN112786614B (zh) * 2021-03-22 2022-04-29 长江存储科技有限责任公司 制备三维存储器的方法
US11482490B1 (en) * 2021-04-12 2022-10-25 Nanya Technology Corporation Semiconductor device with branch type programmable structure and method for fabricating the same
US11916011B2 (en) 2021-04-14 2024-02-27 Macronix International Co., Ltd. 3D virtual ground memory and manufacturing methods for same
US11710519B2 (en) 2021-07-06 2023-07-25 Macronix International Co., Ltd. High density memory with reference memory using grouped cells and corresponding operations
TW202310429A (zh) 2021-07-16 2023-03-01 美商日升存儲公司 薄膜鐵電電晶體的三維記憶體串陣列
CN113764531B (zh) * 2021-08-31 2023-10-20 沈阳工业大学 源漏辅助可编程单栅肖特基势垒晶体管及制造方法
CN113725301B (zh) * 2021-08-31 2024-07-02 上海积塔半导体有限公司 垂直型存储器件及其制备方法
KR102596333B1 (ko) * 2021-11-16 2023-10-31 재단법인대구경북과학기술원 모놀리식 3차원 집적 구조, 및 이의 제조 방법
CN116456718A (zh) * 2022-01-07 2023-07-18 长鑫存储技术有限公司 半导体结构及其制备方法
CN117998855A (zh) * 2022-10-27 2024-05-07 武汉新芯集成电路制造有限公司 存储块及其制程方法、存储单元

Family Cites Families (300)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3432827A (en) 1964-09-04 1969-03-11 An Controls Inc Di Stacked magnetic memory system
US3414892A (en) 1967-12-26 1968-12-03 Lab For Electronics Inc Means interconnecting printed circuit memory planes
US3634929A (en) 1968-11-02 1972-01-18 Tokyo Shibaura Electric Co Method of manufacturing semiconductor integrated circuits
US3629863A (en) 1968-11-04 1971-12-21 Energy Conversion Devices Inc Film deposited circuits and devices therefor
US3573757A (en) * 1968-11-04 1971-04-06 Energy Conversion Devices Inc Memory matrix having serially connected threshold and memory switch devices at each cross-over point
US3699543A (en) 1968-11-04 1972-10-17 Energy Conversion Devices Inc Combination film deposited switch unit and integrated circuits
US3571809A (en) 1968-11-04 1971-03-23 Energy Conversion Devices Inc Memory matrix having serially connected threshold and memory switch devices at each cross-over point
US3563231A (en) * 1969-02-19 1971-02-16 Tracor Electronystagmograph control system
US3582908A (en) * 1969-03-10 1971-06-01 Bell Telephone Labor Inc Writing a read-only memory while protecting nonselected elements
US3576549A (en) 1969-04-14 1971-04-27 Cogar Corp Semiconductor device, method, and memory array
FR2048311A6 (ja) * 1969-12-23 1971-03-19 Ruiz Jose
US3671948A (en) 1970-09-25 1972-06-20 North American Rockwell Read-only memory
FR2134172B1 (ja) 1971-04-23 1977-03-18 Radiotechnique Compelec
US3717852A (en) 1971-09-17 1973-02-20 Ibm Electronically rewritable read-only memory using via connections
US3728695A (en) 1971-10-06 1973-04-17 Intel Corp Random-access floating gate mos memory array
JPS568438B2 (ja) * 1971-12-29 1981-02-24
US3990098A (en) 1972-12-22 1976-11-02 E. I. Du Pont De Nemours And Co. Structure capable of forming a diode and associated conductive path
US3863231A (en) 1973-07-23 1975-01-28 Nat Res Dev Read only memory with annular fuse links
US3980505A (en) 1973-09-12 1976-09-14 Buckley William D Process of making a filament-type memory semiconductor device
US3886577A (en) 1973-09-12 1975-05-27 Energy Conversion Devices Inc Filament-type memory semiconductor device and method of making the same
US3846767A (en) 1973-10-24 1974-11-05 Energy Conversion Devices Inc Method and means for resetting filament-forming memory semiconductor device
US3877049A (en) 1973-11-28 1975-04-08 William D Buckley Electrodes for amorphous semiconductor switch devices and method of making the same
US4037243A (en) * 1974-07-01 1977-07-19 Motorola, Inc. Semi conductor memory cell utilizing sensing of variations in PN junction current conrolled by stored data
US3922648A (en) 1974-08-19 1975-11-25 Energy Conversion Devices Inc Method and means for preventing degradation of threshold voltage of filament-forming memory semiconductor device
JPS5267532A (en) 1975-12-03 1977-06-04 Nippon Telegr & Teleph Corp <Ntt> Semiconductor memory unit
CA1135854A (en) 1977-09-30 1982-11-16 Michel Moussie Programmable read only memory cell
US4177475A (en) 1977-10-31 1979-12-04 Burroughs Corporation High temperature amorphous memory device for an electrically alterable read-only memory
US4203123A (en) 1977-12-12 1980-05-13 Burroughs Corporation Thin film memory device employing amorphous semiconductor materials
US4203158A (en) 1978-02-24 1980-05-13 Intel Corporation Electrically programmable and erasable MOS floating gate memory device employing tunneling and method of fabricating same
JPS5563854A (en) 1978-11-08 1980-05-14 Nec Kyushu Ltd Method of manufacturing semiconductor device
US4272880A (en) 1979-04-20 1981-06-16 Intel Corporation MOS/SOS Process
USRE33510E (en) * 1979-07-26 1991-01-01 Hester Industries, Inc. High humidity steam cooker with continuously running conveyor
US4281397A (en) 1979-10-29 1981-07-28 Texas Instruments Incorporated Virtual ground MOS EPROM or ROM matrix
US4419741A (en) 1980-01-28 1983-12-06 Rca Corporation Read only memory (ROM) having high density memory array with on pitch decoder circuitry
JPS5728364A (en) 1980-07-28 1982-02-16 Fujitsu Ltd Semiconductor memory device
US4499557A (en) 1980-10-28 1985-02-12 Energy Conversion Devices, Inc. Programmable cell for use in programmable electronic arrays
US4420766A (en) 1981-02-09 1983-12-13 Harris Corporation Reversibly programmable polycrystalline silicon memory element
US4442507A (en) 1981-02-23 1984-04-10 Burroughs Corporation Electrically programmable read-only memory stacked above a semiconductor substrate
EP0073487B1 (en) 1981-08-31 1988-07-20 Kabushiki Kaisha Toshiba Method for manufacturing three-dimensional semiconductor device
JPS5837948A (ja) 1981-08-31 1983-03-05 Toshiba Corp 積層半導体記憶装置
US4489478A (en) 1981-09-29 1984-12-25 Fujitsu Limited Process for producing a three-dimensional semiconductor device
JPS5856456A (ja) * 1981-09-30 1983-04-04 Toshiba Corp 半導体装置の製造方法
US4500905A (en) 1981-09-30 1985-02-19 Tokyo Shibaura Denki Kabushiki Kaisha Stacked semiconductor device with sloping sides
US4507757A (en) 1982-03-23 1985-03-26 Texas Instruments Incorporated Avalanche fuse element in programmable memory
US4535424A (en) 1982-06-03 1985-08-13 Texas Instruments Incorporated Solid state three dimensional semiconductor memory array
US4543594A (en) 1982-09-07 1985-09-24 Intel Corporation Fusible link employing capacitor structure
US4677742A (en) 1983-01-18 1987-07-07 Energy Conversion Devices, Inc. Electronic matrix arrays and method for making the same
US4569121A (en) 1983-03-07 1986-02-11 Signetics Corporation Method of fabricating a programmable read-only memory cell incorporating an antifuse utilizing deposition of amorphous semiconductor layer
JPS60100885A (ja) 1983-11-08 1985-06-04 Toshiba Corp カラ−テレビジヨンカメラの調整装置
US4639893A (en) 1984-05-15 1987-01-27 Wafer Scale Integration, Inc. Self-aligned split gate EPROM
JPS60242676A (ja) * 1984-05-17 1985-12-02 Seiko Epson Corp 不揮発性記憶装置及びその製造方法
US4630096A (en) 1984-05-30 1986-12-16 Motorola, Inc. High density IC module assembly
JPS613450A (ja) 1984-06-18 1986-01-09 Hiroshima Daigaku 三次元光結合共有メモリ集積装置
US4686758A (en) 1984-06-27 1987-08-18 Honeywell Inc. Three-dimensional CMOS using selective epitaxial growth
US4646266A (en) 1984-09-28 1987-02-24 Energy Conversion Devices, Inc. Programmable semiconductor structures and methods for using the same
JPS61222216A (ja) 1985-03-28 1986-10-02 Canon Inc 超格子半導体の作製方法
US4729005A (en) 1985-04-29 1988-03-01 General Electric Company Method and apparatus for improved metal-insulator-semiconductor device operation
US4774556A (en) * 1985-07-25 1988-09-27 Nippondenso Co., Ltd. Non-volatile semiconductor memory device
JPS6258673A (ja) 1985-09-09 1987-03-14 Fujitsu Ltd 半導体記憶装置
CA1226966A (en) 1985-09-10 1987-09-15 Gabriel Marcantonio Integrated circuit chip package
US4728626A (en) * 1985-11-18 1988-03-01 International Business Machines Corporation Method for making planar 3D heterepitaxial semiconductor structures with buried epitaxial silicides
US5840589A (en) * 1985-11-19 1998-11-24 Warner, Jr.; Raymond M. Method for fabricating monolithic and monocrystalline all-semiconductor three-dimensional integrated circuits
US5089862A (en) 1986-05-12 1992-02-18 Warner Jr Raymond M Monocrystalline three-dimensional integrated circuit
JPS6316761A (ja) 1986-02-24 1988-01-23 Konica Corp 画像読取装置
US4692994A (en) 1986-04-29 1987-09-15 Hitachi, Ltd. Process for manufacturing semiconductor devices containing microbridges
US4899205A (en) 1986-05-09 1990-02-06 Actel Corporation Electrically-programmable low-impedance anti-fuse element
US4823181A (en) 1986-05-09 1989-04-18 Actel Corporation Programmable low impedance anti-fuse element
US4943538A (en) 1986-05-09 1990-07-24 Actel Corporation Programmable low impedance anti-fuse element
US4881114A (en) 1986-05-16 1989-11-14 Actel Corporation Selectively formable vertical diode circuit element
US4876220A (en) 1986-05-16 1989-10-24 Actel Corporation Method of making programmable low impedance interconnect diode element
US4697120A (en) 1986-06-26 1987-09-29 Rca Corporation Color display system with electrostatic convergence means
JPS6352463A (ja) 1986-08-22 1988-03-05 Hitachi Ltd 半導体集積回路
US4867247A (en) * 1986-09-12 1989-09-19 Heckendorf David W Bedding plow
JPS6352463U (ja) 1986-09-24 1988-04-08
US4811082A (en) 1986-11-12 1989-03-07 International Business Machines Corporation High performance integrated circuit packaging structure
US4820657A (en) 1987-02-06 1989-04-11 Georgia Tech Research Corporation Method for altering characteristics of junction semiconductor devices
JP2606857B2 (ja) 1987-12-10 1997-05-07 株式会社日立製作所 半導体記憶装置の製造方法
US5354695A (en) * 1992-04-08 1994-10-11 Leedy Glenn J Membrane dielectric isolation IC fabrication
US5283468A (en) 1988-05-30 1994-02-01 Canon Kabushiki Kaisha Electric circuit apparatus
US5268319A (en) * 1988-06-08 1993-12-07 Eliyahou Harari Highly compact EPROM and flash EEPROM devices
JPH07109873B2 (ja) * 1988-07-05 1995-11-22 株式会社東芝 半導体記憶装置
JPH0770623B2 (ja) 1988-07-08 1995-07-31 三菱電機株式会社 スタティックランダムアクセスメモリ装置
JPH0622352Y2 (ja) 1988-07-14 1994-06-15 川澄化学工業株式会社 体液処理回路用部材
JPH0271564A (ja) * 1988-09-06 1990-03-12 Seiko Epson Corp 記憶装置
JPH0622352B2 (ja) 1988-10-12 1994-03-23 勝敏 嶺 ノイズ除去方法
US5306935A (en) * 1988-12-21 1994-04-26 Texas Instruments Incorporated Method of forming a nonvolatile stacked memory
US5191405A (en) 1988-12-23 1993-03-02 Matsushita Electric Industrial Co., Ltd. Three-dimensional stacked lsi
US5070383A (en) 1989-01-10 1991-12-03 Zoran Corporation Programmable memory matrix employing voltage-variable resistors
JP2778977B2 (ja) 1989-03-14 1998-07-23 株式会社東芝 半導体装置及びその製造方法
EP0395886A2 (en) 1989-04-03 1990-11-07 Olympus Optical Co., Ltd. Memory cell and multidimensinal memory device constituted by arranging the memory cells
US5057885A (en) * 1989-07-28 1991-10-15 Casio Computer Co., Ltd. Memory cell system with first and second gates
JP2893594B2 (ja) * 1989-08-29 1999-05-24 カシオ計算機株式会社 半導体メモリ
US5270562A (en) * 1989-09-07 1993-12-14 Sgs-Thomson Microelectronics S.A. Locking device with a never-programmable floating gate cell
JPH03104285A (ja) * 1989-09-19 1991-05-01 Casio Comput Co Ltd 不揮発性半導体メモリ
US5160987A (en) 1989-10-26 1992-11-03 International Business Machines Corporation Three-dimensional semiconductor structures formed from planar layers
US5006909A (en) 1989-10-30 1991-04-09 Motorola, Inc. Dram with a vertical capacitor and transistor
US5008909A (en) 1990-02-07 1991-04-16 The United States Of America As Represented By The Department Of Energy Diffractometer data collecting method and apparatus
US5070384A (en) 1990-04-12 1991-12-03 Actel Corporation Electrically programmable antifuse element incorporating a dielectric and amorphous silicon interlayer
US5311039A (en) 1990-04-24 1994-05-10 Seiko Epson Corporation PROM and ROM memory cells
JP2877462B2 (ja) 1990-07-23 1999-03-31 株式会社東芝 不揮発性半導体記憶装置
JP3060680B2 (ja) 1990-11-30 2000-07-10 日本電気株式会社 不揮発性半導体記憶装置
US5206749A (en) * 1990-12-31 1993-04-27 Kopin Corporation Liquid crystal display having essentially single crystal transistors pixels and driving circuits
US5930608A (en) * 1992-02-21 1999-07-27 Semiconductor Energy Laboratory Co., Ltd. Method of fabricating a thin film transistor in which the channel region of the transistor consists of two portions of differing crystallinity
KR950000103B1 (ko) 1991-04-15 1995-01-09 금성일렉트론 주식회사 반도체 장치 및 그 제조방법
JPH05102430A (ja) 1991-04-23 1993-04-23 Mitsubishi Electric Corp 半導体装置およびその製造方法
US5334880A (en) 1991-04-30 1994-08-02 International Business Machines Corporation Low voltage programmable storage element
EP0516866A1 (en) 1991-05-03 1992-12-09 International Business Machines Corporation Modular multilayer interwiring structure
JP3547146B2 (ja) 1991-06-10 2004-07-28 日本特殊陶業株式会社 集積回路用パッケージ
US5202754A (en) 1991-09-13 1993-04-13 International Business Machines Corporation Three-dimensional multichip packages and methods of fabrication
JPH0582787A (ja) 1991-09-19 1993-04-02 Sony Corp 薄膜トランジスタ型不揮発性半導体メモリ装置
JPH0715969B2 (ja) 1991-09-30 1995-02-22 インターナショナル・ビジネス・マシーンズ・コーポレイション マルチチツプ集積回路パツケージ及びそのシステム
US5321286A (en) 1991-11-26 1994-06-14 Nec Corporation Non-volatile semiconductor memory device having thin film memory transistors stacked over associated selecting transistors
US5313421A (en) * 1992-01-14 1994-05-17 Sundisk Corporation EEPROM with split gate source side injection
US5712180A (en) * 1992-01-14 1998-01-27 Sundisk Corporation EEPROM with split gate source side injection
JP2817500B2 (ja) 1992-02-07 1998-10-30 日本電気株式会社 不揮発性半導体記憶装置
US5398200A (en) 1992-03-02 1995-03-14 Motorola, Inc. Vertically formed semiconductor random access memory device
US5467305A (en) 1992-03-12 1995-11-14 International Business Machines Corporation Three-dimensional direct-write EEPROM arrays and fabrication methods
US5283458A (en) 1992-03-30 1994-02-01 Trw Inc. Temperature stable semiconductor bulk acoustic resonator
US5985693A (en) 1994-09-30 1999-11-16 Elm Technology Corporation High density three-dimensional IC interconnection
JPH0637037Y2 (ja) 1992-04-10 1994-09-28 裕一 大西 折畳み自在小型ボート
US5422435A (en) 1992-05-22 1995-06-06 National Semiconductor Corporation Stacked multi-chip modules and method of manufacturing
JPH0622352A (ja) 1992-06-30 1994-01-28 Fujitsu Ltd 出回線ハント方式及び出回線ハント方法
US5266912A (en) 1992-08-19 1993-11-30 Micron Technology, Inc. Inherently impedance matched multiple integrated circuit module
JPH0677439A (ja) * 1992-08-25 1994-03-18 Toshiba Corp 不揮発性半導体記憶装置
JPH0677500A (ja) * 1992-08-25 1994-03-18 Sony Corp 半導体記憶装置
MX9305603A (es) 1992-09-14 1994-05-31 Pierre Badehi Metodo y aparato para producir dispositivos de circuito integrado.
DE69316134T2 (de) * 1992-09-22 1998-06-18 Nat Semiconductor Corp Verfahren zur Herstellung eines Schottky-Transistors mit retrogradierter n-Wannenkathode
EP0595021A1 (en) * 1992-10-28 1994-05-04 International Business Machines Corporation Improved lead frame package for electronic devices
US5386132A (en) * 1992-11-02 1995-01-31 Wong; Chun C. D. Multimedia storage system with highly compact memory device
JP3267409B2 (ja) 1992-11-24 2002-03-18 株式会社日立製作所 半導体集積回路装置
US5379255A (en) 1992-12-14 1995-01-03 Texas Instruments Incorporated Three dimensional famos memory devices and methods of fabricating
US5536968A (en) 1992-12-18 1996-07-16 At&T Global Information Solutions Company Polysilicon fuse array structure for integrated circuits
EP0606653A1 (en) 1993-01-04 1994-07-20 Texas Instruments Incorporated Field programmable distributed processing memory
US5629863A (en) * 1993-03-02 1997-05-13 372103 Ontario Ltd. Additive blending controller
US5471090A (en) 1993-03-08 1995-11-28 International Business Machines Corporation Electronic structures having a joining geometry providing reduced capacitive loading
JP3535205B2 (ja) * 1993-03-22 2004-06-07 株式会社半導体エネルギー研究所 薄膜トランジスタの作製方法
US5801437A (en) * 1993-03-29 1998-09-01 Staktek Corporation Three-dimensional warp-resistant integrated circuit module method and apparatus
US5455740A (en) 1994-03-07 1995-10-03 Staktek Corporation Bus communication system for stacked high density integrated circuit packages
WO1994026083A1 (en) 1993-04-23 1994-11-10 Irvine Sensors Corporation Electronic module comprising a stack of ic chips
JP3651689B2 (ja) 1993-05-28 2005-05-25 株式会社東芝 Nand型不揮発性半導体記憶装置及びその製造方法
US5292683A (en) * 1993-06-09 1994-03-08 Micron Semiconductor, Inc. Method of isolating semiconductor devices and arrays of memory integrated circuitry
US5334800A (en) * 1993-07-21 1994-08-02 Parlex Corporation Flexible shielded circuit board
DE69432634D1 (de) 1993-08-13 2003-06-12 Irvine Sensors Corp Ic-stapel als ersatz für einzelnen ic
US5561622A (en) 1993-09-13 1996-10-01 International Business Machines Corporation Integrated memory cube structure
US5382540A (en) * 1993-09-20 1995-01-17 Motorola, Inc. Process for forming an electrically programmable read-only memory cell
US5391518A (en) 1993-09-24 1995-02-21 Vlsi Technology, Inc. Method of making a field programmable read only memory (ROM) cell using an amorphous silicon fuse with buried contact polysilicon and metal electrodes
US5793115A (en) 1993-09-30 1998-08-11 Kopin Corporation Three dimensional processor using transferred thin film circuits
US5427979A (en) 1993-10-18 1995-06-27 Vlsi Technology, Inc. Method for making multi-level antifuse structure
JP2956455B2 (ja) * 1993-11-17 1999-10-04 日本電気株式会社 半導体記憶装置の製造方法
KR0141218B1 (ko) * 1993-11-24 1998-07-15 윤종용 고집적 반도체장치의 제조방법
US5455445A (en) 1994-01-21 1995-10-03 Kulite Semiconductor Products, Inc. Multi-level semiconductor structures having environmentally isolated elements
US5380681A (en) 1994-03-21 1995-01-10 United Microelectronics Corporation Three-dimensional multichip package and methods of fabricating
US5489792A (en) 1994-04-07 1996-02-06 Regents Of The University Of California Silicon-on-insulator transistors having improved current characteristics and reduced electrostatic discharge susceptibility
US5535156A (en) 1994-05-05 1996-07-09 California Institute Of Technology Transistorless, multistable current-mode memory cells and memory arrays and methods of reading and writing to the same
US5585675A (en) 1994-05-11 1996-12-17 Harris Corporation Semiconductor die packaging tub having angularly offset pad-to-pad via structure configured to allow three-dimensional stacking and electrical interconnections among multiple identical tubs
US5463244A (en) 1994-05-26 1995-10-31 Symetrix Corporation Antifuse programmable element using ferroelectric material
US5432739A (en) * 1994-06-17 1995-07-11 Philips Electronics North America Corporation Non-volatile sidewall memory cell method of fabricating same
US5441907A (en) 1994-06-27 1995-08-15 Taiwan Semiconductor Manufacturing Company Process for manufacturing a plug-diode mask ROM
US5434745A (en) 1994-07-26 1995-07-18 White Microelectronics Div. Of Bowmar Instrument Corp. Stacked silicon die carrier assembly
US5523628A (en) 1994-08-05 1996-06-04 Hughes Aircraft Company Apparatus and method for protecting metal bumped integrated circuit chips during processing and for providing mechanical support to interconnected chips
JPH0878635A (ja) * 1994-08-31 1996-03-22 Toshiba Corp 半導体記憶装置
JPH08162547A (ja) * 1994-11-30 1996-06-21 Toshiba Corp 半導体記憶装置
US5703747A (en) 1995-02-22 1997-12-30 Voldman; Steven Howard Multichip semiconductor structures with interchip electrostatic discharge protection, and fabrication methods therefore
US5852317A (en) 1995-03-31 1998-12-22 National Semiconductor Corporation Method to reduce gate oxide damage due to non-uniform plasmas in read only memory arrays
US6433382B1 (en) * 1995-04-06 2002-08-13 Motorola, Inc. Split-gate vertically oriented EEPROM device and process
US5612570A (en) * 1995-04-13 1997-03-18 Dense-Pac Microsystems, Inc. Chip stack and method of making same
US5557122A (en) 1995-05-12 1996-09-17 Alliance Semiconductors Corporation Semiconductor electrode having improved grain structure and oxide growth properties
US5675547A (en) 1995-06-01 1997-10-07 Sony Corporation One time programmable read only memory programmed by destruction of insulating layer
US5751012A (en) * 1995-06-07 1998-05-12 Micron Technology, Inc. Polysilicon pillar diode for use in a non-volatile memory cell
JP3424427B2 (ja) * 1995-07-27 2003-07-07 ソニー株式会社 不揮発性半導体メモリ装置
US5781031A (en) * 1995-11-21 1998-07-14 International Business Machines Corporation Programmable logic array
DE19600423C2 (de) * 1996-01-08 2001-07-05 Siemens Ag Elektrisch programmierbare Speicherzellenanordnung und Verfahren zu deren Herstellung
US6043562A (en) * 1996-01-26 2000-03-28 Micron Technology, Inc. Digit line architecture for dynamic memory
KR100190016B1 (ko) * 1996-01-31 1999-06-01 윤종용 불휘발성 메모리 장치 및 그 제조 방법
US5696031A (en) 1996-11-20 1997-12-09 Micron Technology, Inc. Device and method for stacking wire-bonded integrated circuit dice on flip-chip bonded integrated circuit dice
US6653733B1 (en) * 1996-02-23 2003-11-25 Micron Technology, Inc. Conductors in semiconductor devices
US5936883A (en) 1996-03-29 1999-08-10 Sanyo Electric Co., Ltd. Split gate type transistor memory device
JP3081543B2 (ja) 1996-03-29 2000-08-28 三洋電機株式会社 スプリットゲート型トランジスタ、スプリットゲート型トランジスタの製造方法、不揮発性半導体メモリ
US5778422A (en) 1996-04-04 1998-07-07 International Business Machines Corporation Data processing system memory controller that selectively caches data associated with write requests
US5687112A (en) * 1996-04-19 1997-11-11 Energy Conversion Devices, Inc. Multibit single cell memory element having tapered contact
US5693552A (en) 1996-04-29 1997-12-02 United Microelectronics Corporation Method for fabricating read-only memory device with a three-dimensional memory cell structure
US5969380A (en) 1996-06-07 1999-10-19 Micron Technology, Inc. Three dimensional ferroelectric memory
JP3766181B2 (ja) * 1996-06-10 2006-04-12 株式会社東芝 半導体記憶装置とそれを搭載したシステム
KR0174688B1 (ko) * 1996-06-26 1999-04-01 김광호 현상기 토너 공급장치
US5768192A (en) 1996-07-23 1998-06-16 Saifun Semiconductors, Ltd. Non-volatile semiconductor memory cell utilizing asymmetrical charge trapping
KR20000005467A (ko) * 1996-08-01 2000-01-25 칼 하인쯔 호르닝어 저장 셀 장치의 동작 방법
US5981974A (en) * 1996-09-30 1999-11-09 Sharp Kabushiki Kaisha Semiconductor device and method for fabricating the same
US5835396A (en) * 1996-10-17 1998-11-10 Zhang; Guobiao Three-dimensional read-only memory
US5812441A (en) 1996-10-21 1998-09-22 Micron Technology, Inc. MOS diode for use in a non-volatile memory cell
US6087674A (en) * 1996-10-28 2000-07-11 Energy Conversion Devices, Inc. Memory element with memory material comprising phase-change material and dielectric material
US5825046A (en) * 1996-10-28 1998-10-20 Energy Conversion Devices, Inc. Composite memory material comprising a mixture of phase-change memory material and dielectric material
US7052941B2 (en) * 2003-06-24 2006-05-30 Sang-Yun Lee Method for making a three-dimensional integrated circuit structure
TW307048B (en) * 1996-11-22 1997-06-01 United Microelectronics Corp High density read only memory structure and manufacturing method thereof
TW306005B (en) * 1996-11-22 1997-05-21 United Microelectronics Corp Decoding method of diode-type read only memory array
US5953588A (en) * 1996-12-21 1999-09-14 Irvine Sensors Corporation Stackable layers containing encapsulated IC chips
US5929477A (en) * 1997-01-22 1999-07-27 International Business Machines Corporation Self-aligned diffused source vertical transistors with stack capacitors in a 4F-square memory cell array
US6057598A (en) * 1997-01-31 2000-05-02 Vlsi Technology, Inc. Face on face flip chip integration
US5915167A (en) * 1997-04-04 1999-06-22 Elm Technology Corporation Three dimensional structure memory
US6551857B2 (en) 1997-04-04 2003-04-22 Elm Technology Corporation Three dimensional structure integrated circuits
US5889302A (en) * 1997-04-21 1999-03-30 Advanced Micro Devices, Inc. Multilayer floating gate field effect transistor structure for use in integrated circuit devices
US5936280A (en) * 1997-04-21 1999-08-10 Advanced Micro Devices, Inc. Multilayer quadruple gate field effect transistor structure for use in integrated circuit devices
JP3376247B2 (ja) * 1997-05-30 2003-02-10 株式会社半導体エネルギー研究所 薄膜トランジスタ及び薄膜トランジスタを用いた半導体装置
US5966603A (en) 1997-06-11 1999-10-12 Saifun Semiconductors Ltd. NROM fabrication method with a periphery portion
NO972803D0 (no) * 1997-06-17 1997-06-17 Opticom As Elektrisk adresserbar logisk innretning, fremgangsmåte til elektrisk adressering av samme og anvendelse av innretning og fremgangsmåte
US6066547A (en) * 1997-06-20 2000-05-23 Sharp Laboratories Of America, Inc. Thin-film transistor polycrystalline film formation by nickel induced, rapid thermal annealing method
JP4032454B2 (ja) * 1997-06-27 2008-01-16 ソニー株式会社 三次元回路素子の製造方法
JP3070531B2 (ja) 1997-06-27 2000-07-31 日本電気株式会社 不揮発性半導体記憶装置
US6072209A (en) * 1997-07-08 2000-06-06 Micro Technology, Inc. Four F2 folded bit line DRAM cell structure having buried bit and word lines
US5973356A (en) * 1997-07-08 1999-10-26 Micron Technology, Inc. Ultra high density flash memory
JPH1154731A (ja) * 1997-07-31 1999-02-26 Nec Corp 半導体装置
US6768165B1 (en) * 1997-08-01 2004-07-27 Saifun Semiconductors Ltd. Two bit non-volatile electrically erasable and programmable semiconductor memory cell utilizing asymmetrical charge trapping
US5973352A (en) * 1997-08-20 1999-10-26 Micron Technology, Inc. Ultra high density flash memory having vertically stacked devices
JP3980178B2 (ja) 1997-08-29 2007-09-26 株式会社半導体エネルギー研究所 不揮発性メモリおよび半導体装置
JP3425853B2 (ja) * 1997-08-29 2003-07-14 Necエレクトロニクス株式会社 不揮発性半導体記憶装置
JP3943245B2 (ja) 1997-09-20 2007-07-11 株式会社半導体エネルギー研究所 半導体装置
JPH1197705A (ja) 1997-09-23 1999-04-09 Semiconductor Energy Lab Co Ltd 半導体集積回路
US6005270A (en) * 1997-11-10 1999-12-21 Sony Corporation Semiconductor nonvolatile memory device and method of production of same
US6344413B1 (en) * 1997-12-22 2002-02-05 Motorola Inc. Method for forming a semiconductor device
JP4538693B2 (ja) 1998-01-26 2010-09-08 ソニー株式会社 メモリ素子およびその製造方法
JPH11214640A (ja) * 1998-01-28 1999-08-06 Hitachi Ltd 半導体記憶素子、半導体記憶装置とその制御方法
JP4126747B2 (ja) * 1998-02-27 2008-07-30 セイコーエプソン株式会社 3次元デバイスの製造方法
US6124729A (en) * 1998-02-27 2000-09-26 Micron Technology, Inc. Field programmable logic arrays with vertical transistors
TW412861B (en) 1998-02-27 2000-11-21 Sanyo Electric Co Non-volatile semiconductor memory
JP4085459B2 (ja) 1998-03-02 2008-05-14 セイコーエプソン株式会社 3次元デバイスの製造方法
US6153495A (en) * 1998-03-09 2000-11-28 Intersil Corporation Advanced methods for making semiconductor devices by low temperature direct bonding
JP2002507058A (ja) * 1998-03-09 2002-03-05 ハリス コーポレイション 低温直接ボンディングにより形成可能な装置
KR100277438B1 (ko) * 1998-05-28 2001-02-01 윤종용 멀티칩패키지
KR100316060B1 (ko) * 1998-06-16 2002-02-19 박종섭 플래시메모리의레이아웃및그형성방법
US6141241A (en) * 1998-06-23 2000-10-31 Energy Conversion Devices, Inc. Universal memory element with systems employing same and apparatus and method for reading, writing and programming same
US6110278A (en) * 1998-08-10 2000-08-29 Saxena; Arjun N. Methods for and products of growth of single-crystal on arrayed nucleation sites (SCANS) defined in nucleation unfriendly substrates
US6197641B1 (en) * 1998-08-28 2001-03-06 Lucent Technologies Inc. Process for fabricating vertical transistors
US6281042B1 (en) 1998-08-31 2001-08-28 Micron Technology, Inc. Structure and method for a high performance electronic packaging assembly
KR100278661B1 (ko) * 1998-11-13 2001-02-01 윤종용 비휘발성 메모리소자 및 그 제조방법
US6034882A (en) 1998-11-16 2000-03-07 Matrix Semiconductor, Inc. Vertically stacked field programmable nonvolatile memory and method of fabrication
US6122187A (en) 1998-11-23 2000-09-19 Micron Technology, Inc. Stacked integrated circuits
US6299338B1 (en) 1998-11-30 2001-10-09 General Electric Company Decorative lighting apparatus with light source and luminescent material
EP1014448A3 (en) * 1998-12-25 2000-10-11 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device and method of manufacturing the same
US6351028B1 (en) * 1999-02-08 2002-02-26 Micron Technology, Inc. Multiple die stack apparatus employing T-shaped interposer elements
JP3973819B2 (ja) * 1999-03-08 2007-09-12 株式会社東芝 半導体記憶装置およびその製造方法
TW518650B (en) 1999-04-15 2003-01-21 Semiconductor Energy Lab Electro-optical device and electronic equipment
TW497376B (en) 1999-05-14 2002-08-01 Siliconware Precision Industries Co Ltd Dual-die semiconductor package using lead as die pad
US6075719A (en) * 1999-06-22 2000-06-13 Energy Conversion Devices, Inc. Method of programming phase-change memory element
JP3768744B2 (ja) * 1999-09-22 2006-04-19 株式会社ルネサステクノロジ 半導体装置およびその製造方法
US6322903B1 (en) 1999-12-06 2001-11-27 Tru-Si Technologies, Inc. Package of integrated circuits and vertical integration
US6291858B1 (en) 2000-01-03 2001-09-18 International Business Machines Corporation Multistack 3-dimensional high density semiconductor device and method for fabrication
US6525962B1 (en) 2000-04-05 2003-02-25 Cypress Semiconductor Corporation High current and/or high speed electrically erasable memory cell for programmable logic devices
US6577531B2 (en) * 2000-04-27 2003-06-10 Semiconductor Energy Laboratory Co., Ltd. Nonvolatile memory and semiconductor device
US6888750B2 (en) * 2000-04-28 2005-05-03 Matrix Semiconductor, Inc. Nonvolatile memory on SOI and compound semiconductor substrates and method of fabrication
JP3370646B2 (ja) 2000-06-02 2003-01-27 株式会社新川 半導体装置
AU2001286432A1 (en) 2000-08-14 2002-02-25 Matrix Semiconductor, Inc. Dense arrays and charge storage devices, and methods for making same
JP2002076250A (ja) 2000-08-29 2002-03-15 Nec Corp 半導体装置
US6587365B1 (en) * 2000-08-31 2003-07-01 Micron Technology, Inc. Array architecture for depletion mode ferroelectric memory devices
JP3581086B2 (ja) 2000-09-07 2004-10-27 松下電器産業株式会社 半導体装置
US6355501B1 (en) * 2000-09-21 2002-03-12 International Business Machines Corporation Three-dimensional chip stacking assembly
US7125763B1 (en) * 2000-09-29 2006-10-24 Spansion Llc Silicided buried bitline process for a non-volatile memory cell
JP2002134752A (ja) 2000-10-23 2002-05-10 Citizen Watch Co Ltd 半導体装置
JP2002231882A (ja) * 2001-02-06 2002-08-16 Mitsubishi Electric Corp 半導体装置
US6759707B2 (en) * 2001-03-08 2004-07-06 Micron Technology, Inc. 2F2 memory device system
US6897514B2 (en) * 2001-03-28 2005-05-24 Matrix Semiconductor, Inc. Two mask floating gate EEPROM and method of making
US6593624B2 (en) 2001-09-25 2003-07-15 Matrix Semiconductor, Inc. Thin film transistors with vertically offset drain regions
US6841813B2 (en) * 2001-08-13 2005-01-11 Matrix Semiconductor, Inc. TFT mask ROM and method for making same
US6853049B2 (en) 2002-03-13 2005-02-08 Matrix Semiconductor, Inc. Silicide-silicon oxide-semiconductor antifuse device and method of making
US6737675B2 (en) * 2002-06-27 2004-05-18 Matrix Semiconductor, Inc. High density 3D rail stack arrays
US7081377B2 (en) * 2002-06-27 2006-07-25 Sandisk 3D Llc Three-dimensional memory
US6834008B2 (en) * 2002-08-02 2004-12-21 Unity Semiconductor Corporation Cross point memory array using multiple modes of operation
US7071008B2 (en) * 2002-08-02 2006-07-04 Unity Semiconductor Corporation Multi-resistive state material that uses dopants
US8637366B2 (en) * 2002-12-19 2014-01-28 Sandisk 3D Llc Nonvolatile memory cell without a dielectric antifuse having high- and low-impedance states
US20050226067A1 (en) * 2002-12-19 2005-10-13 Matrix Semiconductor, Inc. Nonvolatile memory cell operating by increasing order in polycrystalline semiconductor material
US7800933B2 (en) * 2005-09-28 2010-09-21 Sandisk 3D Llc Method for using a memory cell comprising switchable semiconductor memory element with trimmable resistance
US7176064B2 (en) * 2003-12-03 2007-02-13 Sandisk 3D Llc Memory cell comprising a semiconductor junction diode crystallized adjacent to a silicide
US7800932B2 (en) * 2005-09-28 2010-09-21 Sandisk 3D Llc Memory cell comprising switchable semiconductor memory element with trimmable resistance
US6713810B1 (en) * 2003-02-10 2004-03-30 Micron Technology, Inc. Non-volatile devices, and electronic systems comprising non-volatile devices
US6873543B2 (en) * 2003-05-30 2005-03-29 Hewlett-Packard Development Company, L.P. Memory device
KR100773537B1 (ko) * 2003-06-03 2007-11-07 삼성전자주식회사 한 개의 스위칭 소자와 한 개의 저항체를 포함하는비휘발성 메모리 장치 및 그 제조 방법
US8125003B2 (en) * 2003-07-02 2012-02-28 Micron Technology, Inc. High-performance one-transistor memory cell
US6847544B1 (en) * 2003-10-20 2005-01-25 Hewlett-Packard Development Company, L.P. Magnetic memory which detects changes between first and second resistive states of memory cell
US6999366B2 (en) * 2003-12-03 2006-02-14 Hewlett-Packard Development Company, Lp. Magnetic memory including a sense result category between logic states
US7172840B2 (en) * 2003-12-05 2007-02-06 Sandisk Corporation Photomask features with interior nonprinting window using alternating phase shifting
US7157782B1 (en) * 2004-02-17 2007-01-02 Altera Corporation Electrically-programmable transistor antifuses
US20050221200A1 (en) * 2004-04-01 2005-10-06 Matrix Semiconductor, Inc. Photomask features with chromeless nonprinting phase shifting window
US7307013B2 (en) * 2004-06-30 2007-12-11 Sandisk 3D Llc Nonselective unpatterned etchback to expose buried patterned features
JP2008505476A (ja) 2004-06-30 2008-02-21 コーニンクレッカ フィリップス エレクトロニクス エヌ ヴィ ナノワイヤによってコンタクトがとられる導電性材料の層を有する電子装置を製造する方法
US7518182B2 (en) * 2004-07-20 2009-04-14 Micron Technology, Inc. DRAM layout with vertical FETs and method of formation
US7224013B2 (en) * 2004-09-29 2007-05-29 Sandisk 3D Llc Junction diode comprising varying semiconductor compositions
JP5164053B2 (ja) * 2005-02-03 2013-03-13 財団法人ソウル大学校産学協力財団 複数層のドーピング層を有する電荷トラップメモリセルとこれを利用したメモリアレイ及びその動作方法
US7812404B2 (en) * 2005-05-09 2010-10-12 Sandisk 3D Llc Nonvolatile memory cell comprising a diode and a resistance-switching material
US20060250836A1 (en) * 2005-05-09 2006-11-09 Matrix Semiconductor, Inc. Rewriteable memory cell comprising a diode and a resistance-switching material
US20060273298A1 (en) * 2005-06-02 2006-12-07 Matrix Semiconductor, Inc. Rewriteable memory cell comprising a transistor and resistance-switching material in series
US20070010100A1 (en) * 2005-07-11 2007-01-11 Matrix Semiconductor, Inc. Method of plasma etching transition metals and their compounds
US7834338B2 (en) * 2005-11-23 2010-11-16 Sandisk 3D Llc Memory cell comprising nickel-cobalt oxide switching element
US7575984B2 (en) * 2006-05-31 2009-08-18 Sandisk 3D Llc Conductive hard mask to protect patterned features during trench etch
US7486537B2 (en) * 2006-07-31 2009-02-03 Sandisk 3D Llc Method for using a mixed-use memory array with different data states
US7800161B2 (en) * 2006-12-21 2010-09-21 Sandisk Corporation Flash NAND memory cell array with charge storage elements positioned in trenches
JP5082787B2 (ja) 2007-01-09 2012-11-28 株式会社アドヴィックス 基板収容ケースおよびそれを用いた液圧制御装置
WO2009031052A2 (en) * 2007-03-29 2009-03-12 Innovative Silicon S.A. Zero-capacitor (floating body) random access memory circuits with polycide word lines and manufacturing methods therefor
US20090034355A1 (en) * 2007-07-30 2009-02-05 Qimonda Ag Integrated circuit including memory cells with tunnel fet as selection transistor
US7706177B2 (en) * 2007-12-28 2010-04-27 Sandisk 3D Llc Method of programming cross-point diode memory array
US7764534B2 (en) 2007-12-28 2010-07-27 Sandisk 3D Llc Two terminal nonvolatile memory using gate controlled diode elements
KR101539697B1 (ko) * 2008-06-11 2015-07-27 삼성전자주식회사 수직형 필라를 활성영역으로 사용하는 3차원 메모리 장치,그 제조 방법 및 그 동작 방법
US8223580B2 (en) * 2008-06-17 2012-07-17 Ovonyx, Inc. Method and apparatus for decoding memory

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN114035710A (zh) * 2021-10-22 2022-02-11 上海交通大学 外触发触控传感阵列及其制备方法
CN114035710B (zh) * 2021-10-22 2024-04-12 上海交通大学 外触发触控传感阵列及其制备方法

Also Published As

Publication number Publication date
US6881994B2 (en) 2005-04-19
CN101179079A (zh) 2008-05-14
US20090173985A1 (en) 2009-07-09
KR20070091238A (ko) 2007-09-07
KR100819730B1 (ko) 2008-04-07
US9171857B2 (en) 2015-10-27
US20200251492A1 (en) 2020-08-06
US6992349B2 (en) 2006-01-31
WO2002015277A8 (en) 2002-07-11
US9559110B2 (en) 2017-01-31
US7825455B2 (en) 2010-11-02
EP2323164A2 (en) 2011-05-18
CN1401140A (zh) 2003-03-05
EP2988331B1 (en) 2019-01-09
EP2988331A1 (en) 2016-02-24
US7129538B2 (en) 2006-10-31
US20140225180A1 (en) 2014-08-14
US8981457B2 (en) 2015-03-17
US8823076B2 (en) 2014-09-02
JP2004507091A (ja) 2004-03-04
US20160079258A1 (en) 2016-03-17
US20120223380A1 (en) 2012-09-06
CN101179079B (zh) 2010-11-03
KR20020047228A (ko) 2002-06-21
CN100358147C (zh) 2007-12-26
WO2002015277A9 (en) 2002-09-19
EP2323164A3 (en) 2011-07-13
US20150044833A1 (en) 2015-02-12
EP2323164B1 (en) 2015-11-25
KR100821456B1 (ko) 2008-04-11
US20040206996A1 (en) 2004-10-21
US10644021B2 (en) 2020-05-05
US20040214379A1 (en) 2004-10-28
EP1312120A1 (en) 2003-05-21
US20140217491A1 (en) 2014-08-07
US20110156044A1 (en) 2011-06-30
WO2002015277A2 (en) 2002-02-21
US10008511B2 (en) 2018-06-26
MY129228A (en) 2007-03-30
US20070029607A1 (en) 2007-02-08
US8853765B2 (en) 2014-10-07
US20180254286A1 (en) 2018-09-06
AU2001286432A1 (en) 2002-02-25
US20020028541A1 (en) 2002-03-07
US20170084627A1 (en) 2017-03-23

Similar Documents

Publication Publication Date Title
JP5792918B2 (ja) 高集積メモリデバイス
US6888750B2 (en) Nonvolatile memory on SOI and compound semiconductor substrates and method of fabrication
US9324723B2 (en) Semiconductor integrated circuit device and a method of manufacturing the same
US7250646B2 (en) TFT mask ROM and method for making same
KR20220049571A (ko) 집적 조립체 및 집적 조립체 형성 방법
JP2002368141A (ja) 不揮発性半導体メモリ装置
TW540086B (en) Dense arrays and charge storage devices, and methods for making same

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20020501

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080806

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20080806

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20080806

A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A712

Effective date: 20090528

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20090528

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120110

RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7422

Effective date: 20120404

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120409

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20120409

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20120404

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120731

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20121025

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20121101

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20121108

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130305

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20130605

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20130612

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130704

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20140218

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20140520

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20140520

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20140610

A912 Re-examination (zenchi) completed and case transferred to appeal board

Free format text: JAPANESE INTERMEDIATE CODE: A912

Effective date: 20140815

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20150408

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20150611

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20150807

R150 Certificate of patent or registration of utility model

Ref document number: 5792918

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: R3D02

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

S533 Written request for registration of change of name

Free format text: JAPANESE INTERMEDIATE CODE: R313533

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term