JP2004507091A5 - - Google Patents
Download PDFInfo
- Publication number
- JP2004507091A5 JP2004507091A5 JP2002520307A JP2002520307A JP2004507091A5 JP 2004507091 A5 JP2004507091 A5 JP 2004507091A5 JP 2002520307 A JP2002520307 A JP 2002520307A JP 2002520307 A JP2002520307 A JP 2002520307A JP 2004507091 A5 JP2004507091 A5 JP 2004507091A5
- Authority
- JP
- Japan
- Prior art keywords
- region
- array
- charge storage
- memory
- layer
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Granted
Links
- 239000004065 semiconductor Substances 0.000 description 72
- 239000000758 substrate Substances 0.000 description 29
- 238000004519 manufacturing process Methods 0.000 description 10
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 10
- 229910052710 silicon Inorganic materials 0.000 description 10
- 239000010703 silicon Substances 0.000 description 10
- 238000005498 polishing Methods 0.000 description 9
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 9
- 229920005591 polysilicon Polymers 0.000 description 9
- 239000000126 substance Substances 0.000 description 9
- 229910052751 metal Inorganic materials 0.000 description 6
- 239000002184 metal Substances 0.000 description 6
- 150000001875 compounds Chemical class 0.000 description 5
- 239000004020 conductor Substances 0.000 description 5
- 229910021417 amorphous silicon Inorganic materials 0.000 description 4
- 239000000463 material Substances 0.000 description 4
- IJGRMHOSHXDMSA-UHFFFAOYSA-N nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 4
- 238000000059 patterning Methods 0.000 description 4
- PNEYBMLMFCGWSK-UHFFFAOYSA-N AI2O3 Inorganic materials [O-2].[O-2].[O-2].[Al+3].[Al+3] PNEYBMLMFCGWSK-UHFFFAOYSA-N 0.000 description 3
- 150000002830 nitrogen compounds Chemical class 0.000 description 3
- 230000003647 oxidation Effects 0.000 description 3
- 238000007254 oxidation reaction Methods 0.000 description 3
- 229910021332 silicide Inorganic materials 0.000 description 3
- FVBUAEGBCNSCDD-UHFFFAOYSA-N silicide(4-) Chemical compound [Si-4] FVBUAEGBCNSCDD-UHFFFAOYSA-N 0.000 description 3
- 229910052723 transition metal Inorganic materials 0.000 description 3
- 150000003624 transition metals Chemical class 0.000 description 3
- 239000003054 catalyst Substances 0.000 description 2
- 238000005530 etching Methods 0.000 description 2
- 230000005669 field effect Effects 0.000 description 2
- 238000010438 heat treatment Methods 0.000 description 2
- 239000012535 impurity Substances 0.000 description 2
- 239000002159 nanocrystal Substances 0.000 description 2
- -1 nitride compound Chemical class 0.000 description 2
- 229910052757 nitrogen Inorganic materials 0.000 description 2
- MYMOFIZGZYHOMD-UHFFFAOYSA-N oxygen Chemical compound O=O MYMOFIZGZYHOMD-UHFFFAOYSA-N 0.000 description 2
- 239000001301 oxygen Substances 0.000 description 2
- 229910052760 oxygen Inorganic materials 0.000 description 2
- 238000002425 crystallisation Methods 0.000 description 1
- 230000005712 crystallization Effects 0.000 description 1
- 230000001747 exhibiting Effects 0.000 description 1
- 230000000873 masking Effects 0.000 description 1
- 230000002093 peripheral Effects 0.000 description 1
- 238000000206 photolithography Methods 0.000 description 1
Description
【特許請求の範囲】
【請求項1】
メモリデバイスであって、
基板の第1平面の上またはその上方に形成された第1の入力/出力導電層と、
第2の入力/出力導電層と、
第1および第2の入力/出力導電層の間で、これらの投影上の交差点に形成された半導体領域と、
電荷記憶媒体とを有し、
電荷記憶媒体に蓄積される電荷が、第1および第2の入力/出力導電層の間に流れる電流量に影響を与えることを特徴とするメモリデバイス。
【請求項2】
請求項1に記載のメモリデバイスであって、
電荷記憶媒体は、第1および第2の入力/出力導電層の間で、これらの投影上の交差点に形成されることを特徴とするメモリデバイス。
【請求項3】
請求項2に記載のメモリデバイスであって、
電荷記憶媒体は、半導体領域上に直接形成されることを特徴とするメモリデバイス。
【請求項4】
請求項1に記載のメモリデバイスであって、
電荷記憶媒体は、半導体領域に隣接して形成されることを特徴とするメモリデバイス。
【請求項5】
請求項4に記載のメモリデバイスであって、
電荷記憶媒体に隣接して形成される制御ゲートをさらに有することを特徴とするメモリデバイス。
【請求項6】
請求項1に記載のメモリデバイスであって、
電流は、半導体領域を基板の平面に対して垂直な方向に流れることを特徴とするメモリデバイス。
【請求項7】
請求項1に記載のメモリデバイスであって、
半導体領域は、ドープされたシリコンであることを特徴とするメモリデバイス。
【請求項8】
メモリデバイスであって、
基板の第1平面の上またはその上方に形成された第1の入力/出力導電層と、
第1の入力/出力導電層の上方に形成され、第1の入力/出力導電層との間で投影された交差点を有する第2の入力/出力導電層と、
第1および第2の入力/出力導電層の間に形成され、第1および第2の入力/出力導電層の交差点に対して直接的に位置合わせされたシリコン本体部と、
電荷記憶媒体とを有し
読み出し電流は、第1および第2の入力/出力導電層の間に形成されたシリコン本体部を、基板の表面に対して垂直な方向に流れ、
電荷記憶媒体に蓄積される電荷は、第1および第2の入力/出力導電層の間に印加された所与の電圧に対する、第1および第2の入力/出力導電層の間に流れる読み出し電流の量に影響を与えることを特徴とするメモリデバイス。
【請求項9】
請求項8に記載のメモリデバイスであって、
電荷記憶媒体は、シリコン本体部の上に、第1および第2の入力/出力導電層の交差点に対して直接的に位置合わせされて形成されることを特徴とするメモリデバイス。
【請求項10】
請求項8に記載のメモリデバイスであって、
電荷記憶媒体は、シリコン本体部に隣接して形成されることを特徴とするメモリデバイス。
【請求項11】
請求項8に記載のメモリデバイスであって、
電荷記憶媒体に隣接して形成される制御ゲートをさらに有することを特徴とするメモリデバイス。
【請求項12】
メモリデバイスであって、
基板の第1平面の上またはその上方に形成された第1の入力/出力導電層と、
第1の入力/出力導電層の上方に形成された第2の入力/出力導電層と、
第2の入力/出力導電層の上方に形成された第3の入力/出力導電層と、
第1および第2の入力/出力導電層の間で、これらの投影上の交差点に形成された第1の半導体領域と、
第2および第3の入力/出力導電層の間で、これらの投影上の交差点に形成された第2の半導体領域と、
第1および第2の入力/出力導電層の間に流れる電流の量に影響を与える第1の電荷記憶媒体とを有することを特徴とするメモリデバイス。
【請求項13】
メモリセルであって、
第1領域および第2領域を含むダイオードと、
電荷記憶領域と、
電荷記憶領域に隣接して配置された絶縁領域と、
第1領域に対する第1のコンタクトと、
第2のコンタクトとを備え、
第1および第2のコンタクトの間に印加された所定の電圧により、ダイオード、絶縁領域、および電荷記憶領域に流れることを特徴とするメモリセル。
【請求項14】
請求項13に記載のメモリセルであって、
第2のコンタクト、および第1の絶縁層に対向する電荷記憶領域に隣接して配置された第2の絶縁層をさらに有することを特徴とするメモリセル。
【請求項15】
請求項13に記載のメモリセルであって、
絶縁領域は、酸化領域であることを特徴とするメモリセル。
【請求項16】
請求項13に記載のメモリセルであって、
電荷記憶領域は、窒化化合物であることを特徴とするメモリセル。
【請求項17】
請求項16に記載のメモリセルであって、
化合物は、酸素を含むことを特徴とするメモリセル。
【請求項18】
請求項16に記載のメモリセルであって、
化合物は、シリコンを含むことを特徴とするメモリセル。
【請求項19】
請求項16に記載のメモリセルであって、
電荷記憶領域は、アルミナを含むことを特徴とするメモリセル。
【請求項20】
請求項13に記載のメモリセルであって、
ダイオードは、ドープされた基板領域を有することを特徴とするメモリセル。
【請求項21】
請求項14または15に記載のメモリセルであって、
ダイオードは、基板の上方に配置された層を有することを特徴とするメモリセル。
【請求項22】
請求項15に記載のメモリセルであって、
酸化領域は、約1ないし5nmの膜厚を有することを特徴とするメモリセル。
【請求項23】
請求項22に記載のメモリセルであって、
酸化領域は、約2ないし3nmの膜厚を有することを特徴とするメモリセル。
【請求項24】
不揮発性の読み出し・書き込みメモリセルであって、
nドープ領域と、
pドープ領域と、
nドープ領域とpドープ領域の間に配置された記憶要素と、
nドープ領域、pドープ領域、および記憶要素に電流を流すための導電部とを有することを特徴とするメモリセル。
【請求項25】
請求項24に記載のメモリセルであって、
記憶要素は、第1の酸化領域を有することを特徴とするメモリセル。
【請求項26】
請求項25に記載のメモリセルであって、
記憶要素は、第2の酸化領域を有することを特徴とするメモリセル。
【請求項27】
請求項25に記載のメモリセルであって、
記憶要素は、窒素を含む領域を有することを特徴とするメモリセル。
【請求項28】
請求項27に記載のメモリセルであって、
記憶要素は、第2の酸化領域を有することを特徴とするメモリセル。
【請求項29】
請求項24に記載のメモリセルであって、
記憶要素は、少なくとも一方のドープ領域と電気的に直接接触していることを特徴とするメモリセル。
【請求項30】
請求項24に記載のメモリセルであって、
記憶要素は、シリコンを含むことを特徴とするメモリセル。
【請求項31】
請求項25に記載のメモリセルであって、
記憶要素は、アルミナを含むことを特徴とするメモリセル。
【請求項32】
請求項24に記載のメモリセルであって、
少なくとも一方のドープ領域は、基板内に配置されることを特徴とするメモリセル。
【請求項33】
請求項24に記載のメモリセルであって、
少なくとも一方のドープ領域は、基板の上方に配置されることを特徴とするメモリセル。
【請求項34】
メモリセルを動作させる方法であって、
セルをプログラムするために領域内に電荷を捕獲するステップと、
データをセルから読み出す際、電流を領域に流すステップとを有することを特徴とする方法。
【請求項35】
請求項34に記載の方法であって、
電流を流すステップは、セルをプログラムし、読み出すために、電流を第1の方向に流すステップを含むことを特徴とする方法。
【請求項36】
請求項34に記載の方法であって、
電流を流すステップは、セルを消去するために、電流を第2の方向に流すステップをさらに含むことを特徴とする方法。
【請求項37】
請求項34に記載の方法であって、
捕獲するステップは、電流を記憶要素に第1の方向で流すステップを含むことを特徴とする方法。
【請求項38】
請求項34に記載の方法であって、
消去するステップは、電流を記憶要素に第2の方向で流すステップを含むことを特徴とする方法。
【請求項39】
ダイオードおよび酸化領域を有し、ダイオードに順電圧を印加するとき負性抵抗特性を呈する構造体において、
電荷を捕獲するための記憶領域が、酸化領域に隣接して配置されることにより、ダイオードおよび酸化領域を流れる電流が、記憶領域を流れることを特徴とする改善された構造体。
【請求項40】
請求項39に記載の構造体であって、
記憶領域は、窒素を含む化合物を有することを特徴とする構造体。
【請求項41】
請求項40に記載の構造体であって、
化合物は、酸素を含むことを特徴とする構造体。
【請求項42】
請求項41に記載の構造体であって、
化合物は、シリコンを含むことを特徴とする構造体。
【請求項43】
請求項39に記載の構造体であって、
記憶領域は、アルミナを含むことを特徴とする構造体。
【請求項44】
メモリセルアレイであって、
少なくとも1つの半導体領域と、電荷を捕獲するための記憶領域とを有する複数のセルと、
半導体領域と記憶領域に流れる電流を制御するための制御手段とを有することを特徴とするメモリセルアレイ。
【請求項45】
請求項44に記載のアレイであって、
制御手段は、セル内に配置されることを特徴とするアレイ。
【請求項46】
請求項44に記載のアレイであって、
少なくとも1つの半導体領域は、n型であることを特徴とするアレイ。
【請求項47】
請求項46に記載のアレイであって、
制御手段は、p型半導体領域を有し、n型半導体領域に隣接して配置されることを特徴とするアレイ。
【請求項48】
請求項47に記載のアレイであって、
記憶手段は、酸化領域を有することを特徴とするアレイ。
【請求項49】
請求項48に記載のアレイであって、
記憶手段は、窒素化合物を含むことを特徴とするアレイ。
【請求項50】
請求項44に記載のアレイであって、
制御手段は、セルの外部に配置されることを特徴とするアレイ。
【請求項51】
請求項44に記載のアレイであって、
セルの半導体領域は、基板内に配置されることを特徴とするアレイ。
【請求項52】
請求項44に記載のアレイであって、
セルの半導体領域は、ポリシリコンから形成されることを特徴とするアレイ。
【請求項53】
請求項47に記載のアレイであって、
制御手段は、n型領域を含むnチャンネル電界効果型トランジスタを有することを特徴とするアレイ。
【請求項54】
基板の上方に形成され、2以上のNに対してN階層を有するメモリアレイであって、
各階層は、
基板に平行な第1平面内にある第1の離間した導電層と、
第1平面の上方にあって基板に平行な第2平面内にある第2の離間した導電層と、第1および第2の導電層のそれぞれの間に配置された複数のセルとを有し、
各セルは、
一方向において、電流をより容易に導通させる支配因子と、
第1および第2酸化領域と、その間にある記憶領域とを含む記憶積層物とを有し、
第1の導電層からの電流が、第2の導電層に達するまで、支配因子、第1酸化領域、記憶領域、および第2酸化領域を流れるように、支配因子と記憶積層物が第1および第2の導電層の間に配置されることを特徴とするメモリアレイ。
【請求項55】
請求項54に記載のメモリアレイであって、
(N−1)階層にある第2の導電層の上方および下方にあるセルが、この第2の導電層を共有することを特徴とするメモリアレイ。
【請求項56】
請求項54に記載のメモリアレイであって、
支配因子は、p型領域とn型領域を有し、
記憶積層物は、少なくともn型ドープ領域と接触していることを特徴とするメモリアレイ。
【請求項57】
基板の上方に配置された、複数階層を有する3次元メモリアレイにおいて、各階層は非線型部品を有し、
電荷を捕獲するための酸化領域の間に配置された記憶領域を含む、各非線型部品に関連する記憶積層物を備え、
非線型部品に流れる電流が、酸化領域、記憶領域、および他方の酸化領域に流れることを特徴とする改善メモリアレイ。
【請求項58】
請求項57に記載の改善メモリアレイであって、
第1の方向に第1の所定強度の電流を流すことにより、電荷を記憶領域内に捕獲することを特徴とする改善メモリアレイ。
【請求項59】
請求項58に記載の改善メモリアレイであって、
記憶領域に捕獲された電荷は、第2の所定強度の電流より小さい電流を第1の方向に流すことにより検出され、
第2の所定強度は、第1の所定強度より小さいことを特徴とする改善メモリアレイ。
【請求項60】
請求項59に記載の改善メモリアレイであって、
捕獲された電荷は、第1の方向とは反対の方向の電流を記憶領域に流すことにより中和させることを特徴とする改善メモリアレイ。
【請求項61】
請求項59に記載の改善メモリアレイであって、
電流が第1の方向に流れるとき、ダイオードは順方向バイアスが印加されることを特徴とする改善メモリアレイ。
【請求項62】
請求項59に記載の改善メモリアレイであって、
記憶領域は、窒素化合物を含むことを特徴とする改善メモリアレイ。
【請求項63】
請求項59に記載の改善メモリアレイであって、
ダイオードは、一方の酸化領域に隣接したn型半導体領域を有することを特徴とする改善メモリアレイ。
【請求項64】
複数のデバイス階層を有する3次元半導体デバイスであって、各階層は、
半導体活性領域と、
電荷記憶領域と、
第1の電極と、
第2の電極とを有し、
半導体活性領域の第1の側面は、第1および第2の電極の一方が有する第1の側面に対して位置合わせされることを特徴とする半導体デバイス。
【請求項65】
請求項64に記載の半導体デバイスであって、
半導体活性領域の第2の側面は、第1および第2の電極の他方が有する第2の側面に対して位置合わせされることを特徴とする半導体デバイス。
【請求項66】
複数のデバイス階層を有する3次元半導体デバイスの製造方法であって、各デバイス階層の製造方法は、
半導体活性領域を形成するステップと、
電荷記憶領域を形成するステップと、
第1の電極を形成するステップと、
第2の電極を形成するステップと、
半導体活性領域および第1の電極の少なくとも2つの側面を、同じフォトリソグラフィステップでパターン形成するステップとを有することを特徴とする製造方法。
【請求項67】
電界効果型トランジスタであって、
ソースと、
ドレインと、
チャンネルと、
ゲートと、
ゲートおよびチャンネルの間にある少なくとも1層の絶縁層と、
ソース−ドレイン−チャンネルの方向に対して実質的に平行に延び、ゲートと接触し、ゲートに対して自己整合されたゲートラインとを備えたことを特徴とするトランジスタ。
【請求項68】
請求項67に記載のトランジスタであって、
ソース、ドレイン、およびチャンネルは、中間絶縁層の上方にポリシリコン活性層として形成されたことを特徴とするトランジスタ。
【請求項69】
請求項68に記載のトランジスタであって、
トランジスタは、EEPROMを有し、
ゲートは、制御ゲートを有し、
少なくとも1層の絶縁層は、制御ゲートおよびチャンネルの間の電荷記憶領域に配置されたことを特徴とするトランジスタ。
【請求項70】
請求項69に記載のトランジスタであって、
電荷記憶領域は、ONO誘電膜、または導電性ナノ結晶を含む絶縁層を有することを特徴とするトランジスタ。
【請求項71】
請求項69に記載のトランジスタであって、
電荷記憶領域は、
チャンネルの上方にあるトンネル誘電層と、
トンネル誘電層の上方にあるフローティングゲートと、
フローティングゲートの上方にある制御ゲートとを有することを特徴とするトランジスタ。
【請求項72】
請求項69に記載のトランジスタであって、
ゲート側壁に隣接して配置され、ゲートとほぼ同じ高さを有する側壁スペーサと、
側壁スペーサに隣接し、ソース領域およびドレイン領域の上方に配置され、側壁スペーサとほぼ同じ高さを有する中間絶縁層とをさらに有することを特徴とするトランジスタ。
【請求項73】
請求項72に記載のトランジスタであって、
ゲートラインは、側壁スペーサと中間絶縁層の上方に配置され、
ゲートラインは、側壁スペーサ間の開口部を介してゲートと接触することを特徴とするトランジスタ。
【請求項74】
請求項73に記載のトランジスタであって、
ソース領域と接触する第1のビットラインと、
ドレイン領域と接触する第2のビットラインとを有し、
第1および第2のビットラインは、中間絶縁層の下方に配置され、ソース−ドレイン−チャンネルの方向に対して実質的に垂直な方向に延びることを特徴とするトランジスタ。
【請求項75】
請求項70に記載のトランジスタであって、
ゲートは、
電荷記憶領域と接触する第1部分と、
第1部分の上方にある第2部分と、
第1部分と第2部分は、個別に配置された層からなることを特徴とするトランジスタ。
【請求項76】
請求項72に記載のトランジスタであって、
ゲートラインは、2つのポリシリコン層の間にシリサイド層を含むワードラインを有し、
ゲートラインは、中間絶縁層および側壁スペーサの上側部分の上に直接配置されることを特徴とするトランジスタ。
【請求項77】
請求項69に記載のトランジスタであって、
ゲートラインは、チャンネル領域および電荷記憶領域に対して自己整合されたワードラインを有することを特徴とするトランジスタ。
【請求項78】
3次元不揮発性デバイスアレイであって、
垂直方向に分離された複数のデバイス階層であって、各デバイス階層は、チャンネル領域、ソース領域、およびドレイン領域をそれぞれ含むTFT EEPROMのアレイと、チャンネル領域に隣接した電荷記憶領域とを有するデバイス階層と、
各デバイス階層において、TFT EEPROMのソース領域またはドレイン領域と接触する複数のビットライン列と、
各デバイス階層における、複数のワードライン行と、
デバイス階層間に配置された少なくとも1層の中間絶縁層とを備えることを特徴とするアレイ。
【請求項79】
請求項78に記載のアレイであって、
電荷記憶領域と接触する第1部分と、
第1部分の上方にある第2部分と、
第1部分と第2部分が個別に配置された層を有することを特徴とするアレイ。
【請求項80】
請求項78に記載のアレイであって、
アレイの第1のデバイス階層の下方にある半導体基板内に配置された周辺回路に、ワードラインおよびビットラインを接続するワードラインコンタクトおよびビットラインコンタクトをさらに有することを特徴とするアレイ。
【請求項81】
請求項80に記載のアレイであって、
ワードラインコンタクトおよびビットラインコンタクトは、複数のデバイス階層に跨って延びることを特徴とするアレイ。
【請求項82】
請求項78に記載のアレイであって、
各メモリセルは、TFT EEPROMを有し、
1ビット当たりの各メモリセルサイズは、Fを最小フィーチャサイズ、Nを1より大きい3次元のデバイス階層数としたとき、約(2F2)/Nであることを特徴とするアレイ。
【請求項83】
不揮発性メモリセルアレイであって、
各メモリセルは、半導体デバイスを有し、
各メモリセルの1ビット当たりのセルサイズは、Fが最小フィーチャサイズで、Nが1以上の第3の方向におけるデバイス階層数であるとき、約2F2/Nであることを特徴とするアレイ。
【請求項84】
請求項83に記載のアレイであって、
アレイは、Nが1より大きく、垂直方向に分離された複数のデバイス階層からなるモノリシックな3次元メモリアレイを有し、
半導体デバイスは、チャンネル領域、ソース領域、ドレイン領域、および電荷記憶領域を有するTFT EEPROMから構成されることを特徴とするアレイ。
【請求項85】
EEPROMの製造方法であって、
半導体活性領域を形成するステップと、
活性領域の上方に電荷記憶領域を形成するステップと、
電荷記憶領域の上方に導電性ゲート層を形成するステップと、
電荷記憶領域に重なる制御ゲートを形成するために、ゲート層をパターン形成するステップと、
活性領域にソース領域およびドレインを形成するために、制御ゲートをマスクとして用いて、活性領域にドーピングするステップと、
制御ゲートの上方で、これに隣接する第1の絶縁層を形成するステップと、
フォトリソグラフィマスクを用いることなく、制御ゲートの上部部分を露出させるステップと、
ワードラインが制御ゲートに対して自己整合されるように、制御ゲートの露出した上部部分と接触するワードラインを形成するステップとを有することを特徴とする製造方法。
【請求項86】
請求項85に記載の製造方法であって、さらに、
ゲート層の上方に障壁層を形成するステップと、
ゲート層をパターン形成するステップの間に、障壁層をパターン形成するステップと、
制御ゲートおよび障壁層の側壁に隣接して、側壁スペーサを形成するステップとを有することを特徴とする製造方法。
【請求項87】
請求項86に記載の製造方法であって、
障壁層は、側壁スペーサおよび制御ゲートを構成する材料とは異なる材料で構成され、
制御ゲートの上部部分を露出させるステップは、障壁層を露出させるために、第1の絶縁層を平坦化するステップと、ゲートコンタクトビアを形成するために、側壁スペーサの間の障壁層を選択的に除去するステップとを有し、
ワードラインを形成するステップは、ゲートコンタクトビア内のワードラインの一部が制御ゲートの上部部分を形成するように、第1の絶縁層の上方にワードラインを配置するステップを含むことを特徴とする製造方法。
【請求項88】
請求項85に記載の製造方法であって、
ワードラインが実質的に平坦な上部表面を有するように、ワードラインは、第1の絶縁層の上に形成されることを特徴とする製造方法。
【請求項89】
請求項85に記載の製造方法であって、
制御ゲートの上部部分を露出させるステップは、制御ゲートを露出させるために、第1の絶縁層を平坦化するステップを含み、
ワードラインを形成するステップは、ワードラインが露出した制御ゲートと接触するように、第1の絶縁層の上方にワードラインを配置するステップを含むことを特徴とする製造方法。
【請求項90】
請求項85に記載の製造方法であって、
半導体活性領域を形成するステップは、中間絶縁層の上方にポリシリコンの活性層を形成するステップを含み、
電荷記憶領域を形成するステップは、ONO誘電膜または導電性ナノ結晶を含む絶縁層を形成するステップを含み、
ワードラインを形成するステップは、第1の絶縁層と露出した制御ゲートの上方に少なくとも1層の導電層を配置するステップと、ワードラインを形成するために少なくとも1層の導電層をエッチングするステップとを含むことを特徴とする製造方法。
【請求項91】
請求項90に記載の製造方法であって、さらに、
ワードラインがEEPROMのチャンネル領域および電荷記憶領域に対して自己整合されるように、ワードラインをマスクとして用いて、活性領域および電荷記憶領域をエッチングするステップを有することを特徴とする製造方法。
【請求項92】
請求項91に記載の製造方法であって、さらに、
制御ゲート側壁に隣接して、側壁スペーサを形成するステップと、
制御ゲート、側壁スペーサ、およびドープされたソース領域およびドレイン領域の上方に金属層を形成するステップと、
ソース領域およびドレイン領域の上方に金属シリサイド領域を形成するために、金属層を加熱するステップと、
側壁スペーサから金属層を選択的に除去するステップとを有することを特徴とする製造方法。
【請求項93】
請求項92に記載の製造方法であって、
ドープされたソース領域およびドレイン領域と、金属シリサイド領域は、ソース−チャンネル−ドレイン方向と実質的に垂直な方向に延びるビットラインを構成し、
ワードラインは、ソース−チャンネル−ドレイン方向と実質的に平行な方向に延びることを特徴とする製造方法。
【請求項94】
請求項93に記載の製造方法であって、
ポリシリコンの活性層を形成するステップは、
アモルファスシリコン層またはポリシリコン層を形成するステップと、
金属層を触媒として用いて、アモルファスシリコン層またはポリシリコン層を再結晶化させるために、EEPROMを加熱するステップとを有することを特徴とする製造方法。
【請求項95】
請求項85に記載の製造方法であって、
EEPROMは、2つのフォトリソグラフィのマスキングステップを用いて形成されることを特徴とする製造方法。
【請求項96】
基板の上方に配置された半導体デバイスアレイであって、このアレイは、
第1の方向で、基板の上方の第1の高さに配置された、第1の離間した複数の導電層と、
第1の方向とは異なる第2の方向で、第2の高さに配置された、第2の離間した複数のレール積層物とを備え、
各レール積層物は、
第1の離間した複数の導電層と接触する第1の表面を有する半導体膜と、
導電膜と、
半導体膜および導電膜の第2の表面の間に配置された局在的電荷記憶膜とを有することを特徴とするアレイ。
【請求項97】
請求項96に記載のアレイであって、
第2の離間した複数のレール積層物は、第1の離間した複数の導電層の上方に配置されることを特徴とするアレイ。
【請求項98】
請求項96に記載のアレイであって、
離間した導電層の間の空間が、平坦化された積層酸化物材料を有することを特徴とするアレイ。
【請求項99】
基板の上方に配置されたメモリアレイであって、
このアレイは、第1の方向で、基板の上方の第1の高さに配置された、第1の離間した複数のレール積層物を備え、
各レール積層物は、
導電膜と、
導電膜の上方に配置された局在的電荷記憶膜と、
局在的電荷記憶膜の上方に配置された半導体膜を有し、
このアレイは、第1の方向とは異なる第2の方向で、第1の高さの上方の第2の高さに配置された、第1の離間した複数の導電層を備え、
離間した導電層は、不純物を有する半導体膜の領域と重なり、
この領域は、離間した導電層および局在的電荷記憶膜の間を電気的に接続することを特徴とするメモリアレイ。
【請求項100】
請求項99に記載のメモリアレイであって、
このメモリアレイは、第1の方向で、第2の高さの上方の第3の高さに配置された、第3の離間した複数のレール積層物をさらに備え、
各レール積層物は、
導電膜と、
導電膜の上方に配置された局在的電荷記憶膜と、
局在的電荷記憶膜の上方に配置された半導体膜を有し、
このメモリアレイは、第2の方向で、第3の高さの上方の第4の高さに配置された、第4の離間した複数のレール積層物をさらに備え、
離間した導電層は、不純物を有する第2の半導体膜の領域と重なり、
この領域は、離間した導電層および局在的電荷記憶膜の間を電気的に接続することを特徴とするメモリアレイ。
【請求項101】
請求項99に記載のメモリアレイであって、
離間した導電層の間の空間が、平坦化された酸化材料を含むことを特徴とするメモリアレイ。
【請求項102】
基板の上方に配置されたメモリアレイであって、
このアレイは、第1の方向で、基板の上方の第1の高さに配置された、第1の離間した複数のレール積層物を備え、
各レール積層物は、導電膜と、導電膜の上方に配置された局在的電荷記憶膜とを有し、
このアレイは、第1の方向とは異なる第2の方向で、第1の高さの上方の第2の高さに配置された、第1の離間した複数の導電体をさらに備え、
離間した導電体は、離間した導電体が接触交差点において局在的電荷記憶膜と直接接触するように、レール積層物とともに接触交差点を形成し、
このアレイは、離間した導電体の間で、接触交差点の周囲の領域において、局在的電荷記憶膜の上方に積層された半導体膜をさらに有することを特徴とするメモリアレイ。
【請求項103】
請求項102に記載のメモリアレイであって、
離間した導電体の空間が、平坦化された酸化材料を有することを特徴とするメモリアレイ。
【請求項104】
回路であって、
複数の電荷記憶デバイスと、複数のアンチヒューズデバイスとを有することを特徴とする回路。
【請求項105】
半導体デバイスであって、
半導体活性領域と、
半導体活性領域に隣接した電荷記憶領域と、
第1の電極と、
第2の電極とを備え、
第1および第2の電極の間に第1のプログラム電圧が印加されたとき、電荷が電荷記憶領域に蓄積され、
第1および第2の電極の間に第1のプログラム電圧より高い第2のプログラム電圧が印加されたとき、第1および第2の電極の間に導電性経路を形成するために、導電性リンクが電荷記憶領域を貫通して形成されることを特徴とするデバイス。
【請求項106】
請求項105に記載のデバイスであって、
第1のプログラム電圧は、デバイスの閾値電圧を上げることにより、デバイスを切断することを特徴とするデバイス。
【請求項107】
請求項105に記載のデバイスであって、
このデバイスは、電荷記憶領域を含む半導体ダイオードを有することを特徴とするデバイス。
【請求項108】
請求項107に記載のデバイスであって、
ダイオードは、中間絶縁層により分離された少なくとも3つのデバイス階層を含む3次元モノリシックアレイとして構成されたポリシリコンまたはアモルファスシリコンダイオードを有し、アレイは基板の上方に配置され、
電荷記憶領域は、ダイオードの半導体活性領域のp−ドープされた領域およびn−ドープされた領域の間、あるいはダイオードの半導体活性領域のp−ドープされた領域またはn−ドープされた領域に配置された絶縁層の積層物を有することを特徴とするデバイス。【請求項109】
請求項105に記載のデバイスであって、
このデバイスは、フィールド・プログラマブル・ゲートアレイまたはプログラマブル・論理デバイスを含むことを特徴とするデバイス。
【請求項110】
基板の上方に配置された電荷記憶デバイスであって、
基板の上方に配置された遷移金属結晶化シリコンからなる第1の層と、
第1の層内に配置されたp−n接合部と、
第1の層に隣接して配置された局在的電荷記憶膜とを有することを特徴とするデバイス。
【請求項111】
請求項110に記載のデバイスを含む複数のデバイス階層を有するモノリシック3次元アレイであって、
p−n接合部は、ソース領域とチャンネル、またはドレイン領域とチャンネルの間の接合部を有することを特徴とするアレイ。
【請求項112】
電荷記憶デバイスの製造方法であって、
基板の上方に第1のアモルファスシリコン層またはポリシリコン層を配置するステップと、
第1の層内に遷移金属の触媒を配置するステップと、
第1の層を結晶化させるステップと、
第1の層内にp−n接合を形成するステップと、
第1の層に隣接して配置された局在的電荷記憶膜を形成するステップとを有することを特徴とする方法。
【請求項113】
請求項112に記載の方法であって、
結晶化ステップは、約400℃ないし約700℃の範囲の温度で実施され、
この方法は、約750℃ないし約975℃の範囲の高温アニール処理で、第1の層を結晶化させるステップをさらに有することを特徴とする方法。
【請求項114】
請求項112に記載の方法であって、
局在的電荷記憶膜に隣接して配置されたゲートを形成するステップをさらに有することを特徴とする方法。
【請求項115】
請求項114に記載の方法であって、
遷移金属結晶化シリコンのTFTを有する複数のデバイス階層を形成するステップをさらに有することを特徴とする方法。
【請求項116】
複数のデバイス階層を含む電荷記憶デバイスのモノリシック3次元アレイを有する半導体デバイスであって、
2つの連続するデバイス階層間の少なくとも1つの面が、化学機械的な研磨により平坦化されることを特徴とする半導体デバイス。
【請求項117】
請求項116に記載の半導体デバイスであって、
アレイは、4つまたはそれ以上のデバイス階層を有することを特徴とする半導体デバイス。
【請求項118】
請求項117に記載の半導体デバイスであって、
各電荷記憶デバイスは、柱状TFT EEPROMを有することを特徴とする半導体デバイス。
【請求項119】
請求項117に記載の半導体デバイスであって、
各電荷記憶デバイスは、電荷記憶領域を含む柱状ダイオードを有することを特徴とする半導体デバイス。
【請求項120】
請求項117に記載の半導体デバイスであって、
各電荷記憶デバイスは、自己整合されたTFT EEPROMを有することを特徴とする半導体デバイス。
【請求項121】
請求項117に記載の半導体デバイスであって、
各電荷記憶デバイスは、レール積層物TFT EEPROMを有することを特徴とする半導体デバイス。
【請求項122】
請求項117に記載の半導体デバイスであって、
各デバイス階層の絶縁層または導電層の表面は、化学機械的な研磨により平坦化されることを特徴とする半導体デバイス。
【請求項123】
請求項117に記載の半導体デバイスであって、
2階層の間に配置された中間絶縁層の表面は、化学機械的な研磨により平坦化されることを特徴とする半導体デバイス。
【請求項124】
請求項117に記載の半導体デバイスであって、
化学機械的な研磨により平坦化された表面のピーク間の粗さは、4000Å以下であることを特徴とする半導体デバイス。
【請求項125】
請求項117に記載の半導体デバイスであって、
基板内の少なくとも部分的にはアレイの下方、内部、または上方に形成される駆動回路をさらに有することを特徴とする半導体デバイス。
【請求項126】
請求項125に記載の半導体デバイスであって、
駆動回路は、基板内のアレイの下方に形成された、少なくとも1つのセンスアンプと電荷ポンプを有することを特徴とする半導体デバイス。
【請求項127】
電荷記憶デバイスのモノリシックな3次元アレイの製造方法であって、
複数のデバイス階層を形成するステップと、
連続する2つのデバイス階層間の少なくとも1つの表面が化学機械的研磨により平坦化されるステップとを有することを特徴とする方法。
【請求項128】
請求項127に記載の方法であって、
4つまたはそれ以上のデバイス階層を形成するステップと、
連続する少なくとも3つのデバイス階層の間の少なくとも1つの表面が化学機械的研磨により平坦化されるステップとを有することを特徴とする方法。
【請求項129】
請求項128に記載の方法であって、
各電荷記憶デバイスは、柱状TFT EEPROM、電荷記憶領域を含む柱状ダイオード、自己整合されたTFT EEPROM、およびレール積層物TFT EEPROMからなるグループから選択されることを特徴とする方法。
【請求項130】
請求項128に記載の方法であって、
各電荷記憶デバイス内の絶縁層の表面が、化学機械的研磨により平坦化されることを特徴とする方法。
【請求項131】
請求項128に記載の方法であって、
各電荷記憶デバイス内の導電層の表面が、化学機械的研磨により平坦化されることを特徴とする方法。
【請求項132】
請求項128に記載の方法であって、
2つのデバイス階層間に配置される中間絶縁層の表面が化学機械的研磨により平坦化されるステップとを有することを特徴とする方法。
【請求項133】
請求項128に記載の方法であって、
基板内の少なくとも部分的にはアレイの下方、内部、または上方に駆動回路を形成するステップをさらに有することを特徴とする方法。
【請求項134】
請求項133に記載の方法であって、
駆動回路は、基板内のアレイの下方に形成された、少なくとも1つのセンスアンプと電荷ポンプを有することを特徴とする方法。
【請求項135】
複数のデバイス階層を含む電荷記憶デバイスのモノリシック3次元アレイを有する半導体デバイスであって、
2つの連続するデバイス階層間の少なくとも1つの面が、ステッパフィールドにおいて、4000Å以下のピーク間の粗さを有することを特徴とする半導体デバイス。
【請求項136】
請求項135に記載の半導体デバイスであって、
4つまたはそれ以上のデバイス階層を有することを特徴とする半導体デバイス。
【請求項137】
請求項136に記載の半導体デバイスであって、
各電荷記憶デバイスは、柱状TFT EEPROM、電荷記憶領域を含む柱状ダイオード、自己整合されたTFT EEPROM、およびレール積層物TFT EEPROMからなるグループから選択されることを特徴とする半導体デバイス。
【請求項1】
メモリデバイスであって、
基板の第1平面の上またはその上方に形成された第1の入力/出力導電層と、
第2の入力/出力導電層と、
第1および第2の入力/出力導電層の間で、これらの投影上の交差点に形成された半導体領域と、
電荷記憶媒体とを有し、
電荷記憶媒体に蓄積される電荷が、第1および第2の入力/出力導電層の間に流れる電流量に影響を与えることを特徴とするメモリデバイス。
【請求項2】
請求項1に記載のメモリデバイスであって、
電荷記憶媒体は、第1および第2の入力/出力導電層の間で、これらの投影上の交差点に形成されることを特徴とするメモリデバイス。
【請求項3】
請求項2に記載のメモリデバイスであって、
電荷記憶媒体は、半導体領域上に直接形成されることを特徴とするメモリデバイス。
【請求項4】
請求項1に記載のメモリデバイスであって、
電荷記憶媒体は、半導体領域に隣接して形成されることを特徴とするメモリデバイス。
【請求項5】
請求項4に記載のメモリデバイスであって、
電荷記憶媒体に隣接して形成される制御ゲートをさらに有することを特徴とするメモリデバイス。
【請求項6】
請求項1に記載のメモリデバイスであって、
電流は、半導体領域を基板の平面に対して垂直な方向に流れることを特徴とするメモリデバイス。
【請求項7】
請求項1に記載のメモリデバイスであって、
半導体領域は、ドープされたシリコンであることを特徴とするメモリデバイス。
【請求項8】
メモリデバイスであって、
基板の第1平面の上またはその上方に形成された第1の入力/出力導電層と、
第1の入力/出力導電層の上方に形成され、第1の入力/出力導電層との間で投影された交差点を有する第2の入力/出力導電層と、
第1および第2の入力/出力導電層の間に形成され、第1および第2の入力/出力導電層の交差点に対して直接的に位置合わせされたシリコン本体部と、
電荷記憶媒体とを有し
読み出し電流は、第1および第2の入力/出力導電層の間に形成されたシリコン本体部を、基板の表面に対して垂直な方向に流れ、
電荷記憶媒体に蓄積される電荷は、第1および第2の入力/出力導電層の間に印加された所与の電圧に対する、第1および第2の入力/出力導電層の間に流れる読み出し電流の量に影響を与えることを特徴とするメモリデバイス。
【請求項9】
請求項8に記載のメモリデバイスであって、
電荷記憶媒体は、シリコン本体部の上に、第1および第2の入力/出力導電層の交差点に対して直接的に位置合わせされて形成されることを特徴とするメモリデバイス。
【請求項10】
請求項8に記載のメモリデバイスであって、
電荷記憶媒体は、シリコン本体部に隣接して形成されることを特徴とするメモリデバイス。
【請求項11】
請求項8に記載のメモリデバイスであって、
電荷記憶媒体に隣接して形成される制御ゲートをさらに有することを特徴とするメモリデバイス。
【請求項12】
メモリデバイスであって、
基板の第1平面の上またはその上方に形成された第1の入力/出力導電層と、
第1の入力/出力導電層の上方に形成された第2の入力/出力導電層と、
第2の入力/出力導電層の上方に形成された第3の入力/出力導電層と、
第1および第2の入力/出力導電層の間で、これらの投影上の交差点に形成された第1の半導体領域と、
第2および第3の入力/出力導電層の間で、これらの投影上の交差点に形成された第2の半導体領域と、
第1および第2の入力/出力導電層の間に流れる電流の量に影響を与える第1の電荷記憶媒体とを有することを特徴とするメモリデバイス。
【請求項13】
メモリセルであって、
第1領域および第2領域を含むダイオードと、
電荷記憶領域と、
電荷記憶領域に隣接して配置された絶縁領域と、
第1領域に対する第1のコンタクトと、
第2のコンタクトとを備え、
第1および第2のコンタクトの間に印加された所定の電圧により、ダイオード、絶縁領域、および電荷記憶領域に流れることを特徴とするメモリセル。
【請求項14】
請求項13に記載のメモリセルであって、
第2のコンタクト、および第1の絶縁層に対向する電荷記憶領域に隣接して配置された第2の絶縁層をさらに有することを特徴とするメモリセル。
【請求項15】
請求項13に記載のメモリセルであって、
絶縁領域は、酸化領域であることを特徴とするメモリセル。
【請求項16】
請求項13に記載のメモリセルであって、
電荷記憶領域は、窒化化合物であることを特徴とするメモリセル。
【請求項17】
請求項16に記載のメモリセルであって、
化合物は、酸素を含むことを特徴とするメモリセル。
【請求項18】
請求項16に記載のメモリセルであって、
化合物は、シリコンを含むことを特徴とするメモリセル。
【請求項19】
請求項16に記載のメモリセルであって、
電荷記憶領域は、アルミナを含むことを特徴とするメモリセル。
【請求項20】
請求項13に記載のメモリセルであって、
ダイオードは、ドープされた基板領域を有することを特徴とするメモリセル。
【請求項21】
請求項14または15に記載のメモリセルであって、
ダイオードは、基板の上方に配置された層を有することを特徴とするメモリセル。
【請求項22】
請求項15に記載のメモリセルであって、
酸化領域は、約1ないし5nmの膜厚を有することを特徴とするメモリセル。
【請求項23】
請求項22に記載のメモリセルであって、
酸化領域は、約2ないし3nmの膜厚を有することを特徴とするメモリセル。
【請求項24】
不揮発性の読み出し・書き込みメモリセルであって、
nドープ領域と、
pドープ領域と、
nドープ領域とpドープ領域の間に配置された記憶要素と、
nドープ領域、pドープ領域、および記憶要素に電流を流すための導電部とを有することを特徴とするメモリセル。
【請求項25】
請求項24に記載のメモリセルであって、
記憶要素は、第1の酸化領域を有することを特徴とするメモリセル。
【請求項26】
請求項25に記載のメモリセルであって、
記憶要素は、第2の酸化領域を有することを特徴とするメモリセル。
【請求項27】
請求項25に記載のメモリセルであって、
記憶要素は、窒素を含む領域を有することを特徴とするメモリセル。
【請求項28】
請求項27に記載のメモリセルであって、
記憶要素は、第2の酸化領域を有することを特徴とするメモリセル。
【請求項29】
請求項24に記載のメモリセルであって、
記憶要素は、少なくとも一方のドープ領域と電気的に直接接触していることを特徴とするメモリセル。
【請求項30】
請求項24に記載のメモリセルであって、
記憶要素は、シリコンを含むことを特徴とするメモリセル。
【請求項31】
請求項25に記載のメモリセルであって、
記憶要素は、アルミナを含むことを特徴とするメモリセル。
【請求項32】
請求項24に記載のメモリセルであって、
少なくとも一方のドープ領域は、基板内に配置されることを特徴とするメモリセル。
【請求項33】
請求項24に記載のメモリセルであって、
少なくとも一方のドープ領域は、基板の上方に配置されることを特徴とするメモリセル。
【請求項34】
メモリセルを動作させる方法であって、
セルをプログラムするために領域内に電荷を捕獲するステップと、
データをセルから読み出す際、電流を領域に流すステップとを有することを特徴とする方法。
【請求項35】
請求項34に記載の方法であって、
電流を流すステップは、セルをプログラムし、読み出すために、電流を第1の方向に流すステップを含むことを特徴とする方法。
【請求項36】
請求項34に記載の方法であって、
電流を流すステップは、セルを消去するために、電流を第2の方向に流すステップをさらに含むことを特徴とする方法。
【請求項37】
請求項34に記載の方法であって、
捕獲するステップは、電流を記憶要素に第1の方向で流すステップを含むことを特徴とする方法。
【請求項38】
請求項34に記載の方法であって、
消去するステップは、電流を記憶要素に第2の方向で流すステップを含むことを特徴とする方法。
【請求項39】
ダイオードおよび酸化領域を有し、ダイオードに順電圧を印加するとき負性抵抗特性を呈する構造体において、
電荷を捕獲するための記憶領域が、酸化領域に隣接して配置されることにより、ダイオードおよび酸化領域を流れる電流が、記憶領域を流れることを特徴とする改善された構造体。
【請求項40】
請求項39に記載の構造体であって、
記憶領域は、窒素を含む化合物を有することを特徴とする構造体。
【請求項41】
請求項40に記載の構造体であって、
化合物は、酸素を含むことを特徴とする構造体。
【請求項42】
請求項41に記載の構造体であって、
化合物は、シリコンを含むことを特徴とする構造体。
【請求項43】
請求項39に記載の構造体であって、
記憶領域は、アルミナを含むことを特徴とする構造体。
【請求項44】
メモリセルアレイであって、
少なくとも1つの半導体領域と、電荷を捕獲するための記憶領域とを有する複数のセルと、
半導体領域と記憶領域に流れる電流を制御するための制御手段とを有することを特徴とするメモリセルアレイ。
【請求項45】
請求項44に記載のアレイであって、
制御手段は、セル内に配置されることを特徴とするアレイ。
【請求項46】
請求項44に記載のアレイであって、
少なくとも1つの半導体領域は、n型であることを特徴とするアレイ。
【請求項47】
請求項46に記載のアレイであって、
制御手段は、p型半導体領域を有し、n型半導体領域に隣接して配置されることを特徴とするアレイ。
【請求項48】
請求項47に記載のアレイであって、
記憶手段は、酸化領域を有することを特徴とするアレイ。
【請求項49】
請求項48に記載のアレイであって、
記憶手段は、窒素化合物を含むことを特徴とするアレイ。
【請求項50】
請求項44に記載のアレイであって、
制御手段は、セルの外部に配置されることを特徴とするアレイ。
【請求項51】
請求項44に記載のアレイであって、
セルの半導体領域は、基板内に配置されることを特徴とするアレイ。
【請求項52】
請求項44に記載のアレイであって、
セルの半導体領域は、ポリシリコンから形成されることを特徴とするアレイ。
【請求項53】
請求項47に記載のアレイであって、
制御手段は、n型領域を含むnチャンネル電界効果型トランジスタを有することを特徴とするアレイ。
【請求項54】
基板の上方に形成され、2以上のNに対してN階層を有するメモリアレイであって、
各階層は、
基板に平行な第1平面内にある第1の離間した導電層と、
第1平面の上方にあって基板に平行な第2平面内にある第2の離間した導電層と、第1および第2の導電層のそれぞれの間に配置された複数のセルとを有し、
各セルは、
一方向において、電流をより容易に導通させる支配因子と、
第1および第2酸化領域と、その間にある記憶領域とを含む記憶積層物とを有し、
第1の導電層からの電流が、第2の導電層に達するまで、支配因子、第1酸化領域、記憶領域、および第2酸化領域を流れるように、支配因子と記憶積層物が第1および第2の導電層の間に配置されることを特徴とするメモリアレイ。
【請求項55】
請求項54に記載のメモリアレイであって、
(N−1)階層にある第2の導電層の上方および下方にあるセルが、この第2の導電層を共有することを特徴とするメモリアレイ。
【請求項56】
請求項54に記載のメモリアレイであって、
支配因子は、p型領域とn型領域を有し、
記憶積層物は、少なくともn型ドープ領域と接触していることを特徴とするメモリアレイ。
【請求項57】
基板の上方に配置された、複数階層を有する3次元メモリアレイにおいて、各階層は非線型部品を有し、
電荷を捕獲するための酸化領域の間に配置された記憶領域を含む、各非線型部品に関連する記憶積層物を備え、
非線型部品に流れる電流が、酸化領域、記憶領域、および他方の酸化領域に流れることを特徴とする改善メモリアレイ。
【請求項58】
請求項57に記載の改善メモリアレイであって、
第1の方向に第1の所定強度の電流を流すことにより、電荷を記憶領域内に捕獲することを特徴とする改善メモリアレイ。
【請求項59】
請求項58に記載の改善メモリアレイであって、
記憶領域に捕獲された電荷は、第2の所定強度の電流より小さい電流を第1の方向に流すことにより検出され、
第2の所定強度は、第1の所定強度より小さいことを特徴とする改善メモリアレイ。
【請求項60】
請求項59に記載の改善メモリアレイであって、
捕獲された電荷は、第1の方向とは反対の方向の電流を記憶領域に流すことにより中和させることを特徴とする改善メモリアレイ。
【請求項61】
請求項59に記載の改善メモリアレイであって、
電流が第1の方向に流れるとき、ダイオードは順方向バイアスが印加されることを特徴とする改善メモリアレイ。
【請求項62】
請求項59に記載の改善メモリアレイであって、
記憶領域は、窒素化合物を含むことを特徴とする改善メモリアレイ。
【請求項63】
請求項59に記載の改善メモリアレイであって、
ダイオードは、一方の酸化領域に隣接したn型半導体領域を有することを特徴とする改善メモリアレイ。
【請求項64】
複数のデバイス階層を有する3次元半導体デバイスであって、各階層は、
半導体活性領域と、
電荷記憶領域と、
第1の電極と、
第2の電極とを有し、
半導体活性領域の第1の側面は、第1および第2の電極の一方が有する第1の側面に対して位置合わせされることを特徴とする半導体デバイス。
【請求項65】
請求項64に記載の半導体デバイスであって、
半導体活性領域の第2の側面は、第1および第2の電極の他方が有する第2の側面に対して位置合わせされることを特徴とする半導体デバイス。
【請求項66】
複数のデバイス階層を有する3次元半導体デバイスの製造方法であって、各デバイス階層の製造方法は、
半導体活性領域を形成するステップと、
電荷記憶領域を形成するステップと、
第1の電極を形成するステップと、
第2の電極を形成するステップと、
半導体活性領域および第1の電極の少なくとも2つの側面を、同じフォトリソグラフィステップでパターン形成するステップとを有することを特徴とする製造方法。
【請求項67】
電界効果型トランジスタであって、
ソースと、
ドレインと、
チャンネルと、
ゲートと、
ゲートおよびチャンネルの間にある少なくとも1層の絶縁層と、
ソース−ドレイン−チャンネルの方向に対して実質的に平行に延び、ゲートと接触し、ゲートに対して自己整合されたゲートラインとを備えたことを特徴とするトランジスタ。
【請求項68】
請求項67に記載のトランジスタであって、
ソース、ドレイン、およびチャンネルは、中間絶縁層の上方にポリシリコン活性層として形成されたことを特徴とするトランジスタ。
【請求項69】
請求項68に記載のトランジスタであって、
トランジスタは、EEPROMを有し、
ゲートは、制御ゲートを有し、
少なくとも1層の絶縁層は、制御ゲートおよびチャンネルの間の電荷記憶領域に配置されたことを特徴とするトランジスタ。
【請求項70】
請求項69に記載のトランジスタであって、
電荷記憶領域は、ONO誘電膜、または導電性ナノ結晶を含む絶縁層を有することを特徴とするトランジスタ。
【請求項71】
請求項69に記載のトランジスタであって、
電荷記憶領域は、
チャンネルの上方にあるトンネル誘電層と、
トンネル誘電層の上方にあるフローティングゲートと、
フローティングゲートの上方にある制御ゲートとを有することを特徴とするトランジスタ。
【請求項72】
請求項69に記載のトランジスタであって、
ゲート側壁に隣接して配置され、ゲートとほぼ同じ高さを有する側壁スペーサと、
側壁スペーサに隣接し、ソース領域およびドレイン領域の上方に配置され、側壁スペーサとほぼ同じ高さを有する中間絶縁層とをさらに有することを特徴とするトランジスタ。
【請求項73】
請求項72に記載のトランジスタであって、
ゲートラインは、側壁スペーサと中間絶縁層の上方に配置され、
ゲートラインは、側壁スペーサ間の開口部を介してゲートと接触することを特徴とするトランジスタ。
【請求項74】
請求項73に記載のトランジスタであって、
ソース領域と接触する第1のビットラインと、
ドレイン領域と接触する第2のビットラインとを有し、
第1および第2のビットラインは、中間絶縁層の下方に配置され、ソース−ドレイン−チャンネルの方向に対して実質的に垂直な方向に延びることを特徴とするトランジスタ。
【請求項75】
請求項70に記載のトランジスタであって、
ゲートは、
電荷記憶領域と接触する第1部分と、
第1部分の上方にある第2部分と、
第1部分と第2部分は、個別に配置された層からなることを特徴とするトランジスタ。
【請求項76】
請求項72に記載のトランジスタであって、
ゲートラインは、2つのポリシリコン層の間にシリサイド層を含むワードラインを有し、
ゲートラインは、中間絶縁層および側壁スペーサの上側部分の上に直接配置されることを特徴とするトランジスタ。
【請求項77】
請求項69に記載のトランジスタであって、
ゲートラインは、チャンネル領域および電荷記憶領域に対して自己整合されたワードラインを有することを特徴とするトランジスタ。
【請求項78】
3次元不揮発性デバイスアレイであって、
垂直方向に分離された複数のデバイス階層であって、各デバイス階層は、チャンネル領域、ソース領域、およびドレイン領域をそれぞれ含むTFT EEPROMのアレイと、チャンネル領域に隣接した電荷記憶領域とを有するデバイス階層と、
各デバイス階層において、TFT EEPROMのソース領域またはドレイン領域と接触する複数のビットライン列と、
各デバイス階層における、複数のワードライン行と、
デバイス階層間に配置された少なくとも1層の中間絶縁層とを備えることを特徴とするアレイ。
【請求項79】
請求項78に記載のアレイであって、
電荷記憶領域と接触する第1部分と、
第1部分の上方にある第2部分と、
第1部分と第2部分が個別に配置された層を有することを特徴とするアレイ。
【請求項80】
請求項78に記載のアレイであって、
アレイの第1のデバイス階層の下方にある半導体基板内に配置された周辺回路に、ワードラインおよびビットラインを接続するワードラインコンタクトおよびビットラインコンタクトをさらに有することを特徴とするアレイ。
【請求項81】
請求項80に記載のアレイであって、
ワードラインコンタクトおよびビットラインコンタクトは、複数のデバイス階層に跨って延びることを特徴とするアレイ。
【請求項82】
請求項78に記載のアレイであって、
各メモリセルは、TFT EEPROMを有し、
1ビット当たりの各メモリセルサイズは、Fを最小フィーチャサイズ、Nを1より大きい3次元のデバイス階層数としたとき、約(2F2)/Nであることを特徴とするアレイ。
【請求項83】
不揮発性メモリセルアレイであって、
各メモリセルは、半導体デバイスを有し、
各メモリセルの1ビット当たりのセルサイズは、Fが最小フィーチャサイズで、Nが1以上の第3の方向におけるデバイス階層数であるとき、約2F2/Nであることを特徴とするアレイ。
【請求項84】
請求項83に記載のアレイであって、
アレイは、Nが1より大きく、垂直方向に分離された複数のデバイス階層からなるモノリシックな3次元メモリアレイを有し、
半導体デバイスは、チャンネル領域、ソース領域、ドレイン領域、および電荷記憶領域を有するTFT EEPROMから構成されることを特徴とするアレイ。
【請求項85】
EEPROMの製造方法であって、
半導体活性領域を形成するステップと、
活性領域の上方に電荷記憶領域を形成するステップと、
電荷記憶領域の上方に導電性ゲート層を形成するステップと、
電荷記憶領域に重なる制御ゲートを形成するために、ゲート層をパターン形成するステップと、
活性領域にソース領域およびドレインを形成するために、制御ゲートをマスクとして用いて、活性領域にドーピングするステップと、
制御ゲートの上方で、これに隣接する第1の絶縁層を形成するステップと、
フォトリソグラフィマスクを用いることなく、制御ゲートの上部部分を露出させるステップと、
ワードラインが制御ゲートに対して自己整合されるように、制御ゲートの露出した上部部分と接触するワードラインを形成するステップとを有することを特徴とする製造方法。
【請求項86】
請求項85に記載の製造方法であって、さらに、
ゲート層の上方に障壁層を形成するステップと、
ゲート層をパターン形成するステップの間に、障壁層をパターン形成するステップと、
制御ゲートおよび障壁層の側壁に隣接して、側壁スペーサを形成するステップとを有することを特徴とする製造方法。
【請求項87】
請求項86に記載の製造方法であって、
障壁層は、側壁スペーサおよび制御ゲートを構成する材料とは異なる材料で構成され、
制御ゲートの上部部分を露出させるステップは、障壁層を露出させるために、第1の絶縁層を平坦化するステップと、ゲートコンタクトビアを形成するために、側壁スペーサの間の障壁層を選択的に除去するステップとを有し、
ワードラインを形成するステップは、ゲートコンタクトビア内のワードラインの一部が制御ゲートの上部部分を形成するように、第1の絶縁層の上方にワードラインを配置するステップを含むことを特徴とする製造方法。
【請求項88】
請求項85に記載の製造方法であって、
ワードラインが実質的に平坦な上部表面を有するように、ワードラインは、第1の絶縁層の上に形成されることを特徴とする製造方法。
【請求項89】
請求項85に記載の製造方法であって、
制御ゲートの上部部分を露出させるステップは、制御ゲートを露出させるために、第1の絶縁層を平坦化するステップを含み、
ワードラインを形成するステップは、ワードラインが露出した制御ゲートと接触するように、第1の絶縁層の上方にワードラインを配置するステップを含むことを特徴とする製造方法。
【請求項90】
請求項85に記載の製造方法であって、
半導体活性領域を形成するステップは、中間絶縁層の上方にポリシリコンの活性層を形成するステップを含み、
電荷記憶領域を形成するステップは、ONO誘電膜または導電性ナノ結晶を含む絶縁層を形成するステップを含み、
ワードラインを形成するステップは、第1の絶縁層と露出した制御ゲートの上方に少なくとも1層の導電層を配置するステップと、ワードラインを形成するために少なくとも1層の導電層をエッチングするステップとを含むことを特徴とする製造方法。
【請求項91】
請求項90に記載の製造方法であって、さらに、
ワードラインがEEPROMのチャンネル領域および電荷記憶領域に対して自己整合されるように、ワードラインをマスクとして用いて、活性領域および電荷記憶領域をエッチングするステップを有することを特徴とする製造方法。
【請求項92】
請求項91に記載の製造方法であって、さらに、
制御ゲート側壁に隣接して、側壁スペーサを形成するステップと、
制御ゲート、側壁スペーサ、およびドープされたソース領域およびドレイン領域の上方に金属層を形成するステップと、
ソース領域およびドレイン領域の上方に金属シリサイド領域を形成するために、金属層を加熱するステップと、
側壁スペーサから金属層を選択的に除去するステップとを有することを特徴とする製造方法。
【請求項93】
請求項92に記載の製造方法であって、
ドープされたソース領域およびドレイン領域と、金属シリサイド領域は、ソース−チャンネル−ドレイン方向と実質的に垂直な方向に延びるビットラインを構成し、
ワードラインは、ソース−チャンネル−ドレイン方向と実質的に平行な方向に延びることを特徴とする製造方法。
【請求項94】
請求項93に記載の製造方法であって、
ポリシリコンの活性層を形成するステップは、
アモルファスシリコン層またはポリシリコン層を形成するステップと、
金属層を触媒として用いて、アモルファスシリコン層またはポリシリコン層を再結晶化させるために、EEPROMを加熱するステップとを有することを特徴とする製造方法。
【請求項95】
請求項85に記載の製造方法であって、
EEPROMは、2つのフォトリソグラフィのマスキングステップを用いて形成されることを特徴とする製造方法。
【請求項96】
基板の上方に配置された半導体デバイスアレイであって、このアレイは、
第1の方向で、基板の上方の第1の高さに配置された、第1の離間した複数の導電層と、
第1の方向とは異なる第2の方向で、第2の高さに配置された、第2の離間した複数のレール積層物とを備え、
各レール積層物は、
第1の離間した複数の導電層と接触する第1の表面を有する半導体膜と、
導電膜と、
半導体膜および導電膜の第2の表面の間に配置された局在的電荷記憶膜とを有することを特徴とするアレイ。
【請求項97】
請求項96に記載のアレイであって、
第2の離間した複数のレール積層物は、第1の離間した複数の導電層の上方に配置されることを特徴とするアレイ。
【請求項98】
請求項96に記載のアレイであって、
離間した導電層の間の空間が、平坦化された積層酸化物材料を有することを特徴とするアレイ。
【請求項99】
基板の上方に配置されたメモリアレイであって、
このアレイは、第1の方向で、基板の上方の第1の高さに配置された、第1の離間した複数のレール積層物を備え、
各レール積層物は、
導電膜と、
導電膜の上方に配置された局在的電荷記憶膜と、
局在的電荷記憶膜の上方に配置された半導体膜を有し、
このアレイは、第1の方向とは異なる第2の方向で、第1の高さの上方の第2の高さに配置された、第1の離間した複数の導電層を備え、
離間した導電層は、不純物を有する半導体膜の領域と重なり、
この領域は、離間した導電層および局在的電荷記憶膜の間を電気的に接続することを特徴とするメモリアレイ。
【請求項100】
請求項99に記載のメモリアレイであって、
このメモリアレイは、第1の方向で、第2の高さの上方の第3の高さに配置された、第3の離間した複数のレール積層物をさらに備え、
各レール積層物は、
導電膜と、
導電膜の上方に配置された局在的電荷記憶膜と、
局在的電荷記憶膜の上方に配置された半導体膜を有し、
このメモリアレイは、第2の方向で、第3の高さの上方の第4の高さに配置された、第4の離間した複数のレール積層物をさらに備え、
離間した導電層は、不純物を有する第2の半導体膜の領域と重なり、
この領域は、離間した導電層および局在的電荷記憶膜の間を電気的に接続することを特徴とするメモリアレイ。
【請求項101】
請求項99に記載のメモリアレイであって、
離間した導電層の間の空間が、平坦化された酸化材料を含むことを特徴とするメモリアレイ。
【請求項102】
基板の上方に配置されたメモリアレイであって、
このアレイは、第1の方向で、基板の上方の第1の高さに配置された、第1の離間した複数のレール積層物を備え、
各レール積層物は、導電膜と、導電膜の上方に配置された局在的電荷記憶膜とを有し、
このアレイは、第1の方向とは異なる第2の方向で、第1の高さの上方の第2の高さに配置された、第1の離間した複数の導電体をさらに備え、
離間した導電体は、離間した導電体が接触交差点において局在的電荷記憶膜と直接接触するように、レール積層物とともに接触交差点を形成し、
このアレイは、離間した導電体の間で、接触交差点の周囲の領域において、局在的電荷記憶膜の上方に積層された半導体膜をさらに有することを特徴とするメモリアレイ。
【請求項103】
請求項102に記載のメモリアレイであって、
離間した導電体の空間が、平坦化された酸化材料を有することを特徴とするメモリアレイ。
【請求項104】
回路であって、
複数の電荷記憶デバイスと、複数のアンチヒューズデバイスとを有することを特徴とする回路。
【請求項105】
半導体デバイスであって、
半導体活性領域と、
半導体活性領域に隣接した電荷記憶領域と、
第1の電極と、
第2の電極とを備え、
第1および第2の電極の間に第1のプログラム電圧が印加されたとき、電荷が電荷記憶領域に蓄積され、
第1および第2の電極の間に第1のプログラム電圧より高い第2のプログラム電圧が印加されたとき、第1および第2の電極の間に導電性経路を形成するために、導電性リンクが電荷記憶領域を貫通して形成されることを特徴とするデバイス。
【請求項106】
請求項105に記載のデバイスであって、
第1のプログラム電圧は、デバイスの閾値電圧を上げることにより、デバイスを切断することを特徴とするデバイス。
【請求項107】
請求項105に記載のデバイスであって、
このデバイスは、電荷記憶領域を含む半導体ダイオードを有することを特徴とするデバイス。
【請求項108】
請求項107に記載のデバイスであって、
ダイオードは、中間絶縁層により分離された少なくとも3つのデバイス階層を含む3次元モノリシックアレイとして構成されたポリシリコンまたはアモルファスシリコンダイオードを有し、アレイは基板の上方に配置され、
電荷記憶領域は、ダイオードの半導体活性領域のp−ドープされた領域およびn−ドープされた領域の間、あるいはダイオードの半導体活性領域のp−ドープされた領域またはn−ドープされた領域に配置された絶縁層の積層物を有することを特徴とするデバイス。【請求項109】
請求項105に記載のデバイスであって、
このデバイスは、フィールド・プログラマブル・ゲートアレイまたはプログラマブル・論理デバイスを含むことを特徴とするデバイス。
【請求項110】
基板の上方に配置された電荷記憶デバイスであって、
基板の上方に配置された遷移金属結晶化シリコンからなる第1の層と、
第1の層内に配置されたp−n接合部と、
第1の層に隣接して配置された局在的電荷記憶膜とを有することを特徴とするデバイス。
【請求項111】
請求項110に記載のデバイスを含む複数のデバイス階層を有するモノリシック3次元アレイであって、
p−n接合部は、ソース領域とチャンネル、またはドレイン領域とチャンネルの間の接合部を有することを特徴とするアレイ。
【請求項112】
電荷記憶デバイスの製造方法であって、
基板の上方に第1のアモルファスシリコン層またはポリシリコン層を配置するステップと、
第1の層内に遷移金属の触媒を配置するステップと、
第1の層を結晶化させるステップと、
第1の層内にp−n接合を形成するステップと、
第1の層に隣接して配置された局在的電荷記憶膜を形成するステップとを有することを特徴とする方法。
【請求項113】
請求項112に記載の方法であって、
結晶化ステップは、約400℃ないし約700℃の範囲の温度で実施され、
この方法は、約750℃ないし約975℃の範囲の高温アニール処理で、第1の層を結晶化させるステップをさらに有することを特徴とする方法。
【請求項114】
請求項112に記載の方法であって、
局在的電荷記憶膜に隣接して配置されたゲートを形成するステップをさらに有することを特徴とする方法。
【請求項115】
請求項114に記載の方法であって、
遷移金属結晶化シリコンのTFTを有する複数のデバイス階層を形成するステップをさらに有することを特徴とする方法。
【請求項116】
複数のデバイス階層を含む電荷記憶デバイスのモノリシック3次元アレイを有する半導体デバイスであって、
2つの連続するデバイス階層間の少なくとも1つの面が、化学機械的な研磨により平坦化されることを特徴とする半導体デバイス。
【請求項117】
請求項116に記載の半導体デバイスであって、
アレイは、4つまたはそれ以上のデバイス階層を有することを特徴とする半導体デバイス。
【請求項118】
請求項117に記載の半導体デバイスであって、
各電荷記憶デバイスは、柱状TFT EEPROMを有することを特徴とする半導体デバイス。
【請求項119】
請求項117に記載の半導体デバイスであって、
各電荷記憶デバイスは、電荷記憶領域を含む柱状ダイオードを有することを特徴とする半導体デバイス。
【請求項120】
請求項117に記載の半導体デバイスであって、
各電荷記憶デバイスは、自己整合されたTFT EEPROMを有することを特徴とする半導体デバイス。
【請求項121】
請求項117に記載の半導体デバイスであって、
各電荷記憶デバイスは、レール積層物TFT EEPROMを有することを特徴とする半導体デバイス。
【請求項122】
請求項117に記載の半導体デバイスであって、
各デバイス階層の絶縁層または導電層の表面は、化学機械的な研磨により平坦化されることを特徴とする半導体デバイス。
【請求項123】
請求項117に記載の半導体デバイスであって、
2階層の間に配置された中間絶縁層の表面は、化学機械的な研磨により平坦化されることを特徴とする半導体デバイス。
【請求項124】
請求項117に記載の半導体デバイスであって、
化学機械的な研磨により平坦化された表面のピーク間の粗さは、4000Å以下であることを特徴とする半導体デバイス。
【請求項125】
請求項117に記載の半導体デバイスであって、
基板内の少なくとも部分的にはアレイの下方、内部、または上方に形成される駆動回路をさらに有することを特徴とする半導体デバイス。
【請求項126】
請求項125に記載の半導体デバイスであって、
駆動回路は、基板内のアレイの下方に形成された、少なくとも1つのセンスアンプと電荷ポンプを有することを特徴とする半導体デバイス。
【請求項127】
電荷記憶デバイスのモノリシックな3次元アレイの製造方法であって、
複数のデバイス階層を形成するステップと、
連続する2つのデバイス階層間の少なくとも1つの表面が化学機械的研磨により平坦化されるステップとを有することを特徴とする方法。
【請求項128】
請求項127に記載の方法であって、
4つまたはそれ以上のデバイス階層を形成するステップと、
連続する少なくとも3つのデバイス階層の間の少なくとも1つの表面が化学機械的研磨により平坦化されるステップとを有することを特徴とする方法。
【請求項129】
請求項128に記載の方法であって、
各電荷記憶デバイスは、柱状TFT EEPROM、電荷記憶領域を含む柱状ダイオード、自己整合されたTFT EEPROM、およびレール積層物TFT EEPROMからなるグループから選択されることを特徴とする方法。
【請求項130】
請求項128に記載の方法であって、
各電荷記憶デバイス内の絶縁層の表面が、化学機械的研磨により平坦化されることを特徴とする方法。
【請求項131】
請求項128に記載の方法であって、
各電荷記憶デバイス内の導電層の表面が、化学機械的研磨により平坦化されることを特徴とする方法。
【請求項132】
請求項128に記載の方法であって、
2つのデバイス階層間に配置される中間絶縁層の表面が化学機械的研磨により平坦化されるステップとを有することを特徴とする方法。
【請求項133】
請求項128に記載の方法であって、
基板内の少なくとも部分的にはアレイの下方、内部、または上方に駆動回路を形成するステップをさらに有することを特徴とする方法。
【請求項134】
請求項133に記載の方法であって、
駆動回路は、基板内のアレイの下方に形成された、少なくとも1つのセンスアンプと電荷ポンプを有することを特徴とする方法。
【請求項135】
複数のデバイス階層を含む電荷記憶デバイスのモノリシック3次元アレイを有する半導体デバイスであって、
2つの連続するデバイス階層間の少なくとも1つの面が、ステッパフィールドにおいて、4000Å以下のピーク間の粗さを有することを特徴とする半導体デバイス。
【請求項136】
請求項135に記載の半導体デバイスであって、
4つまたはそれ以上のデバイス階層を有することを特徴とする半導体デバイス。
【請求項137】
請求項136に記載の半導体デバイスであって、
各電荷記憶デバイスは、柱状TFT EEPROM、電荷記憶領域を含む柱状ダイオード、自己整合されたTFT EEPROM、およびレール積層物TFT EEPROMからなるグループから選択されることを特徴とする半導体デバイス。
Applications Claiming Priority (13)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US63957900A | 2000-08-14 | 2000-08-14 | |
US63970200A | 2000-08-14 | 2000-08-14 | |
US09/639,579 | 2000-08-14 | ||
US09/639,702 | 2000-08-14 | ||
US63974900A | 2000-08-17 | 2000-08-17 | |
US09/639,749 | 2000-08-17 | ||
US74512500A | 2000-12-21 | 2000-12-21 | |
US80123301A | 2001-03-06 | 2001-03-06 | |
US09/801,233 | 2001-03-06 | ||
US27985501P | 2001-03-28 | 2001-03-28 | |
US60/279,855 | 2001-03-28 | ||
PCT/US2001/025092 WO2002015277A2 (en) | 2000-08-14 | 2001-08-13 | Dense arrays and charge storage devices, and methods for making same |
US09/745,125 | 2002-12-21 |
Publications (3)
Publication Number | Publication Date |
---|---|
JP2004507091A JP2004507091A (ja) | 2004-03-04 |
JP2004507091A5 true JP2004507091A5 (ja) | 2008-10-02 |
JP5792918B2 JP5792918B2 (ja) | 2015-10-14 |
Family
ID=27559541
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2002520307A Expired - Lifetime JP5792918B2 (ja) | 2000-08-14 | 2001-08-13 | 高集積メモリデバイス |
Country Status (8)
Country | Link |
---|---|
US (14) | US6881994B2 (ja) |
EP (3) | EP2988331B1 (ja) |
JP (1) | JP5792918B2 (ja) |
KR (2) | KR100821456B1 (ja) |
CN (2) | CN101179079B (ja) |
AU (1) | AU2001286432A1 (ja) |
MY (1) | MY129228A (ja) |
WO (1) | WO2002015277A2 (ja) |
Families Citing this family (890)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US8779597B2 (en) * | 2004-06-21 | 2014-07-15 | Sang-Yun Lee | Semiconductor device with base support structure |
US6856572B2 (en) * | 2000-04-28 | 2005-02-15 | Matrix Semiconductor, Inc. | Multi-headed decoder structure utilizing memory array line driver with dual purpose driver device |
US6888750B2 (en) * | 2000-04-28 | 2005-05-03 | Matrix Semiconductor, Inc. | Nonvolatile memory on SOI and compound semiconductor substrates and method of fabrication |
JP5792918B2 (ja) | 2000-08-14 | 2015-10-14 | サンディスク・スリー・ディ・リミテッド・ライアビリティ・カンパニーSandisk 3D Llc | 高集積メモリデバイス |
KR100426380B1 (ko) * | 2001-03-30 | 2004-04-08 | 주승기 | 실리콘 박막의 결정화 방법 및 이를 이용한 반도체 소자제조 방법 |
DE10130766B4 (de) | 2001-06-26 | 2005-08-11 | Infineon Technologies Ag | Vertikal-Transistor, Speicheranordnung sowie Verfahren zum Herstellen eines Vertikal-Transistors |
US6593624B2 (en) | 2001-09-25 | 2003-07-15 | Matrix Semiconductor, Inc. | Thin film transistors with vertically offset drain regions |
US6841813B2 (en) * | 2001-08-13 | 2005-01-11 | Matrix Semiconductor, Inc. | TFT mask ROM and method for making same |
US6744094B2 (en) * | 2001-08-24 | 2004-06-01 | Micron Technology Inc. | Floating gate transistor with horizontal gate layers stacked next to vertical body |
US7068544B2 (en) * | 2001-08-30 | 2006-06-27 | Micron Technology, Inc. | Flash memory with low tunnel barrier interpoly insulators |
US7132711B2 (en) * | 2001-08-30 | 2006-11-07 | Micron Technology, Inc. | Programmable array logic or memory with p-channel devices and asymmetrical tunnel barriers |
US7476925B2 (en) * | 2001-08-30 | 2009-01-13 | Micron Technology, Inc. | Atomic layer deposition of metal oxide and/or low asymmetrical tunnel barrier interploy insulators |
US7135734B2 (en) * | 2001-08-30 | 2006-11-14 | Micron Technology, Inc. | Graded composition metal oxide tunnel barrier interpoly insulators |
US7087954B2 (en) * | 2001-08-30 | 2006-08-08 | Micron Technology, Inc. | In service programmable logic arrays with low tunnel barrier interpoly insulators |
US7075829B2 (en) * | 2001-08-30 | 2006-07-11 | Micron Technology, Inc. | Programmable memory address and decode circuits with low tunnel barrier interpoly insulators |
US6963103B2 (en) * | 2001-08-30 | 2005-11-08 | Micron Technology, Inc. | SRAM cells with repressed floating gate memory, low tunnel barrier interpoly insulators |
US6754108B2 (en) * | 2001-08-30 | 2004-06-22 | Micron Technology, Inc. | DRAM cells with repressed floating gate memory, low tunnel barrier interpoly insulators |
US6815781B2 (en) | 2001-09-25 | 2004-11-09 | Matrix Semiconductor, Inc. | Inverted staggered thin film transistor with salicided source/drain structures and method of making same |
US6690026B2 (en) * | 2001-09-28 | 2004-02-10 | Intel Corporation | Method of fabricating a three-dimensional array of active media |
US7219271B2 (en) | 2001-12-14 | 2007-05-15 | Sandisk 3D Llc | Memory device and method for redundancy/self-repair |
JP3879518B2 (ja) * | 2002-01-21 | 2007-02-14 | ソニー株式会社 | 磁気記憶装置およびその製造方法 |
US6781189B2 (en) * | 2002-01-22 | 2004-08-24 | Micron Technology, Inc. | Floating gate transistor with STI |
US6853049B2 (en) | 2002-03-13 | 2005-02-08 | Matrix Semiconductor, Inc. | Silicide-silicon oxide-semiconductor antifuse device and method of making |
US7160577B2 (en) | 2002-05-02 | 2007-01-09 | Micron Technology, Inc. | Methods for atomic-layer deposition of aluminum oxides in integrated circuits |
US7045430B2 (en) * | 2002-05-02 | 2006-05-16 | Micron Technology Inc. | Atomic layer-deposited LaAlO3 films for gate dielectrics |
US20030218896A1 (en) * | 2002-05-22 | 2003-11-27 | Pon Harry Q | Combined memory |
DE10224956A1 (de) * | 2002-06-05 | 2004-01-08 | Infineon Technologies Ag | Verfahren zur Einstellung der Einsatzspannung eines Feldeffekttansistors, Feldeffekttransistor sowie integrierte Schaltung |
US6853587B2 (en) * | 2002-06-21 | 2005-02-08 | Micron Technology, Inc. | Vertical NROM having a storage density of 1 bit per 1F2 |
US6737675B2 (en) | 2002-06-27 | 2004-05-18 | Matrix Semiconductor, Inc. | High density 3D rail stack arrays |
US6952043B2 (en) * | 2002-06-27 | 2005-10-04 | Matrix Semiconductor, Inc. | Electrically isolated pillars in active devices |
US7221586B2 (en) | 2002-07-08 | 2007-05-22 | Micron Technology, Inc. | Memory utilizing oxide nanolaminates |
US6734063B2 (en) * | 2002-07-22 | 2004-05-11 | Infineon Technologies Ag | Non-volatile memory cell and fabrication method |
US7019353B2 (en) | 2002-07-26 | 2006-03-28 | Micron Technology, Inc. | Three dimensional flash cell |
US6921702B2 (en) * | 2002-07-30 | 2005-07-26 | Micron Technology Inc. | Atomic layer deposited nanolaminates of HfO2/ZrO2 films as gate dielectrics |
US6835619B2 (en) | 2002-08-08 | 2004-12-28 | Micron Technology, Inc. | Method of forming a memory transistor comprising a Schottky contact |
US6808983B2 (en) * | 2002-08-27 | 2004-10-26 | Micron Technology, Inc. | Silicon nanocrystal capacitor and process for forming same |
US6740957B2 (en) * | 2002-08-29 | 2004-05-25 | Micron Technology, Inc. | Shallow trench antifuse and methods of making and using same |
US6917078B2 (en) * | 2002-08-30 | 2005-07-12 | Micron Technology Inc. | One transistor SOI non-volatile random access memory cell |
US7042027B2 (en) * | 2002-08-30 | 2006-05-09 | Micron Technology, Inc. | Gated lateral thyristor-based random access memory cell (GLTRAM) |
US6888200B2 (en) * | 2002-08-30 | 2005-05-03 | Micron Technology Inc. | One transistor SOI non-volatile random access memory cell |
JP4183464B2 (ja) * | 2002-09-20 | 2008-11-19 | 富士フイルム株式会社 | 固体撮像装置とその駆動方法 |
US6710409B1 (en) | 2002-10-15 | 2004-03-23 | Matrix Semiconductor, Inc. | Inverted staggered thin film transistor with etch stop layer and method of making same |
US6858899B2 (en) * | 2002-10-15 | 2005-02-22 | Matrix Semiconductor, Inc. | Thin film transistor with metal oxide layer and method of making same |
US6995053B2 (en) * | 2004-04-23 | 2006-02-07 | Sharp Laboratories Of America, Inc. | Vertical thin film transistor |
US7259984B2 (en) * | 2002-11-26 | 2007-08-21 | Cornell Research Foundation, Inc. | Multibit metal nanocrystal memories and fabrication |
US6859410B2 (en) | 2002-11-27 | 2005-02-22 | Matrix Semiconductor, Inc. | Tree decoder structure particularly well-suited to interfacing array lines having extremely small layout pitch |
US6954394B2 (en) * | 2002-11-27 | 2005-10-11 | Matrix Semiconductor, Inc. | Integrated circuit and method for selecting a set of memory-cell-layer-dependent or temperature-dependent operating conditions |
US20070164388A1 (en) * | 2002-12-19 | 2007-07-19 | Sandisk 3D Llc | Memory cell comprising a diode fabricated in a low resistivity, programmed state |
WO2004061851A2 (en) * | 2002-12-19 | 2004-07-22 | Matrix Semiconductor, Inc | An improved method for making high-density nonvolatile memory |
US7618850B2 (en) * | 2002-12-19 | 2009-11-17 | Sandisk 3D Llc | Method of making a diode read/write memory cell in a programmed state |
US7800933B2 (en) * | 2005-09-28 | 2010-09-21 | Sandisk 3D Llc | Method for using a memory cell comprising switchable semiconductor memory element with trimmable resistance |
US7800932B2 (en) | 2005-09-28 | 2010-09-21 | Sandisk 3D Llc | Memory cell comprising switchable semiconductor memory element with trimmable resistance |
US7660181B2 (en) * | 2002-12-19 | 2010-02-09 | Sandisk 3D Llc | Method of making non-volatile memory cell with embedded antifuse |
US8008700B2 (en) * | 2002-12-19 | 2011-08-30 | Sandisk 3D Llc | Non-volatile memory cell with embedded antifuse |
DE10260185B4 (de) * | 2002-12-20 | 2007-04-12 | Infineon Technologies Ag | Halbleiterspeicher mit vertikalen Charge-trapping-Speicherzellen und Verfahren zu seiner Herstellung |
US6849905B2 (en) * | 2002-12-23 | 2005-02-01 | Matrix Semiconductor, Inc. | Semiconductor device with localized charge storage dielectric and method of making same |
US6807119B2 (en) * | 2002-12-23 | 2004-10-19 | Matrix Semiconductor, Inc. | Array containing charge storage and dummy transistors and method of operating the array |
EP1434264A3 (en) * | 2002-12-27 | 2017-01-18 | Semiconductor Energy Laboratory Co., Ltd. | Semiconductor device and manufacturing method using the transfer technique |
US7233522B2 (en) | 2002-12-31 | 2007-06-19 | Sandisk 3D Llc | NAND memory array incorporating capacitance boosting of channel regions in unselected memory cells and method for operation of same |
US7505321B2 (en) * | 2002-12-31 | 2009-03-17 | Sandisk 3D Llc | Programmable memory array structure incorporating series-connected transistor strings and methods for fabrication and operation of same |
US7005350B2 (en) * | 2002-12-31 | 2006-02-28 | Matrix Semiconductor, Inc. | Method for fabricating programmable memory array structures incorporating series-connected transistor strings |
US6713371B1 (en) * | 2003-03-17 | 2004-03-30 | Matrix Semiconductor, Inc. | Large grain size polysilicon films formed by nuclei-induced solid phase crystallization |
US6868022B2 (en) * | 2003-03-28 | 2005-03-15 | Matrix Semiconductor, Inc. | Redundant memory structure using bad bit pointers |
US6822903B2 (en) * | 2003-03-31 | 2004-11-23 | Matrix Semiconductor, Inc. | Apparatus and method for disturb-free programming of passive element memory cells |
US7233024B2 (en) * | 2003-03-31 | 2007-06-19 | Sandisk 3D Llc | Three-dimensional memory device incorporating segmented bit line memory array |
US6879505B2 (en) | 2003-03-31 | 2005-04-12 | Matrix Semiconductor, Inc. | Word line arrangement having multi-layer word line segments for three-dimensional memory array |
US6815077B1 (en) | 2003-05-20 | 2004-11-09 | Matrix Semiconductor, Inc. | Low temperature, low-resistivity heavily doped p-type polysilicon deposition |
US6963104B2 (en) * | 2003-06-12 | 2005-11-08 | Advanced Micro Devices, Inc. | Non-volatile memory device |
US7243203B2 (en) * | 2003-06-13 | 2007-07-10 | Sandisk 3D Llc | Pipeline circuit for low latency memory |
DE10326805B4 (de) * | 2003-06-13 | 2007-02-15 | Infineon Technologies Ag | Herstellungsverfahren für nichtflüchtige Speicherzellen |
US8125003B2 (en) * | 2003-07-02 | 2012-02-28 | Micron Technology, Inc. | High-performance one-transistor memory cell |
JP2005057187A (ja) * | 2003-08-07 | 2005-03-03 | Renesas Technology Corp | 半導体記憶装置およびその製造方法 |
US7012299B2 (en) * | 2003-09-23 | 2006-03-14 | Matrix Semiconductors, Inc. | Storage layer optimization of a nonvolatile memory device |
US7057958B2 (en) * | 2003-09-30 | 2006-06-06 | Sandisk Corporation | Method and system for temperature compensation for memory cells with temperature-dependent behavior |
US7221008B2 (en) * | 2003-10-06 | 2007-05-22 | Sandisk Corporation | Bitline direction shielding to avoid cross coupling between adjacent cells for NAND flash memory |
US7195992B2 (en) * | 2003-10-07 | 2007-03-27 | Sandisk 3D Llc | Method of uniform seeding to control grain and defect density of crystallized silicon for use in sub-micron thin film transistors |
US7202523B2 (en) * | 2003-11-17 | 2007-04-10 | Micron Technology, Inc. | NROM flash memory devices on ultrathin silicon |
TWI276206B (en) * | 2003-11-25 | 2007-03-11 | Promos Technologies Inc | Method for fabricating flash memory device and structure thereof |
US6933558B2 (en) * | 2003-12-04 | 2005-08-23 | Advanced Micro Devices, Inc. | Flash memory device |
US7023739B2 (en) * | 2003-12-05 | 2006-04-04 | Matrix Semiconductor, Inc. | NAND memory array incorporating multiple write pulse programming of individual memory cells and method for operation of same |
US7423304B2 (en) * | 2003-12-05 | 2008-09-09 | Sandisck 3D Llc | Optimization of critical dimensions and pitch of patterned features in and above a substrate |
US7172840B2 (en) * | 2003-12-05 | 2007-02-06 | Sandisk Corporation | Photomask features with interior nonprinting window using alternating phase shifting |
US20050128807A1 (en) * | 2003-12-05 | 2005-06-16 | En-Hsing Chen | Nand memory array incorporating multiple series selection devices and method for operation of same |
US7221588B2 (en) * | 2003-12-05 | 2007-05-22 | Sandisk 3D Llc | Memory array incorporating memory cells arranged in NAND strings |
US7241654B2 (en) * | 2003-12-17 | 2007-07-10 | Micron Technology, Inc. | Vertical NROM NAND flash memory array |
US6951780B1 (en) * | 2003-12-18 | 2005-10-04 | Matrix Semiconductor, Inc. | Selective oxidation of silicon in diode, TFT, and monolithic three dimensional memory arrays |
US7816722B2 (en) * | 2004-02-04 | 2010-10-19 | Hewlett-Packard Development Company, L.P. | Memory array |
US7256450B2 (en) * | 2004-03-24 | 2007-08-14 | Micron Technology, Inc. | NROM memory device with a high-permittivity gate dielectric formed by the low temperature oxidation of metals |
TWI228832B (en) * | 2004-04-05 | 2005-03-01 | Quanta Display Inc | Structure of LTPS-TFT and fabricating method of channel layer thereof |
US7315067B2 (en) * | 2004-07-02 | 2008-01-01 | Impinj, Inc. | Native high-voltage n-channel LDMOSFET in standard logic CMOS |
US8264039B2 (en) * | 2004-04-26 | 2012-09-11 | Synopsys, Inc. | High-voltage LDMOSFET and applications therefor in standard CMOS |
US20050275106A1 (en) * | 2004-06-14 | 2005-12-15 | Fricke Peter J | Electronic isolation device |
US7825982B2 (en) * | 2004-06-17 | 2010-11-02 | Aptina Imaging Corporation | Operation stabilized pixel bias circuit |
KR100536043B1 (ko) * | 2004-06-25 | 2005-12-12 | 삼성전자주식회사 | 적층형 반도체 장치 및 그 제조 방법 |
US8159001B2 (en) * | 2004-07-02 | 2012-04-17 | Synopsys, Inc. | Graded junction high voltage semiconductor device |
KR100668301B1 (ko) | 2004-07-16 | 2007-01-12 | 삼성전자주식회사 | 실리콘 산화물 상에 형성된 나노 도트 구조체 및 그 제조방법 |
US7205486B2 (en) * | 2004-07-16 | 2007-04-17 | Cardiac Pacemakers, Inc. | Thermally isolated via structure |
US7518182B2 (en) * | 2004-07-20 | 2009-04-14 | Micron Technology, Inc. | DRAM layout with vertical FETs and method of formation |
US7601649B2 (en) * | 2004-08-02 | 2009-10-13 | Micron Technology, Inc. | Zirconium-doped tantalum oxide films |
KR100615093B1 (ko) * | 2004-08-24 | 2006-08-22 | 삼성전자주식회사 | 나노크리스탈을 갖는 비휘발성 메모리 소자의 제조방법 |
US7081421B2 (en) | 2004-08-26 | 2006-07-25 | Micron Technology, Inc. | Lanthanide oxide dielectric layer |
US7588988B2 (en) * | 2004-08-31 | 2009-09-15 | Micron Technology, Inc. | Method of forming apparatus having oxide films formed using atomic layer deposition |
US7271052B1 (en) | 2004-09-02 | 2007-09-18 | Micron Technology, Inc. | Long retention time single transistor vertical memory gain cell |
US7259415B1 (en) | 2004-09-02 | 2007-08-21 | Micron Technology, Inc. | Long retention time single transistor vertical memory gain cell |
US7271433B1 (en) | 2004-09-02 | 2007-09-18 | Micron Technology, Inc. | High-density single transistor vertical memory gain cell |
US7566974B2 (en) * | 2004-09-29 | 2009-07-28 | Sandisk 3D, Llc | Doped polysilicon via connecting polysilicon layers |
US7638850B2 (en) | 2004-10-14 | 2009-12-29 | Saifun Semiconductors Ltd. | Non-volatile memory structure and method of fabrication |
KR100618875B1 (ko) * | 2004-11-08 | 2006-09-04 | 삼성전자주식회사 | 수직 채널 mos 트랜지스터를 구비한 반도체 메모리소자 및 그 제조방법 |
US7381615B2 (en) | 2004-11-23 | 2008-06-03 | Sandisk Corporation | Methods for self-aligned trench filling with grown dielectric for high coupling ratio in semiconductor devices |
US7416956B2 (en) * | 2004-11-23 | 2008-08-26 | Sandisk Corporation | Self-aligned trench filling for narrow gap isolation regions |
US7199419B2 (en) * | 2004-12-13 | 2007-04-03 | Micron Technology, Inc. | Memory structure for reduced floating body effect |
US7218570B2 (en) * | 2004-12-17 | 2007-05-15 | Sandisk 3D Llc | Apparatus and method for memory operations using address-dependent conditions |
US7277336B2 (en) * | 2004-12-28 | 2007-10-02 | Sandisk 3D Llc | Method and apparatus for improving yield in semiconductor devices by guaranteeing health of redundancy information |
US7286439B2 (en) | 2004-12-30 | 2007-10-23 | Sandisk 3D Llc | Apparatus and method for hierarchical decoding of dense memory arrays using multiple levels of multiple-headed decoders |
US7298665B2 (en) * | 2004-12-30 | 2007-11-20 | Sandisk 3D Llc | Dual-mode decoder circuit, integrated circuit memory array incorporating same, and related methods of operation |
US7315474B2 (en) * | 2005-01-03 | 2008-01-01 | Macronix International Co., Ltd | Non-volatile memory cells, memory arrays including the same and methods of operating cells and arrays |
US7642585B2 (en) * | 2005-01-03 | 2010-01-05 | Macronix International Co., Ltd. | Non-volatile memory cells, memory arrays including the same and methods of operating cells and arrays |
US7709334B2 (en) * | 2005-12-09 | 2010-05-04 | Macronix International Co., Ltd. | Stacked non-volatile memory device and methods for fabricating the same |
US7473589B2 (en) * | 2005-12-09 | 2009-01-06 | Macronix International Co., Ltd. | Stacked thin film transistor, non-volatile memory devices and methods for fabricating the same |
US8482052B2 (en) | 2005-01-03 | 2013-07-09 | Macronix International Co., Ltd. | Silicon on insulator and thin film transistor bandgap engineered split gate memory |
US7307268B2 (en) * | 2005-01-19 | 2007-12-11 | Sandisk Corporation | Structure and method for biasing phase change memory array for reliable writing |
KR100695892B1 (ko) * | 2005-01-25 | 2007-03-19 | 삼성전자주식회사 | 굴곡진 프로파일을 갖는 부유 게이트를 구비하는 비휘발성메모리 소자 및 그 형성 방법 |
US7692236B1 (en) * | 2005-02-15 | 2010-04-06 | Spansion Llc | Multiple dual bit memory integrated circuit system |
US7517796B2 (en) * | 2005-02-17 | 2009-04-14 | Sandisk 3D Llc | Method for patterning submicron pillars |
US20060205129A1 (en) * | 2005-02-25 | 2006-09-14 | Semiconductor Energy Laboratory Co., Ltd. | Method for manufacturing semiconductor device |
US7303959B2 (en) * | 2005-03-11 | 2007-12-04 | Sandisk 3D Llc | Bottom-gate SONOS-type cell having a silicide gate |
US7521353B2 (en) | 2005-03-25 | 2009-04-21 | Sandisk 3D Llc | Method for reducing dielectric overetch when making contact to conductive features |
US7422985B2 (en) * | 2005-03-25 | 2008-09-09 | Sandisk 3D Llc | Method for reducing dielectric overetch using a dielectric etch stop at a planar surface |
US7687409B2 (en) | 2005-03-29 | 2010-03-30 | Micron Technology, Inc. | Atomic layer deposited titanium silicon oxide films |
US7142471B2 (en) * | 2005-03-31 | 2006-11-28 | Sandisk 3D Llc | Method and apparatus for incorporating block redundancy in a memory array |
US7359279B2 (en) * | 2005-03-31 | 2008-04-15 | Sandisk 3D Llc | Integrated circuit memory array configuration including decoding compatibility with partial implementation of multiple memory layers |
US7054219B1 (en) | 2005-03-31 | 2006-05-30 | Matrix Semiconductor, Inc. | Transistor layout configuration for tight-pitched memory array lines |
US7272052B2 (en) * | 2005-03-31 | 2007-09-18 | Sandisk 3D Llc | Decoding circuit for non-binary groups of memory line drivers |
US7602006B2 (en) * | 2005-04-20 | 2009-10-13 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor flash device |
US7662729B2 (en) | 2005-04-28 | 2010-02-16 | Micron Technology, Inc. | Atomic layer deposition of a ruthenium layer to a lanthanide oxide dielectric layer |
US7473952B2 (en) * | 2005-05-02 | 2009-01-06 | Infineon Technologies Ag | Memory cell array and method of manufacturing the same |
US7728390B2 (en) * | 2005-05-06 | 2010-06-01 | Taiwan Semiconductor Manufacturing Company, Ltd. | Multi-level interconnection memory device |
US7120046B1 (en) | 2005-05-13 | 2006-10-10 | Micron Technology, Inc. | Memory array with surrounding gate access transistors and capacitors with global and staggered local bit lines |
US7371627B1 (en) | 2005-05-13 | 2008-05-13 | Micron Technology, Inc. | Memory array with ultra-thin etched pillar surround gate access transistors and buried data/bit lines |
US7183608B2 (en) * | 2005-05-26 | 2007-02-27 | Macronix International Co., Ltd. | Memory array including isolation between memory cell and dummy cell portions |
US7378707B2 (en) * | 2005-05-26 | 2008-05-27 | Micron Technology, Inc. | Scalable high density non-volatile memory cells in a contactless memory array |
US7572695B2 (en) | 2005-05-27 | 2009-08-11 | Micron Technology, Inc. | Hafnium titanium oxide films |
US8110863B2 (en) * | 2005-06-01 | 2012-02-07 | Sandisk 3D Llc | TFT charge storage memory cell having high-mobility corrugated channel |
US20060273298A1 (en) * | 2005-06-02 | 2006-12-07 | Matrix Semiconductor, Inc. | Rewriteable memory cell comprising a transistor and resistance-switching material in series |
US7538389B2 (en) * | 2005-06-08 | 2009-05-26 | Micron Technology, Inc. | Capacitorless DRAM on bulk silicon |
US7764549B2 (en) * | 2005-06-20 | 2010-07-27 | Sandisk 3D Llc | Floating body memory cell system and method of manufacture |
US7317641B2 (en) * | 2005-06-20 | 2008-01-08 | Sandisk Corporation | Volatile memory cell two-pass writing method |
US7212454B2 (en) * | 2005-06-22 | 2007-05-01 | Sandisk 3D Llc | Method and apparatus for programming a memory array |
TWI270977B (en) * | 2005-06-27 | 2007-01-11 | Powerchip Semiconductor Corp | Non-volatile memory and manufacturing method and operating method thereof |
US7157345B1 (en) * | 2005-06-29 | 2007-01-02 | Freescale Semiconductor, Inc. | Source side injection storage device and method therefor |
US7132329B1 (en) * | 2005-06-29 | 2006-11-07 | Freescale Semiconductor, Inc. | Source side injection storage device with spacer gates and method therefor |
US7888721B2 (en) * | 2005-07-06 | 2011-02-15 | Micron Technology, Inc. | Surround gate access transistors with grown ultra-thin bodies |
US7426128B2 (en) * | 2005-07-11 | 2008-09-16 | Sandisk 3D Llc | Switchable resistive memory with opposite polarity write pulses |
US7804126B2 (en) * | 2005-07-18 | 2010-09-28 | Saifun Semiconductors Ltd. | Dense non-volatile memory array and method of fabrication |
US7927948B2 (en) | 2005-07-20 | 2011-04-19 | Micron Technology, Inc. | Devices with nanocrystals and methods of formation |
US7619275B2 (en) * | 2005-07-25 | 2009-11-17 | Freescale Semiconductor, Inc. | Process for forming an electronic device including discontinuous storage elements |
US7768051B2 (en) * | 2005-07-25 | 2010-08-03 | Micron Technology, Inc. | DRAM including a vertical surround gate transistor |
US7582929B2 (en) * | 2005-07-25 | 2009-09-01 | Freescale Semiconductor, Inc | Electronic device including discontinuous storage elements |
US7211487B2 (en) * | 2005-07-25 | 2007-05-01 | Freescale Semiconductor, Inc. | Process for forming an electronic device including discontinuous storage elements |
US7619270B2 (en) * | 2005-07-25 | 2009-11-17 | Freescale Semiconductor, Inc. | Electronic device including discontinuous storage elements |
US7211858B2 (en) * | 2005-07-25 | 2007-05-01 | Freescale Semiconductor, Inc. | Split gate storage device including a horizontal first gate and a vertical second gate in a trench |
US7642594B2 (en) * | 2005-07-25 | 2010-01-05 | Freescale Semiconductor, Inc | Electronic device including gate lines, bit lines, or a combination thereof |
US7526739B2 (en) | 2005-07-26 | 2009-04-28 | R3 Logic, Inc. | Methods and systems for computer aided design of 3D integrated circuits |
US7755129B2 (en) * | 2005-08-15 | 2010-07-13 | Macronix International Co., Ltd. | Systems and methods for memory structure comprising a PPROM and an embedded flash memory |
US8110469B2 (en) | 2005-08-30 | 2012-02-07 | Micron Technology, Inc. | Graded dielectric layers |
US7560335B2 (en) * | 2005-08-30 | 2009-07-14 | Micron Technology, Inc. | Memory device transistors |
US7696567B2 (en) * | 2005-08-31 | 2010-04-13 | Micron Technology, Inc | Semiconductor memory device |
KR100697291B1 (ko) * | 2005-09-15 | 2007-03-20 | 삼성전자주식회사 | 비휘발성 반도체 메모리 장치 및 그 제조방법 |
US7800934B2 (en) * | 2005-09-28 | 2010-09-21 | Sandisk 3D Llc | Programming methods to increase window for reverse write 3D cell |
JP4822791B2 (ja) * | 2005-10-04 | 2011-11-24 | ルネサスエレクトロニクス株式会社 | 半導体記憶装置 |
US7521950B2 (en) * | 2005-10-07 | 2009-04-21 | International Business Machines Corporation | Wafer level I/O test and repair enabled by I/O layer |
US7737003B2 (en) * | 2005-10-11 | 2010-06-15 | International Business Machines Corporation | Method and structure for optimizing yield of 3-D chip manufacture |
US20070085129A1 (en) * | 2005-10-14 | 2007-04-19 | Macronix International Co., Ltd. | Nitride read only memory device with buried diffusion spacers and method for making the same |
US20070102724A1 (en) * | 2005-11-10 | 2007-05-10 | Matrix Semiconductor, Inc. | Vertical diode doped with antimony to avoid or limit dopant diffusion |
US20070120180A1 (en) * | 2005-11-25 | 2007-05-31 | Boaz Eitan | Transition areas for dense memory arrays |
US20070120173A1 (en) * | 2005-11-28 | 2007-05-31 | Bohumil Lojek | Non-volatile memory cell with high current output line |
US7615502B2 (en) * | 2005-12-16 | 2009-11-10 | Sandisk 3D Llc | Laser anneal of vertically oriented semiconductor structures while maintaining a dopant profile |
TWI266423B (en) * | 2005-12-23 | 2006-11-11 | Ind Tech Res Inst | Three-dimensional thin-film transistor nano-die memory device and manufacturing method thereof |
KR100713301B1 (ko) * | 2005-12-28 | 2007-05-04 | 동부일렉트로닉스 주식회사 | 다중 병렬 구조의 에프피지에이 구조 및 그 형성 방법 |
JP2007193862A (ja) * | 2006-01-17 | 2007-08-02 | Toshiba Corp | 不揮発性半導体記憶装置 |
US7544980B2 (en) * | 2006-01-27 | 2009-06-09 | Freescale Semiconductor, Inc. | Split gate memory cell in a FinFET |
US20070183189A1 (en) * | 2006-02-08 | 2007-08-09 | Thomas Nirschl | Memory having nanotube transistor access device |
KR100723527B1 (ko) * | 2006-02-13 | 2007-05-30 | 삼성전자주식회사 | 수직 채널 트랜지스터를 구비한 반도체 소자의 제조방법 및그에 의해 제조된 반도체 소자 |
US8357994B1 (en) * | 2006-03-01 | 2013-01-22 | Sandia Corporation | Antifuse with a single silicon-rich silicon nitride insulating layer |
US8008137B2 (en) * | 2006-03-15 | 2011-08-30 | Marvell World Trade Ltd. | Method for fabricating 1T-DRAM on bulk silicon |
US7700461B2 (en) | 2006-03-17 | 2010-04-20 | Samsung Electronics Co., Ltd. | Methods of laterally forming single crystalline thin film regions from seed layers |
WO2007108017A1 (en) | 2006-03-20 | 2007-09-27 | Stmicroelectronics S.R.L. | Semiconductor field-effect transistor, memory cell and memory device |
US8395199B2 (en) * | 2006-03-25 | 2013-03-12 | 4D-S Pty Ltd. | Systems and methods for fabricating self-aligned memory cell |
US7592224B2 (en) | 2006-03-30 | 2009-09-22 | Freescale Semiconductor, Inc | Method of fabricating a storage device including decontinuous storage elements within and between trenches |
US7427549B2 (en) * | 2006-03-31 | 2008-09-23 | Freescale Semiconductor, Inc. | Method of separating a structure in a semiconductor device |
US7425491B2 (en) | 2006-04-04 | 2008-09-16 | Micron Technology, Inc. | Nanowire transistor with surrounding gate |
US20070228491A1 (en) * | 2006-04-04 | 2007-10-04 | Micron Technology, Inc. | Tunneling transistor with sublithographic channel |
US8354311B2 (en) | 2006-04-04 | 2013-01-15 | Micron Technology, Inc. | Method for forming nanofin transistors |
US7491995B2 (en) | 2006-04-04 | 2009-02-17 | Micron Technology, Inc. | DRAM with nanofin transistors |
US8734583B2 (en) * | 2006-04-04 | 2014-05-27 | Micron Technology, Inc. | Grown nanofin transistors |
JP4908901B2 (ja) * | 2006-04-11 | 2012-04-04 | ラピスセミコンダクタ株式会社 | 不揮発性メモリの製造方法 |
US7907450B2 (en) | 2006-05-08 | 2011-03-15 | Macronix International Co., Ltd. | Methods and apparatus for implementing bit-by-bit erase of a flash memory device |
US20070262395A1 (en) | 2006-05-11 | 2007-11-15 | Gibbons Jasper S | Memory cell access devices and methods of making the same |
US8008144B2 (en) | 2006-05-11 | 2011-08-30 | Micron Technology, Inc. | Dual work function recessed access device and methods of forming |
US8860174B2 (en) * | 2006-05-11 | 2014-10-14 | Micron Technology, Inc. | Recessed antifuse structures and methods of making the same |
US8129242B2 (en) * | 2006-05-12 | 2012-03-06 | Macronix International Co., Ltd. | Method of manufacturing a memory device |
US7283414B1 (en) | 2006-05-24 | 2007-10-16 | Sandisk 3D Llc | Method for improving the precision of a temperature-sensor circuit |
US20080017890A1 (en) * | 2006-06-30 | 2008-01-24 | Sandisk 3D Llc | Highly dense monolithic three dimensional memory array and method for forming |
TWI395335B (zh) * | 2006-06-30 | 2013-05-01 | Applied Materials Inc | 奈米結晶的形成 |
US20080012065A1 (en) * | 2006-07-11 | 2008-01-17 | Sandisk Corporation | Bandgap engineered charge storage layer for 3D TFT |
US7932548B2 (en) * | 2006-07-14 | 2011-04-26 | 4D-S Pty Ltd. | Systems and methods for fabricating self-aligned memory cell |
JP2008028257A (ja) * | 2006-07-24 | 2008-02-07 | Toshiba Corp | 半導体装置及びその製造方法 |
US20080032475A1 (en) * | 2006-08-02 | 2008-02-07 | Spansion Llc | Memory cell system with gradient charge isolation |
US20080032464A1 (en) * | 2006-08-02 | 2008-02-07 | Spansion Llc | Memory cell system with nitride charge isolation |
US7619945B2 (en) * | 2006-08-18 | 2009-11-17 | Unity Semiconductor Corporation | Memory power management |
JP4250649B2 (ja) * | 2006-09-26 | 2009-04-08 | 株式会社東芝 | 不揮発性半導体記憶素子及び不揮発性半導体記憶装置 |
US7598130B2 (en) * | 2006-09-28 | 2009-10-06 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method for reducing layout-dependent variations in semiconductor devices |
JP5068053B2 (ja) * | 2006-10-02 | 2012-11-07 | ルネサスエレクトロニクス株式会社 | 不揮発性半導体記憶装置およびその動作方法 |
US7811890B2 (en) | 2006-10-11 | 2010-10-12 | Macronix International Co., Ltd. | Vertical channel transistor structure and manufacturing method thereof |
US8772858B2 (en) | 2006-10-11 | 2014-07-08 | Macronix International Co., Ltd. | Vertical channel memory and manufacturing method thereof and operating method using the same |
KR101131136B1 (ko) * | 2006-10-19 | 2012-04-03 | 삼성전자주식회사 | 리세스-타입 제어 게이트 전극을 구비하는 반도체 메모리소자의 동작 방법 |
KR100881392B1 (ko) * | 2006-10-31 | 2009-02-05 | 주식회사 하이닉스반도체 | 수직형 트랜지스터를 구비한 반도체 소자 및 그의 제조방법 |
US20080111182A1 (en) * | 2006-11-02 | 2008-05-15 | Rustom Irani | Forming buried contact etch stop layer (CESL) in semiconductor devices self-aligned to diffusion |
US7994564B2 (en) * | 2006-11-20 | 2011-08-09 | Taiwan Semiconductor Manufacturing Company, Ltd. | Non-volatile memory cells formed in back-end-of line processes |
US8077536B2 (en) | 2008-08-05 | 2011-12-13 | Zeno Semiconductor, Inc. | Method of operating semiconductor memory device with floating body transistor using silicon controlled rectifier principle |
US9601493B2 (en) | 2006-11-29 | 2017-03-21 | Zeno Semiconductor, Inc | Compact semiconductor memory device having reduced number of contacts, methods of operating and methods of making |
US8547756B2 (en) | 2010-10-04 | 2013-10-01 | Zeno Semiconductor, Inc. | Semiconductor memory device having an electrically floating body transistor |
US8514622B2 (en) | 2007-11-29 | 2013-08-20 | Zeno Semiconductor, Inc. | Compact semiconductor memory device having reduced number of contacts, methods of operating and methods of making |
US8194451B2 (en) | 2007-11-29 | 2012-06-05 | Zeno Semiconductor, Inc. | Memory cells, memory cell arrays, methods of using and methods of making |
US7760548B2 (en) | 2006-11-29 | 2010-07-20 | Yuniarto Widjaja | Semiconductor memory having both volatile and non-volatile functionality and method of operating |
US8159868B2 (en) * | 2008-08-22 | 2012-04-17 | Zeno Semiconductor, Inc. | Semiconductor memory having both volatile and non-volatile functionality including resistance change material and method of operating |
US9391079B2 (en) | 2007-11-29 | 2016-07-12 | Zeno Semiconductor, Inc. | Compact semiconductor memory device having reduced number of contacts, methods of operating and methods of making |
KR100780249B1 (ko) * | 2006-11-30 | 2007-11-27 | 동부일렉트로닉스 주식회사 | 플래시 메모리 소자 |
CN101192611A (zh) * | 2006-12-01 | 2008-06-04 | 张国飙 | 混合层三维存储器 |
KR101490327B1 (ko) | 2006-12-06 | 2015-02-05 | 퓨전-아이오, 인크. | 뱅크 인터리브를 이용한 솔리드-스테이트 스토리지의 명령 관리 장치, 시스템 및 방법 |
US20080150011A1 (en) * | 2006-12-21 | 2008-06-26 | Spansion Llc | Integrated circuit system with memory system |
JP4772656B2 (ja) * | 2006-12-21 | 2011-09-14 | 株式会社東芝 | 不揮発性半導体メモリ |
KR100854861B1 (ko) * | 2006-12-27 | 2008-08-28 | 주식회사 하이닉스반도체 | 비휘발성 메모리 소자 및 그 제조 방법 |
JP2008166437A (ja) * | 2006-12-27 | 2008-07-17 | Spansion Llc | 半導体装置、その制御方法およびその製造方法 |
US20080157169A1 (en) * | 2006-12-28 | 2008-07-03 | Yuan Jack H | Shield plates for reduced field coupling in nonvolatile memory |
US20080160680A1 (en) * | 2006-12-28 | 2008-07-03 | Yuan Jack H | Methods of fabricating shield plates for reduced field coupling in nonvolatile memory |
US7572699B2 (en) * | 2007-01-24 | 2009-08-11 | Freescale Semiconductor, Inc | Process of forming an electronic device including fins and discontinuous storage elements |
US7838922B2 (en) * | 2007-01-24 | 2010-11-23 | Freescale Semiconductor, Inc. | Electronic device including trenches and discontinuous storage elements |
US7651916B2 (en) * | 2007-01-24 | 2010-01-26 | Freescale Semiconductor, Inc | Electronic device including trenches and discontinuous storage elements and processes of forming and using the same |
US7868388B2 (en) * | 2007-01-31 | 2011-01-11 | Sandisk 3D Llc | Embedded memory in a CMOS circuit and methods of forming the same |
US7888200B2 (en) | 2007-01-31 | 2011-02-15 | Sandisk 3D Llc | Embedded memory in a CMOS circuit and methods of forming the same |
US7575973B2 (en) | 2007-03-27 | 2009-08-18 | Sandisk 3D Llc | Method of making three dimensional NAND memory |
WO2008118433A1 (en) * | 2007-03-27 | 2008-10-02 | Sandisk 3D Llc | Three dimensional nand memory and method of making thereof |
US7808038B2 (en) * | 2007-03-27 | 2010-10-05 | Sandisk 3D Llc | Method of making three dimensional NAND memory |
US7851851B2 (en) * | 2007-03-27 | 2010-12-14 | Sandisk 3D Llc | Three dimensional NAND memory |
US7848145B2 (en) | 2007-03-27 | 2010-12-07 | Sandisk 3D Llc | Three dimensional NAND memory |
WO2008118435A1 (en) * | 2007-03-27 | 2008-10-02 | Sandisk 3D Llc | Three dimensional nand memory and method of making thereof |
US7514321B2 (en) | 2007-03-27 | 2009-04-07 | Sandisk 3D Llc | Method of making three dimensional NAND memory |
TWI424536B (zh) * | 2007-03-27 | 2014-01-21 | Sandisk 3D Llc | 三維反及型記憶體及其製作方法 |
US7745265B2 (en) * | 2007-03-27 | 2010-06-29 | Sandisk 3D, Llc | Method of making three dimensional NAND memory |
US7714377B2 (en) * | 2007-04-19 | 2010-05-11 | Qimonda Ag | Integrated circuits and methods of manufacturing thereof |
US8779495B2 (en) * | 2007-04-19 | 2014-07-15 | Qimonda Ag | Stacked SONOS memory |
US7966518B2 (en) * | 2007-05-15 | 2011-06-21 | Sandisk Corporation | Method for repairing a neighborhood of rows in a memory array using a patch table |
US7958390B2 (en) * | 2007-05-15 | 2011-06-07 | Sandisk Corporation | Memory device for repairing a neighborhood of rows in a memory array using a patch table |
US9299568B2 (en) | 2007-05-25 | 2016-03-29 | Cypress Semiconductor Corporation | SONOS ONO stack scaling |
US7923373B2 (en) | 2007-06-04 | 2011-04-12 | Micron Technology, Inc. | Pitch multiplication using self-assembling materials |
TW200849493A (en) * | 2007-06-07 | 2008-12-16 | Promos Technologies Inc | Method for fabricating non-volatile memory |
US8004013B2 (en) | 2007-06-15 | 2011-08-23 | Sandisk 3D Llc | Polycrystalline thin film bipolar transistors |
US7790534B2 (en) * | 2007-06-15 | 2010-09-07 | Sandisk 3D Llc | Method to form low-defect polycrystalline semiconductor material for use in a transistor |
US7855119B2 (en) * | 2007-06-15 | 2010-12-21 | Sandisk 3D Llc | Method for forming polycrystalline thin film bipolar transistors |
US7537968B2 (en) * | 2007-06-19 | 2009-05-26 | Sandisk 3D Llc | Junction diode with reduced reverse current |
US20080315206A1 (en) * | 2007-06-19 | 2008-12-25 | Herner S Brad | Highly Scalable Thin Film Transistor |
KR100950470B1 (ko) * | 2007-06-22 | 2010-03-31 | 주식회사 하이닉스반도체 | 반도체 메모리소자의 스토리지전극 형성방법 |
US7684226B2 (en) * | 2007-06-25 | 2010-03-23 | Sandisk 3D Llc | Method of making high forward current diodes for reverse write 3D cell |
US8072791B2 (en) * | 2007-06-25 | 2011-12-06 | Sandisk 3D Llc | Method of making nonvolatile memory device containing carbon or nitrogen doped diode |
US7830697B2 (en) * | 2007-06-25 | 2010-11-09 | Sandisk 3D Llc | High forward current diodes for reverse write 3D cell |
US8102694B2 (en) * | 2007-06-25 | 2012-01-24 | Sandisk 3D Llc | Nonvolatile memory device containing carbon or nitrogen doped diode |
US7718546B2 (en) * | 2007-06-27 | 2010-05-18 | Sandisk 3D Llc | Method for fabricating a 3-D integrated circuit using a hard mask of silicon-oxynitride on amorphous carbon |
US20090003083A1 (en) * | 2007-06-28 | 2009-01-01 | Sandisk 3D Llc | Memory cell with voltage modulated sidewall poly resistor |
US7701746B2 (en) * | 2007-06-28 | 2010-04-20 | Sandisk 3D, Llc | Method of making memory cell with voltage modulated sidewall poly resistor |
US7759666B2 (en) * | 2007-06-29 | 2010-07-20 | Sandisk 3D Llc | 3D R/W cell with reduced reverse leakage |
US7800939B2 (en) * | 2007-06-29 | 2010-09-21 | Sandisk 3D Llc | Method of making 3D R/W cell with reduced reverse leakage |
US7749838B2 (en) * | 2007-07-06 | 2010-07-06 | Macronix International Co., Ltd. | Fabricating method of non-volatile memory cell |
US8247861B2 (en) * | 2007-07-18 | 2012-08-21 | Infineon Technologies Ag | Semiconductor device and method of making same |
US8679977B2 (en) | 2007-07-25 | 2014-03-25 | Micron Technology, Inc. | Method and apparatus providing multi-planed array memory device |
TW200908102A (en) * | 2007-08-09 | 2009-02-16 | Ind Tech Res Inst | Laser crystallization process and laser process |
US7737488B2 (en) | 2007-08-09 | 2010-06-15 | Macronix International Co., Ltd. | Blocking dielectric engineered charge trapping memory cell with high speed erase |
US8349663B2 (en) * | 2007-09-28 | 2013-01-08 | Sandisk 3D Llc | Vertical diode based memory cells having a lowered programming voltage and methods of forming the same |
US7846782B2 (en) | 2007-09-28 | 2010-12-07 | Sandisk 3D Llc | Diode array and method of making thereof |
US8298931B2 (en) * | 2007-09-28 | 2012-10-30 | Sandisk 3D Llc | Dual damascene with amorphous carbon for 3D deep via/trench application |
US7884475B2 (en) * | 2007-10-16 | 2011-02-08 | International Business Machines Corporation | Conductor structure including manganese oxide capping layer |
US7847338B2 (en) * | 2007-10-24 | 2010-12-07 | Yuniarto Widjaja | Semiconductor memory having both volatile and non-volatile functionality and method of operating |
FR2923646A1 (fr) * | 2007-11-09 | 2009-05-15 | Commissariat Energie Atomique | Cellule memoire sram dotee de transistors a structure multi-canaux verticale |
US8264875B2 (en) | 2010-10-04 | 2012-09-11 | Zeno Semiconducor, Inc. | Semiconductor memory device having an electrically floating body transistor |
US10403361B2 (en) | 2007-11-29 | 2019-09-03 | Zeno Semiconductor, Inc. | Memory cells, memory cell arrays, methods of using and methods of making |
US8679861B2 (en) * | 2007-11-29 | 2014-03-25 | International Business Machines Corporation | Semiconductor chip repair by stacking of a base semiconductor chip and a repair semiconductor chip |
US20090144678A1 (en) * | 2007-11-30 | 2009-06-04 | International Business Machines Corporation | Method and on-chip control apparatus for enhancing process reliability and process variability through 3d integration |
US8174886B2 (en) | 2007-11-29 | 2012-05-08 | Zeno Semiconductor, Inc. | Semiconductor memory having electrically floating body transistor |
US8130547B2 (en) | 2007-11-29 | 2012-03-06 | Zeno Semiconductor, Inc. | Method of maintaining the state of semiconductor memory having electrically floating body transistor |
US8130548B2 (en) * | 2007-11-29 | 2012-03-06 | Zeno Semiconductor, Inc. | Semiconductor memory having electrically floating body transistor |
US9431549B2 (en) | 2007-12-12 | 2016-08-30 | Cypress Semiconductor Corporation | Nonvolatile charge trap memory device having a high dielectric constant blocking region |
US7746680B2 (en) | 2007-12-27 | 2010-06-29 | Sandisk 3D, Llc | Three dimensional hexagonal matrix memory array |
US7764534B2 (en) | 2007-12-28 | 2010-07-27 | Sandisk 3D Llc | Two terminal nonvolatile memory using gate controlled diode elements |
US8394683B2 (en) | 2008-01-15 | 2013-03-12 | Micron Technology, Inc. | Methods of forming semiconductor constructions, and methods of forming NAND unit cells |
US7843730B2 (en) | 2008-01-16 | 2010-11-30 | Freescale Semiconductor, Inc. | Non-volatile memory with reduced charge fluence |
CN101236780B (zh) * | 2008-02-26 | 2012-07-04 | 中国科学院上海微系统与信息技术研究所 | 三维立体结构相变存储器芯片的电路及实现方法 |
US7906818B2 (en) * | 2008-03-13 | 2011-03-15 | Micron Technology, Inc. | Memory array with a pair of memory-cell strings to a single conductive pillar |
JP4649487B2 (ja) * | 2008-03-17 | 2011-03-09 | 株式会社東芝 | 不揮発性半導体記憶装置及びその製造方法 |
US8674434B2 (en) * | 2008-03-24 | 2014-03-18 | Micron Technology, Inc. | Impact ionization devices |
KR101477690B1 (ko) | 2008-04-03 | 2014-12-30 | 삼성전자주식회사 | 비휘발성 메모리 소자 및 그 제조 방법 |
US7804119B2 (en) * | 2008-04-08 | 2010-09-28 | International Business Machines Corporation | Device structures with a hyper-abrupt P-N junction, methods of forming a hyper-abrupt P-N junction, and design structures for an integrated circuit |
US8014200B2 (en) | 2008-04-08 | 2011-09-06 | Zeno Semiconductor, Inc. | Semiconductor memory having volatile and multi-bit, non-volatile functionality and methods of operating |
US7812335B2 (en) * | 2008-04-11 | 2010-10-12 | Sandisk 3D Llc | Sidewall structured switchable resistor cell |
US7830698B2 (en) * | 2008-04-11 | 2010-11-09 | Sandisk 3D Llc | Multilevel nonvolatile memory device containing a carbon storage material and methods of making and using same |
US7923328B2 (en) * | 2008-04-15 | 2011-04-12 | Freescale Semiconductor, Inc. | Split gate non-volatile memory cell with improved endurance and method therefor |
JP2009266944A (ja) | 2008-04-23 | 2009-11-12 | Toshiba Corp | 三次元積層不揮発性半導体メモリ |
US8450835B2 (en) * | 2008-04-29 | 2013-05-28 | Sandisk 3D Llc | Reverse leakage reduction and vertical height shrinking of diode with halo doping |
JP5419384B2 (ja) * | 2008-05-20 | 2014-02-19 | 東京エレクトロン株式会社 | 真空処理装置 |
JP5191803B2 (ja) * | 2008-05-29 | 2013-05-08 | 株式会社東芝 | 不揮発性記憶装置の製造方法 |
JP2009295694A (ja) * | 2008-06-03 | 2009-12-17 | Toshiba Corp | 不揮発性半導体記憶装置及びその製造方法 |
US7915667B2 (en) * | 2008-06-11 | 2011-03-29 | Qimonda Ag | Integrated circuits having a contact region and methods for manufacturing the same |
US8581342B2 (en) * | 2008-06-20 | 2013-11-12 | Infineon Technologies Austria Ag | Semiconductor device with field electrode and method |
US8212237B2 (en) * | 2008-07-09 | 2012-07-03 | Qunano Ab | Nanostructured memory device |
US7825479B2 (en) | 2008-08-06 | 2010-11-02 | International Business Machines Corporation | Electrical antifuse having a multi-thickness dielectric layer |
ATE544155T1 (de) * | 2008-08-07 | 2012-02-15 | Sony Corp | Elektronische vorrichtung für eine rekonfigurierbare logikschaltung |
US7995384B2 (en) | 2008-08-15 | 2011-08-09 | Macronix International Co., Ltd. | Electrically isolated gated diode nonvolatile memory |
USRE47381E1 (en) | 2008-09-03 | 2019-05-07 | Zeno Semiconductor, Inc. | Forming semiconductor cells with regions of varying conductivity |
JP5454945B2 (ja) * | 2008-09-05 | 2014-03-26 | 株式会社東芝 | 記憶装置 |
US8633074B2 (en) * | 2008-09-17 | 2014-01-21 | Spansion Llc | Electrically programmable and erasable memory device and method of fabrication thereof |
KR20100032211A (ko) * | 2008-09-17 | 2010-03-25 | 삼성전자주식회사 | 비휘발성 메모리 소자 및 그 동작 방법 |
KR101502584B1 (ko) * | 2008-10-16 | 2015-03-17 | 삼성전자주식회사 | 비휘발성 메모리 장치 |
US9030867B2 (en) | 2008-10-20 | 2015-05-12 | Seagate Technology Llc | Bipolar CMOS select device for resistive sense memory |
US8173505B2 (en) * | 2008-10-20 | 2012-05-08 | Freescale Semiconductor, Inc. | Method of making a split gate memory cell |
US7824986B2 (en) | 2008-11-05 | 2010-11-02 | Micron Technology, Inc. | Methods of forming a plurality of transistor gates, and methods of forming a plurality of transistor gates having at least two different work functions |
KR20100071211A (ko) * | 2008-12-19 | 2010-06-29 | 삼성전자주식회사 | 셀 어레이로 인가되는 리키지 커런트를 막는 더미 셀 비트 라인 구조를 갖는 반도체 소자 및 그 형성 방법 |
US8089137B2 (en) | 2009-01-07 | 2012-01-03 | Macronix International Co., Ltd. | Integrated circuit memory with single crystal silicon on silicide driver and manufacturing method |
US8093661B2 (en) * | 2009-01-07 | 2012-01-10 | Macronix International Co., Ltd. | Integrated circuit device with single crystal silicon on silicide and manufacturing method |
US11908899B2 (en) | 2009-02-20 | 2024-02-20 | Zeno Semiconductor, Inc. | MOSFET and memory cell having improved drain current through back bias application |
TWI433302B (zh) * | 2009-03-03 | 2014-04-01 | Macronix Int Co Ltd | 積體電路自對準三度空間記憶陣列及其製作方法 |
US8203187B2 (en) * | 2009-03-03 | 2012-06-19 | Macronix International Co., Ltd. | 3D memory array arranged for FN tunneling program and erase |
KR20100099912A (ko) | 2009-03-04 | 2010-09-15 | 삼성전자주식회사 | 반도체 메모리 장치 및 그 제조 방법 |
JP4829320B2 (ja) * | 2009-03-17 | 2011-12-07 | 株式会社東芝 | 不揮発性半導体記憶装置の製造方法 |
KR101539699B1 (ko) * | 2009-03-19 | 2015-07-27 | 삼성전자주식회사 | 3차원 구조의 비휘발성 메모리 소자 및 그 제조방법 |
US8076717B2 (en) * | 2009-05-20 | 2011-12-13 | Micron Technology, Inc. | Vertically-oriented semiconductor selection device for cross-point array memory |
US8350316B2 (en) * | 2009-05-22 | 2013-01-08 | Macronix International Co., Ltd. | Phase change memory cells having vertical channel access transistor and memory plane |
US7968876B2 (en) * | 2009-05-22 | 2011-06-28 | Macronix International Co., Ltd. | Phase change memory cell having vertical channel access transistor |
US8168538B2 (en) * | 2009-05-26 | 2012-05-01 | Macronix International Co., Ltd. | Buried silicide structure and method for making |
US8138541B2 (en) | 2009-07-02 | 2012-03-20 | Micron Technology, Inc. | Memory cells |
US20110002169A1 (en) | 2009-07-06 | 2011-01-06 | Yan Li | Bad Column Management with Bit Information in Non-Volatile Memory Systems |
US8208285B2 (en) * | 2009-07-13 | 2012-06-26 | Seagate Technology Llc | Vertical non-volatile switch with punchthrough access and method of fabrication therefor |
US7993989B2 (en) * | 2009-08-13 | 2011-08-09 | International Business Machines Corporation | Vertical spacer forming and related transistor |
US8207064B2 (en) | 2009-09-17 | 2012-06-26 | Sandisk 3D Llc | 3D polysilicon diode with low contact resistance and method for forming same |
US8164146B2 (en) * | 2009-09-23 | 2012-04-24 | Macronix International Co., Ltd. | Substrate symmetrical silicide source/drain surrounding gate transistor |
US8154128B2 (en) * | 2009-10-14 | 2012-04-10 | Macronix International Co., Ltd. | 3D integrated circuit layer interconnect |
US8383512B2 (en) | 2011-01-19 | 2013-02-26 | Macronix International Co., Ltd. | Method for making multilayer connection structure |
CN102044569B (zh) * | 2009-10-23 | 2013-09-11 | 中芯国际集成电路制造(上海)有限公司 | 电容器及其制造方法 |
US8158967B2 (en) | 2009-11-23 | 2012-04-17 | Micron Technology, Inc. | Integrated memory arrays |
US8148222B2 (en) * | 2009-12-10 | 2012-04-03 | Micron Technology, Inc. | Cross-point diode arrays and methods of manufacturing cross-point diode arrays |
US7985649B1 (en) * | 2010-01-07 | 2011-07-26 | Freescale Semiconductor, Inc. | Method of making a semiconductor structure useful in making a split gate non-volatile memory cell |
US8299519B2 (en) * | 2010-01-11 | 2012-10-30 | International Business Machines Corporation | Read transistor for single poly non-volatile memory using body contacted SOI device |
WO2011097389A1 (en) * | 2010-02-04 | 2011-08-11 | Sandisk 3D Llc | Non-volatile memory cell containing nanodots and method of making thereof |
IN2012DN06399A (ja) | 2010-02-07 | 2015-10-02 | Zeno Semiconductor Inc | |
US8513722B2 (en) * | 2010-03-02 | 2013-08-20 | Micron Technology, Inc. | Floating body cell structures, devices including same, and methods for forming same |
US8288795B2 (en) | 2010-03-02 | 2012-10-16 | Micron Technology, Inc. | Thyristor based memory cells, devices and systems including the same and methods for forming the same |
US8507966B2 (en) | 2010-03-02 | 2013-08-13 | Micron Technology, Inc. | Semiconductor cells, arrays, devices and systems having a buried conductive line and methods for forming the same |
US10340276B2 (en) | 2010-03-02 | 2019-07-02 | Zeno Semiconductor, Inc. | Method of maintaining the state of semiconductor memory having electrically floating body transistor |
US9922981B2 (en) | 2010-03-02 | 2018-03-20 | Zeno Semiconductor, Inc. | Compact semiconductor memory device having reduced number of contacts, methods of operating and methods of making |
US9646869B2 (en) | 2010-03-02 | 2017-05-09 | Micron Technology, Inc. | Semiconductor devices including a diode structure over a conductive strap and methods of forming such semiconductor devices |
US9608119B2 (en) | 2010-03-02 | 2017-03-28 | Micron Technology, Inc. | Semiconductor-metal-on-insulator structures, methods of forming such structures, and semiconductor devices including such structures |
US10461084B2 (en) | 2010-03-02 | 2019-10-29 | Zeno Semiconductor, Inc. | Compact semiconductor memory device having reduced number of contacts, methods of operating and methods of making |
US8547738B2 (en) * | 2010-03-15 | 2013-10-01 | Micron Technology, Inc. | Techniques for providing a semiconductor memory device |
US8437192B2 (en) | 2010-05-21 | 2013-05-07 | Macronix International Co., Ltd. | 3D two bit-per-cell NAND flash memory |
US8355281B2 (en) * | 2010-04-20 | 2013-01-15 | Micron Technology, Inc. | Flash memory having multi-level architecture |
WO2011152254A1 (en) | 2010-06-04 | 2011-12-08 | Semiconductor Energy Laboratory Co., Ltd. | Semiconductor device |
KR101894897B1 (ko) * | 2010-06-04 | 2018-09-04 | 가부시키가이샤 한도오따이 에네루기 켄큐쇼 | 반도체 장치 |
US9570678B1 (en) | 2010-06-08 | 2017-02-14 | Crossbar, Inc. | Resistive RAM with preferental filament formation region and methods |
US8946046B1 (en) | 2012-05-02 | 2015-02-03 | Crossbar, Inc. | Guided path for forming a conductive filament in RRAM |
US9601692B1 (en) | 2010-07-13 | 2017-03-21 | Crossbar, Inc. | Hetero-switching layer in a RRAM device and method |
US9012307B2 (en) | 2010-07-13 | 2015-04-21 | Crossbar, Inc. | Two terminal resistive switching device structure and method of fabricating |
WO2011156787A2 (en) | 2010-06-11 | 2011-12-15 | Crossbar, Inc. | Pillar structure for memory device and method |
US9159739B2 (en) | 2010-06-30 | 2015-10-13 | Sandisk Technologies Inc. | Floating gate ultrahigh density vertical NAND flash memory |
JP2013534058A (ja) | 2010-06-30 | 2013-08-29 | サンディスク テクノロジィース インコーポレイテッド | 超高密度垂直nandメモリデバイスおよびそれを作る方法 |
US8349681B2 (en) | 2010-06-30 | 2013-01-08 | Sandisk Technologies Inc. | Ultrahigh density monolithic, three dimensional vertical NAND memory device |
US8193054B2 (en) | 2010-06-30 | 2012-06-05 | SanDisk Technologies, Inc. | Ultrahigh density vertical NAND memory device and method of making thereof |
US8187936B2 (en) | 2010-06-30 | 2012-05-29 | SanDisk Technologies, Inc. | Ultrahigh density vertical NAND memory device and method of making thereof |
US9397093B2 (en) | 2013-02-08 | 2016-07-19 | Sandisk Technologies Inc. | Three dimensional NAND device with semiconductor, metal or silicide floating gates and method of making thereof |
US8928061B2 (en) | 2010-06-30 | 2015-01-06 | SanDisk Technologies, Inc. | Three dimensional NAND device with silicide containing floating gates |
US10128261B2 (en) | 2010-06-30 | 2018-11-13 | Sandisk Technologies Llc | Cobalt-containing conductive layers for control gate electrodes in a memory structure |
US8198672B2 (en) | 2010-06-30 | 2012-06-12 | SanDisk Technologies, Inc. | Ultrahigh density vertical NAND memory device |
US8890233B2 (en) | 2010-07-06 | 2014-11-18 | Macronix International Co., Ltd. | 3D memory array with improved SSL and BL contact layout |
US8374018B2 (en) | 2010-07-09 | 2013-02-12 | Crossbar, Inc. | Resistive memory using SiGe material |
US8884261B2 (en) | 2010-08-23 | 2014-11-11 | Crossbar, Inc. | Device switching using layered device structure |
US8168506B2 (en) | 2010-07-13 | 2012-05-01 | Crossbar, Inc. | On/off ratio for non-volatile memory device and method |
US8947908B2 (en) | 2010-11-04 | 2015-02-03 | Crossbar, Inc. | Hetero-switching layer in a RRAM device and method |
US8569172B1 (en) | 2012-08-14 | 2013-10-29 | Crossbar, Inc. | Noble metal/non-noble metal electrode for RRAM applications |
US8492195B2 (en) | 2010-08-23 | 2013-07-23 | Crossbar, Inc. | Method for forming stackable non-volatile resistive switching memory devices |
US9401475B1 (en) | 2010-08-23 | 2016-07-26 | Crossbar, Inc. | Method for silver deposition for a non-volatile memory device |
US8889521B1 (en) | 2012-09-14 | 2014-11-18 | Crossbar, Inc. | Method for silver deposition for a non-volatile memory device |
US8557650B2 (en) | 2010-08-31 | 2013-10-15 | Freescale Semiconductor, Inc. | Patterning a gate stack of a non-volatile memory (NVM) using a dummy gate stack |
US8202778B2 (en) * | 2010-08-31 | 2012-06-19 | Freescale Semiconductor, Inc. | Patterning a gate stack of a non-volatile memory (NVM) with simultaneous etch in non-NVM area |
US8659944B2 (en) | 2010-09-01 | 2014-02-25 | Macronix International Co., Ltd. | Memory architecture of 3D array with diode in memory string |
JP5075959B2 (ja) * | 2010-09-14 | 2012-11-21 | 株式会社東芝 | 抵抗変化メモリ |
US8883589B2 (en) * | 2010-09-28 | 2014-11-11 | Sandisk 3D Llc | Counter doping compensation methods to improve diode performance |
US8558212B2 (en) | 2010-09-29 | 2013-10-15 | Crossbar, Inc. | Conductive path in switching material in a resistive random access memory device and control |
US8391049B2 (en) * | 2010-09-29 | 2013-03-05 | Crossbar, Inc. | Resistor structure for a non-volatile memory device and method |
KR101723864B1 (ko) * | 2010-10-08 | 2017-04-07 | 삼성전자주식회사 | 수직 채널 트랜지스터를 구비하는 반도체 장치 및 그 제조 방법 |
KR101736235B1 (ko) * | 2010-10-08 | 2017-05-17 | 삼성전자주식회사 | 수직 채널 트랜지스터를 구비하는 반도체 장치 및 그 제조 방법 |
US11600667B1 (en) * | 2010-10-11 | 2023-03-07 | Monolithic 3D Inc. | Method to produce 3D semiconductor devices and structures with memory |
US9490261B2 (en) * | 2010-10-21 | 2016-11-08 | Cypress Semiconductor Ltd. | Minimizing disturbs in dense non volatile memory arrays |
US8361856B2 (en) | 2010-11-01 | 2013-01-29 | Micron Technology, Inc. | Memory cells, arrays of memory cells, and methods of forming memory cells |
US8329567B2 (en) | 2010-11-03 | 2012-12-11 | Micron Technology, Inc. | Methods of forming doped regions in semiconductor substrates |
USRE46335E1 (en) | 2010-11-04 | 2017-03-07 | Crossbar, Inc. | Switching device having a non-linear element |
US8502185B2 (en) | 2011-05-31 | 2013-08-06 | Crossbar, Inc. | Switching device having a non-linear element |
US8582359B2 (en) | 2010-11-16 | 2013-11-12 | Zeno Semiconductor, Inc. | Dual-port semiconductor memory and first-in first-out (FIFO) memory having electrically floating body transistor |
US8351243B2 (en) | 2010-11-16 | 2013-01-08 | Sandisk 3D Llc | Transistor driven 3D memory |
US11482439B2 (en) * | 2010-11-18 | 2022-10-25 | Monolithic 3D Inc. | Methods for producing a 3D semiconductor memory device comprising charge trap junction-less transistors |
US20220208594A1 (en) * | 2010-11-18 | 2022-06-30 | Monolithic 3D Inc. | Various 3d semiconductor devices and structures with memory cells |
KR20120069034A (ko) * | 2010-12-20 | 2012-06-28 | 삼성전자주식회사 | 수직형 메모리 장치 및 그 제조 방법 |
US8930174B2 (en) | 2010-12-28 | 2015-01-06 | Crossbar, Inc. | Modeling technique for resistive random access memory (RRAM) cells |
KR101172272B1 (ko) * | 2010-12-30 | 2012-08-09 | 에스케이하이닉스 주식회사 | 매립비트라인을 구비한 반도체장치 제조 방법 |
KR20120077505A (ko) * | 2010-12-30 | 2012-07-10 | 삼성전자주식회사 | 비휘발성 반도체 메모리 장치 및 그 제조 방법 |
US9153623B1 (en) | 2010-12-31 | 2015-10-06 | Crossbar, Inc. | Thin film transistor steering element for a non-volatile memory device |
US8815696B1 (en) | 2010-12-31 | 2014-08-26 | Crossbar, Inc. | Disturb-resistant non-volatile memory device using via-fill and etchback technique |
US8791010B1 (en) | 2010-12-31 | 2014-07-29 | Crossbar, Inc. | Silver interconnects for stacked non-volatile memory device and method |
TWI447851B (zh) * | 2011-01-19 | 2014-08-01 | Macronix Int Co Ltd | 多層連線結構及製造方法 |
US8630114B2 (en) * | 2011-01-19 | 2014-01-14 | Macronix International Co., Ltd. | Memory architecture of 3D NOR array |
US8486791B2 (en) | 2011-01-19 | 2013-07-16 | Macronix International Co., Ltd. | Mufti-layer single crystal 3D stackable memory |
US8598032B2 (en) | 2011-01-19 | 2013-12-03 | Macronix International Co., Ltd | Reduced number of masks for IC device with stacked contact levels |
US8503213B2 (en) | 2011-01-19 | 2013-08-06 | Macronix International Co., Ltd. | Memory architecture of 3D array with alternating memory string orientation and string select structures |
US8642452B2 (en) * | 2011-01-24 | 2014-02-04 | International Business Machines Corporation | Semiconductor-on-insulator device with asymmetric structure |
US9431400B2 (en) * | 2011-02-08 | 2016-08-30 | Semiconductor Energy Laboratory Co., Ltd. | Semiconductor memory device and method for manufacturing the same |
US8598621B2 (en) | 2011-02-11 | 2013-12-03 | Micron Technology, Inc. | Memory cells, memory arrays, methods of forming memory cells, and methods of forming a shared doped semiconductor region of a vertically oriented thyristor and a vertically oriented access transistor |
US8450175B2 (en) * | 2011-02-22 | 2013-05-28 | Micron Technology, Inc. | Methods of forming a vertical transistor and at least a conductive line electrically coupled therewith |
US8952418B2 (en) | 2011-03-01 | 2015-02-10 | Micron Technology, Inc. | Gated bipolar junction transistors |
KR101187641B1 (ko) * | 2011-03-04 | 2012-10-08 | 에스케이하이닉스 주식회사 | 비휘발성 메모리 장치, 그 제조 방법, 및 그 동작 방법 |
US8519431B2 (en) | 2011-03-08 | 2013-08-27 | Micron Technology, Inc. | Thyristors |
WO2012121265A1 (en) * | 2011-03-10 | 2012-09-13 | Semiconductor Energy Laboratory Co., Ltd. | Memory device and method for manufacturing the same |
US8836137B2 (en) | 2012-04-19 | 2014-09-16 | Macronix International Co., Ltd. | Method for creating a 3D stacked multichip module |
US8957458B2 (en) | 2011-03-24 | 2015-02-17 | Zeno Semiconductor, Inc. | Asymmetric semiconductor memory device having electrically floating body transistor |
US8963231B2 (en) * | 2011-03-29 | 2015-02-24 | Samsung Electronics Co., Ltd. | Three dimensional semiconductor memory devices and methods of fabricating the same |
US9240405B2 (en) | 2011-04-19 | 2016-01-19 | Macronix International Co., Ltd. | Memory with off-chip controller |
JP2012234980A (ja) * | 2011-05-02 | 2012-11-29 | Toshiba Corp | 不揮発性半導体記憶装置とその製造方法 |
US8415721B2 (en) * | 2011-05-23 | 2013-04-09 | Flashsilicon Incorporation | Field side sub-bitline nor flash array and method of fabricating the same |
JP2012244180A (ja) | 2011-05-24 | 2012-12-10 | Macronix Internatl Co Ltd | 多層接続構造及びその製造方法 |
US8569831B2 (en) * | 2011-05-27 | 2013-10-29 | Micron Technology, Inc. | Integrated circuit arrays and semiconductor constructions |
JP5816570B2 (ja) | 2011-05-27 | 2015-11-18 | ルネサスエレクトロニクス株式会社 | 半導体装置の製造方法および半導体装置 |
US9620206B2 (en) | 2011-05-31 | 2017-04-11 | Crossbar, Inc. | Memory array architecture with two-terminal memory cells |
US9437555B2 (en) * | 2011-06-07 | 2016-09-06 | Verisiti, Inc. | Semiconductor device having features to prevent reverse engineering |
US8619459B1 (en) | 2011-06-23 | 2013-12-31 | Crossbar, Inc. | High operating speed resistive random access memory |
US8946669B1 (en) | 2012-04-05 | 2015-02-03 | Crossbar, Inc. | Resistive memory device and fabrication methods |
US9564587B1 (en) | 2011-06-30 | 2017-02-07 | Crossbar, Inc. | Three-dimensional two-terminal memory with enhanced electric field and segmented interconnects |
US9166163B2 (en) | 2011-06-30 | 2015-10-20 | Crossbar, Inc. | Sub-oxide interface layer for two-terminal memory |
US9627443B2 (en) | 2011-06-30 | 2017-04-18 | Crossbar, Inc. | Three-dimensional oblique two-terminal memory with enhanced electric field |
CN103828047A (zh) | 2011-07-22 | 2014-05-28 | 科洛斯巴股份有限公司 | 用于非易失性存储器装置的p+硅锗材料的种子层及方法 |
US8772848B2 (en) | 2011-07-26 | 2014-07-08 | Micron Technology, Inc. | Circuit structures, memory circuitry, and methods |
US8674724B2 (en) | 2011-07-29 | 2014-03-18 | Crossbar, Inc. | Field programmable gate array utilizing two-terminal non-volatile memory |
US9729155B2 (en) | 2011-07-29 | 2017-08-08 | Crossbar, Inc. | Field programmable gate array utilizing two-terminal non-volatile memory |
US10056907B1 (en) | 2011-07-29 | 2018-08-21 | Crossbar, Inc. | Field programmable gate array utilizing two-terminal non-volatile memory |
US8802525B2 (en) | 2011-08-08 | 2014-08-12 | Micron Technology, Inc. | Methods of forming charge storage structures including etching diffused regions to form recesses |
US8767482B2 (en) * | 2011-08-18 | 2014-07-01 | Micron Technology, Inc. | Apparatuses, devices and methods for sensing a snapback event in a circuit |
US9401363B2 (en) | 2011-08-23 | 2016-07-26 | Micron Technology, Inc. | Vertical transistor devices, memory arrays, and methods of forming vertical transistor devices |
US9177872B2 (en) * | 2011-09-16 | 2015-11-03 | Micron Technology, Inc. | Memory cells, semiconductor devices, systems including such cells, and methods of fabrication |
US8664076B2 (en) * | 2011-09-21 | 2014-03-04 | Texas Instruments Incorporated | Method of forming a robust, modular MIS (metal-insulator-semiconductor) capacitor with improved capacitance density |
US8574992B2 (en) | 2011-09-22 | 2013-11-05 | Macronix International Co., Ltd. | Contact architecture for 3D memory array |
US8541882B2 (en) | 2011-09-22 | 2013-09-24 | Macronix International Co. Ltd. | Stacked IC device with recessed conductive layers adjacent to interlevel conductors |
US9025358B2 (en) | 2011-10-13 | 2015-05-05 | Zeno Semiconductor Inc | Semiconductor memory having both volatile and non-volatile functionality comprising resistive change material and method of operating |
KR101684310B1 (ko) * | 2011-11-04 | 2016-12-08 | 인텔 코포레이션 | 자기 정렬 캡의 형성 방법 및 장치 |
US9082656B2 (en) | 2011-11-11 | 2015-07-14 | Macronix International Co., Ltd. | NAND flash with non-trapping switch transistors |
KR101298327B1 (ko) * | 2011-12-09 | 2013-08-20 | 제주대학교 산학협력단 | 광 저장 장치 및 방법 |
US8748258B2 (en) | 2011-12-12 | 2014-06-10 | International Business Machines Corporation | Method and structure for forming on-chip high quality capacitors with ETSOI transistors |
US8709890B2 (en) | 2011-12-12 | 2014-04-29 | International Business Machines Corporation | Method and structure for forming ETSOI capacitors, diodes, resistors and back gate contacts |
US8570806B2 (en) | 2011-12-13 | 2013-10-29 | Macronix International Co., Ltd. | Z-direction decoding for three dimensional memory array |
US9035275B2 (en) | 2011-12-19 | 2015-05-19 | Macronix International Co., Ltd. | Three dimensional memory array adjacent to trench sidewalls |
KR20130070150A (ko) * | 2011-12-19 | 2013-06-27 | 에스케이하이닉스 주식회사 | 3차원 비휘발성 메모리 소자, 메모리 시스템 및 그 제조 방법 |
KR20130075348A (ko) | 2011-12-27 | 2013-07-05 | 에스케이하이닉스 주식회사 | 매립비트라인을 구비한 반도체장치 및 그 제조 방법 |
US8587998B2 (en) | 2012-01-06 | 2013-11-19 | Macronix International Co., Ltd. | 3D memory array with read bit line shielding |
US9230651B2 (en) | 2012-04-08 | 2016-01-05 | Zeno Semiconductor, Inc. | Memory device having electrically floating body transitor |
CN104471648B (zh) | 2012-02-16 | 2017-07-21 | 芝诺半导体有限公司 | 包括初级和二级电晶体的存储单元 |
US9036391B2 (en) | 2012-03-06 | 2015-05-19 | Micron Technology, Inc. | Arrays of vertically-oriented transistors, memory arrays including vertically-oriented transistors, and memory cells |
KR20130103942A (ko) * | 2012-03-12 | 2013-09-25 | 에스케이하이닉스 주식회사 | 무접합 수직 게이트 트랜지스터를 갖는 반도체 소자 및 그 제조 방법 |
CN102637641B (zh) * | 2012-03-20 | 2015-05-20 | 华中科技大学 | 一种相变随机存储器阵列与外围电路芯片的集成方法 |
US8878278B2 (en) | 2012-03-21 | 2014-11-04 | Sandisk Technologies Inc. | Compact three dimensional vertical NAND and method of making thereof |
US9087576B1 (en) | 2012-03-29 | 2015-07-21 | Crossbar, Inc. | Low temperature fabrication method for a three-dimensional memory device and structure |
TWI676265B (zh) * | 2012-03-31 | 2019-11-01 | 愛爾蘭商經度閃存解決方案有限公司 | 具有高介電常數阻擋區域之非揮發性電荷擷取記憶體裝置 |
KR20130113212A (ko) * | 2012-04-05 | 2013-10-15 | 에스케이하이닉스 주식회사 | 비휘발성 메모리 장치 및 그 제조 방법 |
US8847302B2 (en) | 2012-04-10 | 2014-09-30 | Sandisk Technologies Inc. | Vertical NAND device with low capacitance and silicided word lines |
US9685608B2 (en) | 2012-04-13 | 2017-06-20 | Crossbar, Inc. | Reduced diffusion in metal electrode for two-terminal memory |
US8658476B1 (en) | 2012-04-20 | 2014-02-25 | Crossbar, Inc. | Low temperature P+ polycrystalline silicon material for non-volatile memory device |
US8796658B1 (en) | 2012-05-07 | 2014-08-05 | Crossbar, Inc. | Filamentary based non-volatile resistive memory device and method |
US8765566B2 (en) | 2012-05-10 | 2014-07-01 | Crossbar, Inc. | Line and space architecture for a non-volatile memory device |
US8975705B2 (en) * | 2012-05-21 | 2015-03-10 | Unisantis Electronics Singapore Pte. Ltd. | Semiconductor device |
US8592889B1 (en) * | 2012-05-21 | 2013-11-26 | United Microelectronics Corp. | Memory structure |
US8828884B2 (en) | 2012-05-23 | 2014-09-09 | Sandisk Technologies Inc. | Multi-level contact to a 3D memory array and method of making |
US9331273B2 (en) * | 2012-05-24 | 2016-05-03 | SK Hynix Inc. | Memory cell array and variable resistive memory device including the same |
KR101430415B1 (ko) * | 2012-06-09 | 2014-08-14 | 서울대학교산학협력단 | 게이트 다이오드 구조를 갖는 메모리 셀 스트링 및 이를 이용한 메모리 어레이 |
US8987098B2 (en) | 2012-06-19 | 2015-03-24 | Macronix International Co., Ltd. | Damascene word line |
US9029936B2 (en) | 2012-07-02 | 2015-05-12 | Sandisk Technologies Inc. | Non-volatile memory structure containing nanodots and continuous metal layer charge traps and method of making thereof |
US8658499B2 (en) | 2012-07-09 | 2014-02-25 | Sandisk Technologies Inc. | Three dimensional NAND device and method of charge trap layer separation and floating gate formation in the NAND device |
FR2993389B1 (fr) * | 2012-07-10 | 2015-02-27 | Soitec Silicon On Insulator | Antifusible |
US8896096B2 (en) | 2012-07-19 | 2014-11-25 | Taiwan Semiconductor Manufacturing Company, Ltd. | Process-compatible decoupling capacitor and method for making the same |
US8633099B1 (en) | 2012-07-19 | 2014-01-21 | Macronix International Co., Ltd. | Method for forming interlayer connectors in a three-dimensional stacked IC device |
US8927957B2 (en) | 2012-08-09 | 2015-01-06 | Macronix International Co., Ltd. | Sidewall diode driving device and memory using same |
US9583701B1 (en) | 2012-08-14 | 2017-02-28 | Crossbar, Inc. | Methods for fabricating resistive memory device switching material using ion implantation |
US9741765B1 (en) | 2012-08-14 | 2017-08-22 | Crossbar, Inc. | Monolithically integrated resistive memory using integrated-circuit foundry compatible processes |
US8614126B1 (en) | 2012-08-15 | 2013-12-24 | Sandisk Technologies Inc. | Method of making a three-dimensional memory array with etch stop |
US9006060B2 (en) | 2012-08-21 | 2015-04-14 | Micron Technology, Inc. | N-type field effect transistors, arrays comprising N-type vertically-oriented transistors, methods of forming an N-type field effect transistor, and methods of forming an array comprising vertically-oriented N-type transistors |
US9129896B2 (en) | 2012-08-21 | 2015-09-08 | Micron Technology, Inc. | Arrays comprising vertically-oriented transistors, integrated circuitry comprising a conductive line buried in silicon-comprising semiconductor material, methods of forming a plurality of conductive lines buried in silicon-comprising semiconductor material, and methods of forming an array comprising vertically-oriented transistors |
US8736069B2 (en) | 2012-08-23 | 2014-05-27 | Macronix International Co., Ltd. | Multi-level vertical plug formation with stop layers of increasing thicknesses |
US8946673B1 (en) | 2012-08-24 | 2015-02-03 | Crossbar, Inc. | Resistive switching device structure with improved data retention for non-volatile memory device and method |
US9478550B2 (en) | 2012-08-27 | 2016-10-25 | Micron Technology, Inc. | Arrays of vertically-oriented transistors, and memory arrays including vertically-oriented transistors |
JP2014049745A (ja) * | 2012-08-31 | 2014-03-17 | Toshiba Corp | 半導体記憶装置、及びその製造方法 |
US9853053B2 (en) | 2012-09-10 | 2017-12-26 | 3B Technologies, Inc. | Three dimension integrated circuits employing thin film transistors |
US8897073B2 (en) | 2012-09-14 | 2014-11-25 | Freescale Semiconductor, Inc. | NVM with charge pump and method therefor |
US9012318B2 (en) | 2012-09-21 | 2015-04-21 | Micron Technology, Inc. | Etching polysilicon |
US9312483B2 (en) | 2012-09-24 | 2016-04-12 | Crossbar, Inc. | Electrode structure for a non-volatile memory device and method |
US9576616B2 (en) | 2012-10-10 | 2017-02-21 | Crossbar, Inc. | Non-volatile memory with overwrite capability and low write amplification |
TWI555068B (zh) | 2012-11-08 | 2016-10-21 | 半導體能源研究所股份有限公司 | 金屬氧化物膜及形成金屬氧化物膜的方法 |
US11068620B2 (en) | 2012-11-09 | 2021-07-20 | Crossbar, Inc. | Secure circuit integrated with memory layer |
US8982647B2 (en) | 2012-11-14 | 2015-03-17 | Crossbar, Inc. | Resistive random access memory equalization and sensing |
US9196315B2 (en) | 2012-11-19 | 2015-11-24 | Macronix International Co., Ltd. | Three dimensional gate structures with horizontal extensions |
US8823075B2 (en) | 2012-11-30 | 2014-09-02 | Sandisk Technologies Inc. | Select gate formation for nanodot flat cell |
US10403766B2 (en) | 2012-12-04 | 2019-09-03 | Conversant Intellectual Property Management Inc. | NAND flash memory with vertical cell stack structure and method for manufacturing same |
US9412790B1 (en) | 2012-12-04 | 2016-08-09 | Crossbar, Inc. | Scalable RRAM device architecture for a non-volatile memory device and method |
US9406379B2 (en) | 2013-01-03 | 2016-08-02 | Crossbar, Inc. | Resistive random access memory with non-linear current-voltage relationship |
US9224474B2 (en) * | 2013-01-09 | 2015-12-29 | Macronix International Co., Ltd. | P-channel 3D memory array and methods to program and erase the same at bit level and block level utilizing band-to-band and fowler-nordheim tunneling principals |
US8759899B1 (en) | 2013-01-11 | 2014-06-24 | Macronix International Co., Ltd. | Integration of 3D stacked IC device with peripheral circuits |
US9208880B2 (en) | 2013-01-14 | 2015-12-08 | Zeno Semiconductor, Inc. | Content addressable memory device having electrically floating body transistor |
US8946807B2 (en) | 2013-01-24 | 2015-02-03 | Micron Technology, Inc. | 3D memory |
US9171636B2 (en) | 2013-01-29 | 2015-10-27 | Macronix International Co. Ltd. | Hot carrier generation and programming in NAND flash |
US9112145B1 (en) | 2013-01-31 | 2015-08-18 | Crossbar, Inc. | Rectified switching of two-terminal memory via real time filament formation |
US9324942B1 (en) | 2013-01-31 | 2016-04-26 | Crossbar, Inc. | Resistive memory cell with solid state diode |
US8934280B1 (en) | 2013-02-06 | 2015-01-13 | Crossbar, Inc. | Capacitive discharge programming for two-terminal memory cells |
US8987914B2 (en) | 2013-02-07 | 2015-03-24 | Macronix International Co., Ltd. | Conductor structure and method |
JP2014165457A (ja) * | 2013-02-27 | 2014-09-08 | Toshiba Corp | 不揮発性半導体記憶装置の製造方法 |
US8987802B2 (en) | 2013-02-28 | 2015-03-24 | Sandisk Technologies Inc. | Method for using nanoparticles to make uniform discrete floating gate layer |
US9112047B2 (en) | 2013-02-28 | 2015-08-18 | Freescale Semiconductor, Inc. | Split gate non-volatile memory (NVM) cell and method therefor |
JP2014175348A (ja) | 2013-03-06 | 2014-09-22 | Toshiba Corp | 不揮発性半導体記憶装置 |
US9029922B2 (en) | 2013-03-09 | 2015-05-12 | Zeno Semiconductor, Inc. | Memory device comprising electrically floating body transistor |
US9331181B2 (en) | 2013-03-11 | 2016-05-03 | Sandisk Technologies Inc. | Nanodot enhanced hybrid floating gate for non-volatile memory devices |
US8930866B2 (en) * | 2013-03-11 | 2015-01-06 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of converting between non-volatile memory technologies and system for implementing the method |
US8993429B2 (en) | 2013-03-12 | 2015-03-31 | Macronix International Co., Ltd. | Interlayer conductor structure and method |
US9698153B2 (en) | 2013-03-12 | 2017-07-04 | Sandisk Technologies Llc | Vertical NAND and method of making thereof using sequential stack etching and self-aligned landing pad |
US9515080B2 (en) | 2013-03-12 | 2016-12-06 | Sandisk Technologies Llc | Vertical NAND and method of making thereof using sequential stack etching and landing pad |
US9449982B2 (en) | 2013-03-12 | 2016-09-20 | Sandisk Technologies Llc | Method of making a vertical NAND device using a sacrificial layer with air gap and sequential etching of multilayer stacks |
US9230987B2 (en) | 2014-02-20 | 2016-01-05 | Sandisk Technologies Inc. | Multilevel memory stack structure and methods of manufacturing the same |
US8946023B2 (en) | 2013-03-12 | 2015-02-03 | Sandisk Technologies Inc. | Method of making a vertical NAND device using sequential etching of multilayer stacks |
US9214351B2 (en) | 2013-03-12 | 2015-12-15 | Macronix International Co., Ltd. | Memory architecture of thin film 3D array |
US8933457B2 (en) * | 2013-03-13 | 2015-01-13 | Macronix International Co., Ltd. | 3D memory array including crystallized channels |
US9379126B2 (en) | 2013-03-14 | 2016-06-28 | Macronix International Co., Ltd. | Damascene conductor for a 3D device |
US9184175B2 (en) | 2013-03-15 | 2015-11-10 | Micron Technology, Inc. | Floating gate memory cells in vertical memory |
US8901529B2 (en) * | 2013-03-15 | 2014-12-02 | International Business Machines Corporation | Memory array with self-aligned epitaxially grown memory elements and annular FET |
US9276011B2 (en) | 2013-03-15 | 2016-03-01 | Micron Technology, Inc. | Cell pillar structures and integrated flows |
US9111853B2 (en) | 2013-03-15 | 2015-08-18 | Micron Technology, Inc. | Methods of forming doped elements of semiconductor device structures |
US9153650B2 (en) | 2013-03-19 | 2015-10-06 | Semiconductor Energy Laboratory Co., Ltd. | Oxide semiconductor |
US9099496B2 (en) | 2013-04-01 | 2015-08-04 | Sandisk Technologies Inc. | Method of forming an active area with floating gate negative offset profile in FG NAND memory |
US9093480B2 (en) | 2013-04-01 | 2015-07-28 | Sandisk Technologies Inc. | Spacer passivation for high aspect ratio etching of multilayer stacks for three dimensional NAND device |
US9275723B2 (en) | 2013-04-10 | 2016-03-01 | Zeno Semiconductor, Inc. | Scalable floating body memory cell for memory compilers and method of using floating body memories with memory compilers |
KR102002942B1 (ko) * | 2013-04-18 | 2019-07-24 | 에스케이하이닉스 주식회사 | 비휘발성 메모리 장치 및 그 제조방법 |
US9368625B2 (en) | 2013-05-01 | 2016-06-14 | Zeno Semiconductor, Inc. | NAND string utilizing floating body memory cell |
US9177808B2 (en) | 2013-05-21 | 2015-11-03 | Sandisk Technologies Inc. | Memory device with control gate oxygen diffusion control and method of making thereof |
KR102109462B1 (ko) * | 2013-06-13 | 2020-05-12 | 에스케이하이닉스 주식회사 | 비휘발성 메모리 장치 및 그 제조방법 |
US8981835B2 (en) | 2013-06-18 | 2015-03-17 | Sandisk Technologies Inc. | Efficient voltage doubler |
TWI652822B (zh) | 2013-06-19 | 2019-03-01 | 日商半導體能源研究所股份有限公司 | 氧化物半導體膜及其形成方法 |
US9024680B2 (en) | 2013-06-24 | 2015-05-05 | Sandisk Technologies Inc. | Efficiency for charge pumps with low supply voltages |
US9077238B2 (en) | 2013-06-25 | 2015-07-07 | SanDisk Technologies, Inc. | Capacitive regulation of charge pumps without refresh operation interruption |
GB2515750B (en) * | 2013-07-01 | 2017-11-15 | Flexenable Ltd | Supressing Leakage Currents in a Multi - TFT Device |
US8969153B2 (en) | 2013-07-01 | 2015-03-03 | Sandisk Technologies Inc. | NAND string containing self-aligned control gate sidewall cladding |
US9437606B2 (en) | 2013-07-02 | 2016-09-06 | Sandisk Technologies Llc | Method of making a three-dimensional memory array with etch stop |
US9076535B2 (en) | 2013-07-08 | 2015-07-07 | Macronix International Co., Ltd. | Array arrangement including carrier source |
US9252151B2 (en) | 2013-07-08 | 2016-02-02 | Sandisk Technologies Inc. | Three dimensional NAND device with birds beak containing floating gates and method of making thereof |
US9117526B2 (en) | 2013-07-08 | 2015-08-25 | Macronix International Co., Ltd. | Substrate connection of three dimensional NAND for improving erase performance |
US9281022B2 (en) | 2013-07-10 | 2016-03-08 | Zeno Semiconductor, Inc. | Systems and methods for reducing standby power in floating body memory devices |
TWI608523B (zh) | 2013-07-19 | 2017-12-11 | 半導體能源研究所股份有限公司 | Oxide semiconductor film, method of manufacturing oxide semiconductor film, and semiconductor device |
KR20150020847A (ko) * | 2013-08-19 | 2015-02-27 | 에스케이하이닉스 주식회사 | 3차원 반도체 장치, 이를 구비하는 저항 변화 메모리 장치, 및 그 제조방법 |
US9214234B2 (en) * | 2013-09-05 | 2015-12-15 | Kabushiki Kaisha Toshiba | Nonvolatile semiconductor memory device and method of manufacturing the same |
US9230980B2 (en) | 2013-09-15 | 2016-01-05 | Sandisk Technologies Inc. | Single-semiconductor-layer channel in a memory opening for a three-dimensional non-volatile memory device |
US9230973B2 (en) | 2013-09-17 | 2016-01-05 | Sandisk Technologies Inc. | Methods of fabricating a three-dimensional non-volatile memory device |
US9099538B2 (en) | 2013-09-17 | 2015-08-04 | Macronix International Co., Ltd. | Conductor with a plurality of vertical extensions for a 3D device |
US9023719B2 (en) | 2013-09-17 | 2015-05-05 | Sandisk Technologies Inc. | High aspect ratio memory hole channel contact formation |
US9070447B2 (en) | 2013-09-26 | 2015-06-30 | Macronix International Co., Ltd. | Contact structure and forming method |
US8970040B1 (en) | 2013-09-26 | 2015-03-03 | Macronix International Co., Ltd. | Contact structure and forming method |
US9083231B2 (en) | 2013-09-30 | 2015-07-14 | Sandisk Technologies Inc. | Amplitude modulation for pass gate to improve charge pump efficiency |
US9437604B2 (en) | 2013-11-01 | 2016-09-06 | Micron Technology, Inc. | Methods and apparatuses having strings of memory cells including a metal source |
US9202785B2 (en) * | 2013-11-08 | 2015-12-01 | Taiwan Semiconductor Manufacturing Company, Ltd. | Three dimensional integrated circuit capacitor having vias |
CN104638029A (zh) * | 2013-11-13 | 2015-05-20 | 上海华虹宏力半导体制造有限公司 | 电容及其制造方法 |
US9628086B2 (en) * | 2013-11-14 | 2017-04-18 | Case Western Reserve University | Nanoelectromechanical antifuse and related systems |
US9025386B1 (en) * | 2013-11-20 | 2015-05-05 | International Business Machines Corporation | Embedded charge trap multi-time-programmable-read-only-memory for high performance logic technology |
US9154027B2 (en) | 2013-12-09 | 2015-10-06 | Sandisk Technologies Inc. | Dynamic load matching charge pump for reduced current consumption |
US9449983B2 (en) | 2013-12-19 | 2016-09-20 | Sandisk Technologies Llc | Three dimensional NAND device with channel located on three sides of lower select gate and method of making thereof |
US20150187915A1 (en) * | 2013-12-26 | 2015-07-02 | Samsung Electronics Co., Ltd. | Method for fabricating fin type transistor |
KR20150076764A (ko) * | 2013-12-27 | 2015-07-07 | 에스케이하이닉스 주식회사 | 반도체 장치 |
US9023701B1 (en) * | 2013-12-31 | 2015-05-05 | Macronix International Co., Ltd. | Three-dimensional memory and method of forming the same |
US9230905B2 (en) | 2014-01-08 | 2016-01-05 | Sandisk 3D Llc | Trench multilevel contact to a 3D memory array and method of making thereof |
US9548119B2 (en) | 2014-01-15 | 2017-01-17 | Zeno Semiconductor, Inc | Memory device comprising an electrically floating body transistor |
US9343322B2 (en) | 2014-01-17 | 2016-05-17 | Macronix International Co., Ltd. | Three dimensional stacking memory film structure |
WO2015116118A1 (en) * | 2014-01-30 | 2015-08-06 | Hewlett-Packard Development Company, L.P. | Charge trapping memristor |
US10290801B2 (en) | 2014-02-07 | 2019-05-14 | Crossbar, Inc. | Scalable silicon based resistive memory device |
KR102154093B1 (ko) * | 2014-02-14 | 2020-09-10 | 삼성전자주식회사 | 3차원 반도체 소자 |
KR102225989B1 (ko) * | 2014-03-04 | 2021-03-10 | 삼성전자주식회사 | 불휘발성 메모리 시스템 및 그것의 동작 방법 |
US20150255510A1 (en) | 2014-03-06 | 2015-09-10 | Kabushiki Kaisha Toshiba | Semiconductor device |
US9343507B2 (en) | 2014-03-12 | 2016-05-17 | Sandisk 3D Llc | Dual channel vertical field effect transistor including an embedded electrode |
US9331088B2 (en) | 2014-03-25 | 2016-05-03 | Sandisk 3D Llc | Transistor device with gate bottom isolation and method of making thereof |
US9224747B2 (en) | 2014-03-26 | 2015-12-29 | Sandisk Technologies Inc. | Vertical NAND device with shared word line steps |
JP2015195262A (ja) * | 2014-03-31 | 2015-11-05 | マイクロン テクノロジー, インク. | 半導体装置及びその製造方法 |
KR102188538B1 (ko) | 2014-04-21 | 2020-12-09 | 삼성전자주식회사 | 반도체 메모리 장치 및 이의 제조 방법 |
US9887939B2 (en) | 2015-03-11 | 2018-02-06 | International Business Machines Corporation | Transmitting multi-destination packets in overlay networks |
US10062426B2 (en) * | 2014-04-24 | 2018-08-28 | Micron Technology, Inc. | Field effect transistor constructions with gate insulator having local regions radially there-through that have different capacitance at different circumferential locations relative to a channel core periphery |
US9331094B2 (en) | 2014-04-30 | 2016-05-03 | Sandisk Technologies Inc. | Method of selective filling of memory openings |
US9552991B2 (en) | 2014-04-30 | 2017-01-24 | Sandisk Technologies Llc | Trench vertical NAND and method of making thereof |
US9559113B2 (en) | 2014-05-01 | 2017-01-31 | Macronix International Co., Ltd. | SSL/GSL gate oxide in 3D vertical channel NAND |
US9196628B1 (en) | 2014-05-08 | 2015-11-24 | Macronix International Co., Ltd. | 3D stacked IC device with stepped substack interlayer connectors |
US9548313B2 (en) | 2014-05-30 | 2017-01-17 | Sandisk Technologies Llc | Method of making a monolithic three dimensional NAND string using a select gate etch stop layer |
US9721964B2 (en) | 2014-06-05 | 2017-08-01 | Macronix International Co., Ltd. | Low dielectric constant insulating material in 3D memory |
US9553146B2 (en) | 2014-06-05 | 2017-01-24 | Sandisk Technologies Llc | Three dimensional NAND device having a wavy charge storage layer |
CN104022121B (zh) * | 2014-06-23 | 2017-05-03 | 中国科学院微电子研究所 | 三维半导体器件及其制造方法 |
US9524779B2 (en) | 2014-06-24 | 2016-12-20 | Sandisk Technologies Llc | Three dimensional vertical NAND device with floating gates |
US9379124B2 (en) | 2014-06-25 | 2016-06-28 | Sandisk Technologies Inc. | Vertical floating gate NAND with selectively deposited ALD metal films |
US9768270B2 (en) | 2014-06-25 | 2017-09-19 | Sandisk Technologies Llc | Method of selectively depositing floating gate material in a memory device |
US9455263B2 (en) | 2014-06-27 | 2016-09-27 | Sandisk Technologies Llc | Three dimensional NAND device with channel contacting conductive source line and method of making thereof |
US9397107B2 (en) | 2014-06-30 | 2016-07-19 | Sandisk Technologies Llc | Methods of making three dimensional NAND devices |
US9305932B2 (en) | 2014-06-30 | 2016-04-05 | Sandisk Technologies Inc. | Methods of making three dimensional NAND devices |
US9177966B1 (en) | 2014-07-08 | 2015-11-03 | Sandisk Technologies Inc. | Three dimensional NAND devices with air gap or low-k core |
US9373409B2 (en) | 2014-07-08 | 2016-06-21 | Macronix International Co., Ltd. | Systems and methods for reduced program disturb for 3D NAND flash |
US9443612B2 (en) | 2014-07-10 | 2016-09-13 | Sandisk Technologies Llc | Determination of bit line to low voltage signal shorts |
US9514835B2 (en) * | 2014-07-10 | 2016-12-06 | Sandisk Technologies Llc | Determination of word line to word line shorts between adjacent blocks |
US9484086B2 (en) | 2014-07-10 | 2016-11-01 | Sandisk Technologies Llc | Determination of word line to local source line shorts |
US9425046B1 (en) * | 2014-07-18 | 2016-08-23 | Crossbar, Inc. | Method for surface roughness reduction after silicon germanium thin film deposition |
US9847233B2 (en) | 2014-07-29 | 2017-12-19 | Taiwan Semiconductor Manufacturing Company Limited | Semiconductor device and formation thereof |
US9570460B2 (en) | 2014-07-29 | 2017-02-14 | Sandisk Technologies Llc | Spacer passivation for high-aspect ratio opening film removal and cleaning |
US9356031B2 (en) | 2014-08-11 | 2016-05-31 | Sandisk Technologies Inc. | Three dimensional NAND string memory devices with voids enclosed between control gate electrodes |
US9136130B1 (en) | 2014-08-11 | 2015-09-15 | Sandisk Technologies Inc. | Three dimensional NAND string with discrete charge trap segments |
US9281065B2 (en) * | 2014-08-11 | 2016-03-08 | Empire Technology Development Llc | Low-power nonvolatile memory cells with select gates |
US9640270B2 (en) * | 2014-08-12 | 2017-05-02 | Sandisk Technologies Llc | System and method of using multiple read operations |
US9496053B2 (en) | 2014-08-15 | 2016-11-15 | Zeno Semiconductor, Inc. | Memory device comprising electrically floating body transistor |
US9583539B2 (en) | 2014-08-19 | 2017-02-28 | Sandisk Technologies Llc | Word line connection for memory device and method of making thereof |
US9230983B1 (en) | 2014-08-20 | 2016-01-05 | Sandisk Technologies Inc. | Metal word lines for three dimensional memory devices |
US9230974B1 (en) | 2014-08-26 | 2016-01-05 | Sandisk Technologies Inc. | Methods of selective removal of blocking dielectric in NAND memory strings |
US9601502B2 (en) | 2014-08-26 | 2017-03-21 | Sandisk Technologies Llc | Multiheight contact via structures for a multilevel interconnect structure |
US9576975B2 (en) | 2014-08-26 | 2017-02-21 | Sandisk Technologies Llc | Monolithic three-dimensional NAND strings and methods of fabrication thereof |
US9401309B2 (en) | 2014-08-26 | 2016-07-26 | Sandisk Technologies Llc | Multiheight contact via structures for a multilevel interconnect structure |
US9236392B1 (en) | 2014-08-26 | 2016-01-12 | Sandisk Technologies Inc. | Multiheight electrically conductive via contacts for a multilevel interconnect structure |
US9666594B2 (en) | 2014-09-05 | 2017-05-30 | Sandisk Technologies Llc | Multi-charge region memory cells for a vertical NAND device |
US9728546B2 (en) | 2014-09-05 | 2017-08-08 | Sandisk Technologies Llc | 3D semicircular vertical NAND string with self aligned floating gate or charge trap cell memory cells and methods of fabricating and operating the same |
US9666590B2 (en) | 2014-09-24 | 2017-05-30 | Sandisk Technologies Llc | High stack 3D memory and method of making |
US9515085B2 (en) | 2014-09-26 | 2016-12-06 | Sandisk Technologies Llc | Vertical memory device with bit line air gap |
TWI555120B (zh) | 2014-10-14 | 2016-10-21 | 力晶科技股份有限公司 | 半導體元件及其製作方法 |
US9305934B1 (en) | 2014-10-17 | 2016-04-05 | Sandisk Technologies Inc. | Vertical NAND device containing peripheral devices on epitaxial semiconductor pedestal |
US9449981B2 (en) | 2014-10-21 | 2016-09-20 | Sandisk Technologies Llc | Three dimensional NAND string memory devices and methods of fabrication thereof |
US9934872B2 (en) | 2014-10-30 | 2018-04-03 | Sandisk Technologies Llc | Erase stress and delta erase loop count methods for various fail modes in non-volatile memory |
US9230979B1 (en) | 2014-10-31 | 2016-01-05 | Sandisk Technologies Inc. | High dielectric constant etch stop layer for a memory structure |
US9449980B2 (en) | 2014-10-31 | 2016-09-20 | Sandisk Technologies Llc | Band gap tailoring for a tunneling dielectric for a three-dimensional memory structure |
US9236396B1 (en) | 2014-11-12 | 2016-01-12 | Sandisk Technologies Inc. | Three dimensional NAND device and method of making thereof |
US9305849B1 (en) | 2014-11-12 | 2016-04-05 | Sandisk Technologies Inc. | Method of making a three dimensional NAND device |
US9698152B2 (en) | 2014-11-13 | 2017-07-04 | Sandisk Technologies Llc | Three-dimensional memory structure with multi-component contact via structure and method of making thereof |
US9419135B2 (en) * | 2014-11-13 | 2016-08-16 | Sandisk Technologies Llc | Three dimensional NAND device having reduced wafer bowing and method of making thereof |
US9947682B2 (en) * | 2014-11-18 | 2018-04-17 | Sandisk Technologies Llc | Three dimensional non-volatile memory with separate source lines |
US9698223B2 (en) | 2014-11-25 | 2017-07-04 | Sandisk Technologies Llc | Memory device containing stress-tunable control gate electrodes |
US9570455B2 (en) | 2014-11-25 | 2017-02-14 | Sandisk Technologies Llc | Metal word lines for three dimensional memory devices |
US9496419B2 (en) | 2014-11-25 | 2016-11-15 | Sandisk Technologies Llc | Ruthenium nucleation layer for control gate electrodes in a memory structure |
US9553100B2 (en) | 2014-12-04 | 2017-01-24 | Sandisk Techologies Llc | Selective floating gate semiconductor material deposition in a three-dimensional memory structure |
US9754956B2 (en) | 2014-12-04 | 2017-09-05 | Sandisk Technologies Llc | Uniform thickness blocking dielectric portions in a three-dimensional memory structure |
US9793288B2 (en) | 2014-12-04 | 2017-10-17 | Sandisk Technologies Llc | Methods of fabricating memory device with spaced-apart semiconductor charge storage regions |
WO2016099580A2 (en) | 2014-12-23 | 2016-06-23 | Lupino James John | Three dimensional integrated circuits employing thin film transistors |
US9224502B1 (en) * | 2015-01-14 | 2015-12-29 | Sandisk Technologies Inc. | Techniques for detection and treating memory hole to local interconnect marginality defects |
US9780182B2 (en) | 2015-02-04 | 2017-10-03 | Sandisk Technologies Llc | Molybdenum-containing conductive layers for control gate electrodes in a memory structure |
US10741572B2 (en) | 2015-02-04 | 2020-08-11 | Sandisk Technologies Llc | Three-dimensional memory device having multilayer word lines containing selectively grown cobalt or ruthenium and method of making the same |
US9984963B2 (en) | 2015-02-04 | 2018-05-29 | Sandisk Technologies Llc | Cobalt-containing conductive layers for control gate electrodes in a memory structure |
US9419058B1 (en) | 2015-02-05 | 2016-08-16 | Sandisk Technologies Llc | Memory device with comb-shaped electrode having a plurality of electrode fingers and method of making thereof |
US9356034B1 (en) | 2015-02-05 | 2016-05-31 | Sandisk Technologies Inc. | Multilevel interconnect structure and methods of manufacturing the same |
US9842847B2 (en) | 2015-02-11 | 2017-12-12 | Micron Technology, Inc. | Drain select gate formation methods and apparatus |
US9484296B2 (en) | 2015-02-12 | 2016-11-01 | Sandisk Technologies Llc | Self-aligned integrated line and via structure for a three-dimensional semiconductor device |
US9583615B2 (en) | 2015-02-17 | 2017-02-28 | Sandisk Technologies Llc | Vertical transistor and local interconnect structure |
US9698202B2 (en) | 2015-03-02 | 2017-07-04 | Sandisk Technologies Llc | Parallel bit line three-dimensional resistive random access memory |
US9870945B2 (en) | 2015-03-10 | 2018-01-16 | Sandisk Technologies Llc | Crystalline layer stack for forming conductive layers in a three-dimensional memory structure |
US9530788B2 (en) | 2015-03-17 | 2016-12-27 | Sandisk Technologies Llc | Metallic etch stop layer in a three-dimensional memory structure |
US9799671B2 (en) | 2015-04-07 | 2017-10-24 | Sandisk Technologies Llc | Three-dimensional integration schemes for reducing fluorine-induced electrical shorts |
US9379129B1 (en) | 2015-04-13 | 2016-06-28 | Macronix International Co., Ltd. | Assist gate structures for three-dimensional (3D) vertical gate array memory structure |
US9601508B2 (en) | 2015-04-27 | 2017-03-21 | Sandisk Technologies Llc | Blocking oxide in memory opening integration scheme for three-dimensional memory structure |
TWI694525B (zh) | 2015-04-29 | 2020-05-21 | 美商季諾半導體股份有限公司 | 通過施加回饋偏壓提高漏極電流的金屬氧化物半導體場效應電晶體(mosfet)和存儲單元 |
US10553683B2 (en) | 2015-04-29 | 2020-02-04 | Zeno Semiconductor, Inc. | MOSFET and memory cell having improved drain current through back bias application |
US9397046B1 (en) | 2015-04-29 | 2016-07-19 | Sandisk Technologies Llc | Fluorine-free word lines for three-dimensional memory devices |
US9627403B2 (en) | 2015-04-30 | 2017-04-18 | Sandisk Technologies Llc | Multilevel memory stack structure employing support pillar structures |
US9478259B1 (en) | 2015-05-05 | 2016-10-25 | Macronix International Co., Ltd. | 3D voltage switching transistors for 3D vertical gate memory array |
US9666281B2 (en) | 2015-05-08 | 2017-05-30 | Sandisk Technologies Llc | Three-dimensional P-I-N memory device and method reading thereof using hole current detection |
US10074661B2 (en) * | 2015-05-08 | 2018-09-11 | Sandisk Technologies Llc | Three-dimensional junction memory device and method reading thereof using hole current detection |
JP6901831B2 (ja) | 2015-05-26 | 2021-07-14 | 株式会社半導体エネルギー研究所 | メモリシステム、及び情報処理システム |
JP6773453B2 (ja) | 2015-05-26 | 2020-10-21 | 株式会社半導体エネルギー研究所 | 記憶装置及び電子機器 |
US9443861B1 (en) | 2015-05-28 | 2016-09-13 | Sandisk Technologies Llc | Fluorine-blocking insulating spacer for backside contact structure of three-dimensional memory structures |
US9917507B2 (en) | 2015-05-28 | 2018-03-13 | Sandisk Technologies Llc | Dynamic clock period modulation scheme for variable charge pump load currents |
US9859422B2 (en) | 2015-05-28 | 2018-01-02 | Sandisk Technologies Llc | Field effect transistor with elevated active regions and methods of manufacturing the same |
US9589981B2 (en) | 2015-06-15 | 2017-03-07 | Sandisk Technologies Llc | Passive devices for integration with three-dimensional memory devices |
US9646981B2 (en) | 2015-06-15 | 2017-05-09 | Sandisk Technologies Llc | Passive devices for integration with three-dimensional memory devices |
US9419012B1 (en) | 2015-06-19 | 2016-08-16 | Sandisk Technologies Llc | Three-dimensional memory structure employing air gap isolation |
KR102408898B1 (ko) * | 2015-06-19 | 2022-06-16 | 엘지디스플레이 주식회사 | 박막 트랜지스터 기판 및 이를 이용한 표시장치 |
US9356043B1 (en) | 2015-06-22 | 2016-05-31 | Sandisk Technologies Inc. | Three-dimensional memory devices containing memory stack structures with position-independent threshold voltage |
US9613977B2 (en) | 2015-06-24 | 2017-04-04 | Sandisk Technologies Llc | Differential etch of metal oxide blocking dielectric layer for three-dimensional memory devices |
US10622368B2 (en) | 2015-06-24 | 2020-04-14 | Sandisk Technologies Llc | Three-dimensional memory device with semicircular metal-semiconductor alloy floating gate electrodes and methods of making thereof |
US9530785B1 (en) | 2015-07-21 | 2016-12-27 | Sandisk Technologies Llc | Three-dimensional memory devices having a single layer channel and methods of making thereof |
US9627399B2 (en) | 2015-07-24 | 2017-04-18 | Sandisk Technologies Llc | Three-dimensional memory device with metal and silicide control gates |
US9647536B2 (en) | 2015-07-28 | 2017-05-09 | Sandisk Technologies Llc | High voltage generation using low voltage devices |
US9543318B1 (en) | 2015-08-21 | 2017-01-10 | Sandisk Technologies Llc | Three dimensional memory device with epitaxial semiconductor pedestal for peripheral transistors |
US9449987B1 (en) | 2015-08-21 | 2016-09-20 | Sandisk Technologies Llc | Three dimensional memory device with epitaxial semiconductor pedestal for peripheral transistors |
US9502471B1 (en) | 2015-08-25 | 2016-11-22 | Sandisk Technologies Llc | Multi tier three-dimensional memory devices including vertically shared bit lines |
US9853043B2 (en) | 2015-08-25 | 2017-12-26 | Sandisk Technologies Llc | Method of making a multilevel memory stack structure using a cavity containing a sacrificial fill material |
US9520776B1 (en) | 2015-09-18 | 2016-12-13 | Sandisk Technologies Llc | Selective body bias for charge pump transfer switches |
CN108401468A (zh) * | 2015-09-21 | 2018-08-14 | 莫诺利特斯3D有限公司 | 3d半导体器件和结构 |
US9646975B2 (en) | 2015-09-21 | 2017-05-09 | Sandisk Technologies Llc | Lateral stack of cobalt and a cobalt-semiconductor alloy for control gate electrodes in a memory structure |
US9806089B2 (en) | 2015-09-21 | 2017-10-31 | Sandisk Technologies Llc | Method of making self-assembling floating gate electrodes for a three-dimensional memory device |
US9576966B1 (en) | 2015-09-21 | 2017-02-21 | Sandisk Technologies Llc | Cobalt-containing conductive layers for control gate electrodes in a memory structure |
KR102424964B1 (ko) * | 2015-09-23 | 2022-07-25 | 삼성전자주식회사 | 반도체 소자 및 그 제조방법 |
US9842907B2 (en) | 2015-09-29 | 2017-12-12 | Sandisk Technologies Llc | Memory device containing cobalt silicide control gate electrodes and method of making thereof |
US9892800B2 (en) | 2015-09-30 | 2018-02-13 | Sunrise Memory Corporation | Multi-gate NOR flash thin-film transistor strings arranged in stacked horizontal active strips with vertical control gates |
US10121553B2 (en) | 2015-09-30 | 2018-11-06 | Sunrise Memory Corporation | Capacitive-coupled non-volatile thin-film transistor NOR strings in three-dimensional arrays |
US9842651B2 (en) | 2015-11-25 | 2017-12-12 | Sunrise Memory Corporation | Three-dimensional vertical NOR flash thin film transistor strings |
US11120884B2 (en) | 2015-09-30 | 2021-09-14 | Sunrise Memory Corporation | Implementing logic function and generating analog signals using NOR memory strings |
US9780108B2 (en) | 2015-10-19 | 2017-10-03 | Sandisk Technologies Llc | Ultrathin semiconductor channel three-dimensional memory devices |
US9876025B2 (en) | 2015-10-19 | 2018-01-23 | Sandisk Technologies Llc | Methods for manufacturing ultrathin semiconductor channel three-dimensional memory devices |
US9478495B1 (en) | 2015-10-26 | 2016-10-25 | Sandisk Technologies Llc | Three dimensional memory device containing aluminum source contact via structure and method of making thereof |
US9704920B2 (en) * | 2015-10-27 | 2017-07-11 | Sandisk Technologies Llc | Resistive random access memory containing a steering element and a tunneling dielectric element |
US9620512B1 (en) | 2015-10-28 | 2017-04-11 | Sandisk Technologies Llc | Field effect transistor with a multilevel gate electrode for integration with a multilevel memory device |
US9659955B1 (en) | 2015-10-28 | 2017-05-23 | Sandisk Technologies Llc | Crystalinity-dependent aluminum oxide etching for self-aligned blocking dielectric in a memory structure |
US9793139B2 (en) | 2015-10-29 | 2017-10-17 | Sandisk Technologies Llc | Robust nucleation layers for enhanced fluorine protection and stress reduction in 3D NAND word lines |
US9899399B2 (en) | 2015-10-30 | 2018-02-20 | Sandisk Technologies Llc | 3D NAND device with five-folded memory stack structure configuration |
US9799670B2 (en) | 2015-11-20 | 2017-10-24 | Sandisk Technologies Llc | Three dimensional NAND device containing dielectric pillars for a buried source line and method of making thereof |
US9837431B2 (en) | 2015-11-20 | 2017-12-05 | Sandisk Technologies Llc | 3D semicircular vertical NAND string with recessed inactive semiconductor channel sections |
US9917100B2 (en) | 2015-11-20 | 2018-03-13 | Sandisk Technologies Llc | Three-dimensional NAND device containing support pedestal structures for a buried source line and method of making the same |
US9831266B2 (en) | 2015-11-20 | 2017-11-28 | Sandisk Technologies Llc | Three-dimensional NAND device containing support pedestal structures for a buried source line and method of making the same |
CN108140643B (zh) * | 2015-11-20 | 2022-03-15 | 桑迪士克科技有限责任公司 | 用于埋入源极线的包含支撑基座结构的三维nand设备及制造其的方法 |
US9935124B2 (en) | 2015-11-25 | 2018-04-03 | Sandisk Technologies Llc | Split memory cells with unsplit select gates in a three-dimensional memory device |
US9530790B1 (en) | 2015-12-24 | 2016-12-27 | Sandisk Technologies Llc | Three-dimensional memory device containing CMOS devices over memory stack structures |
US9589839B1 (en) | 2016-02-01 | 2017-03-07 | Sandisk Technologies Llc | Method of reducing control gate electrode curvature in three-dimensional memory devices |
US9754820B2 (en) | 2016-02-01 | 2017-09-05 | Sandisk Technologies Llc | Three-dimensional memory device containing an aluminum oxide etch stop layer for backside contact structure and method of making thereof |
CN108540126A (zh) * | 2017-03-03 | 2018-09-14 | 成都海存艾匹科技有限公司 | 基于三维可写存储器的可编程门阵列 |
US9673213B1 (en) | 2016-02-15 | 2017-06-06 | Sandisk Technologies Llc | Three dimensional memory device with peripheral devices under dummy dielectric layer stack and method of making thereof |
US9595535B1 (en) | 2016-02-18 | 2017-03-14 | Sandisk Technologies Llc | Integration of word line switches with word line contact via structures |
US9721663B1 (en) | 2016-02-18 | 2017-08-01 | Sandisk Technologies Llc | Word line decoder circuitry under a three-dimensional memory array |
US10056265B2 (en) * | 2016-03-18 | 2018-08-21 | Taiwan Semiconductor Manufacturing Co., Ltd. | Directed self-assembly process with size-restricted guiding patterns |
US9859338B2 (en) * | 2016-03-21 | 2018-01-02 | Winbond Electronics Corp. | Three-dimensional resistive memory |
US10355015B2 (en) | 2016-03-23 | 2019-07-16 | Sandisk Technologies Llc | Three-dimensional NAND memory device with common bit line for multiple NAND strings in each memory block |
US10224104B2 (en) | 2016-03-23 | 2019-03-05 | Sandisk Technologies Llc | Three dimensional NAND memory device with common bit line for multiple NAND strings in each memory block |
US9711530B1 (en) | 2016-03-25 | 2017-07-18 | Sandisk Technologies Llc | Locally-trap-characteristic-enhanced charge trap layer for three-dimensional memory structures |
US9812463B2 (en) | 2016-03-25 | 2017-11-07 | Sandisk Technologies Llc | Three-dimensional memory device containing vertically isolated charge storage regions and method of making thereof |
CN110021622A (zh) * | 2018-01-10 | 2019-07-16 | 厦门海存艾匹科技有限公司 | 地址线含有不同金属材料的三维纵向多次编程存储器 |
US9728547B1 (en) | 2016-05-19 | 2017-08-08 | Sandisk Technologies Llc | Three-dimensional memory device with aluminum-containing etch stop layer for backside contact structure and method of making thereof |
US9985046B2 (en) | 2016-06-13 | 2018-05-29 | Sandisk Technologies Llc | Method of forming a staircase in a semiconductor device using a linear alignment control feature |
US10121794B2 (en) | 2016-06-20 | 2018-11-06 | Sandisk Technologies Llc | Three-dimensional memory device having epitaxial germanium-containing vertical channel and method of making thereof |
KR102106664B1 (ko) * | 2016-06-22 | 2020-05-06 | 매그나칩 반도체 유한회사 | Otp 셀 및 이를 이용한 otp 메모리 어레이 |
US10355139B2 (en) | 2016-06-28 | 2019-07-16 | Sandisk Technologies Llc | Three-dimensional memory device with amorphous barrier layer and method of making thereof |
US10361213B2 (en) | 2016-06-28 | 2019-07-23 | Sandisk Technologies Llc | Three dimensional memory device containing multilayer wordline barrier films and method of making thereof |
US9978768B2 (en) | 2016-06-29 | 2018-05-22 | Sandisk Technologies Llc | Method of making three-dimensional semiconductor memory device having laterally undulating memory films |
US9659866B1 (en) | 2016-07-08 | 2017-05-23 | Sandisk Technologies Llc | Three-dimensional memory structures with low source line resistance |
US10381372B2 (en) | 2016-07-13 | 2019-08-13 | Sandisk Technologies Llc | Selective tungsten growth for word lines of a three-dimensional memory device |
US10529620B2 (en) | 2016-07-13 | 2020-01-07 | Sandisk Technologies Llc | Three-dimensional memory device containing word lines formed by selective tungsten growth on nucleation controlling surfaces and methods of manufacturing the same |
US9748266B1 (en) | 2016-07-20 | 2017-08-29 | Sandisk Technologies Llc | Three-dimensional memory device with select transistor having charge trapping gate dielectric layer and methods of making and operating thereof |
US9824966B1 (en) | 2016-08-12 | 2017-11-21 | Sandisk Technologies Llc | Three-dimensional memory device containing a lateral source contact and method of making the same |
US9805805B1 (en) | 2016-08-23 | 2017-10-31 | Sandisk Technologies Llc | Three-dimensional memory device with charge carrier injection wells for vertical channels and method of making and using thereof |
US10050054B2 (en) | 2016-10-05 | 2018-08-14 | Sandisk Technologies Llc | Three-dimensional memory device having drain select level isolation structure and method of making thereof |
US9911754B1 (en) * | 2016-10-07 | 2018-03-06 | Macronix International Co., Ltd. | 3D memory structure |
US9806256B1 (en) | 2016-10-21 | 2017-10-31 | Sandisk Technologies Llc | Resistive memory device having sidewall spacer electrode and method of making thereof |
US9881929B1 (en) | 2016-10-27 | 2018-01-30 | Sandisk Technologies Llc | Multi-tier memory stack structure containing non-overlapping support pillar structures and method of making thereof |
US9929174B1 (en) | 2016-10-28 | 2018-03-27 | Sandisk Technologies Llc | Three-dimensional memory device having non-uniform spacing among memory stack structures and method of making thereof |
US10079301B2 (en) | 2016-11-01 | 2018-09-18 | Zeno Semiconductor, Inc. | Memory device comprising an electrically floating body transistor and methods of using |
US9985098B2 (en) | 2016-11-03 | 2018-05-29 | Sandisk Technologies Llc | Bulb-shaped memory stack structures for direct source contact in three-dimensional memory device |
DE102016222213A1 (de) * | 2016-11-11 | 2018-05-17 | Robert Bosch Gmbh | MOS-Bauelement, elektrische Schaltung sowie Batterieeinheit für ein Kraftfahrzeug |
US9991277B1 (en) | 2016-11-28 | 2018-06-05 | Sandisk Technologies Llc | Three-dimensional memory device with discrete self-aligned charge storage elements and method of making thereof |
US9876031B1 (en) | 2016-11-30 | 2018-01-23 | Sandisk Technologies Llc | Three-dimensional memory device having passive devices at a buried source line level and method of making thereof |
US10853244B2 (en) | 2016-12-07 | 2020-12-01 | Sandisk Technologies Llc | Randomly writable memory device and method of operating thereof |
US9899410B1 (en) * | 2016-12-13 | 2018-02-20 | Sandisk Technologies Llc | Charge storage region in non-volatile memory |
US10056399B2 (en) | 2016-12-22 | 2018-08-21 | Sandisk Technologies Llc | Three-dimensional memory devices containing inter-tier dummy memory cells and methods of making the same |
US10032908B1 (en) | 2017-01-06 | 2018-07-24 | Sandisk Technologies Llc | Multi-gate vertical field effect transistor with channel strips laterally confined by gate dielectric layers, and method of making thereof |
US10115735B2 (en) | 2017-02-24 | 2018-10-30 | Sandisk Technologies Llc | Semiconductor device containing multilayer titanium nitride diffusion barrier and method of making thereof |
JP2018157114A (ja) * | 2017-03-17 | 2018-10-04 | 東芝メモリ株式会社 | 記憶装置 |
FR3064399B1 (fr) * | 2017-03-22 | 2019-05-03 | Stmicroelectronics (Crolles 2) Sas | Transistor quantique vertical |
US9960180B1 (en) | 2017-03-27 | 2018-05-01 | Sandisk Technologies Llc | Three-dimensional memory device with partially discrete charge storage regions and method of making thereof |
CN110366778B (zh) * | 2017-04-04 | 2024-04-09 | 英特尔公司 | 薄膜晶体管嵌入式动态随机存取存储器 |
JP6876500B2 (ja) * | 2017-04-19 | 2021-05-26 | ルネサスエレクトロニクス株式会社 | 半導体装置の製造方法 |
US20180331117A1 (en) | 2017-05-12 | 2018-11-15 | Sandisk Technologies Llc | Multilevel memory stack structure with tapered inter-tier joint region and methods of making thereof |
US10157833B1 (en) | 2017-05-23 | 2018-12-18 | Globalfoundries Inc. | Via and skip via structures |
US10411695B2 (en) * | 2017-05-26 | 2019-09-10 | Indian Institute Of Science | Programmable tunnel thermionic mode transistor |
US10224340B2 (en) | 2017-06-19 | 2019-03-05 | Sandisk Technologies Llc | Three-dimensional memory device having discrete direct source strap contacts and method of making thereof |
US10692874B2 (en) | 2017-06-20 | 2020-06-23 | Sunrise Memory Corporation | 3-dimensional NOR string arrays in segmented stacks |
US10608011B2 (en) | 2017-06-20 | 2020-03-31 | Sunrise Memory Corporation | 3-dimensional NOR memory array architecture and methods for fabrication thereof |
US10608008B2 (en) | 2017-06-20 | 2020-03-31 | Sunrise Memory Corporation | 3-dimensional nor strings with segmented shared source regions |
US10438964B2 (en) | 2017-06-26 | 2019-10-08 | Sandisk Technologies Llc | Three-dimensional memory device having direct source contact and metal oxide blocking dielectric and method of making thereof |
KR20190008676A (ko) * | 2017-07-17 | 2019-01-25 | 에스케이하이닉스 주식회사 | 반도체 장치 및 그 제조방법 |
US10964683B2 (en) * | 2017-08-30 | 2021-03-30 | Taiwan Semiconductor Manufacturing Company, Ltd. | Memory array circuit and method of manufacturing the same |
US10332985B2 (en) * | 2017-08-31 | 2019-06-25 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and manufacturing method thereof |
US10522686B2 (en) * | 2017-09-26 | 2019-12-31 | International Business Machines Corporation | Vertical thin film transistor |
US10453798B2 (en) | 2017-09-27 | 2019-10-22 | Sandisk Technologies Llc | Three-dimensional memory device with gated contact via structures and method of making thereof |
US10115459B1 (en) | 2017-09-29 | 2018-10-30 | Sandisk Technologies Llc | Multiple liner interconnects for three dimensional memory devices and method of making thereof |
US11239235B2 (en) | 2017-10-20 | 2022-02-01 | Chen-Chih WANG | Transistor and logic gate |
TWI707432B (zh) | 2017-10-20 | 2020-10-11 | 王振志 | 電晶體、半導體元件及形成記憶體元件的方法 |
US10083877B1 (en) | 2017-10-25 | 2018-09-25 | Sandisk Technologies Llc | Vertical field effect transistors including two-tier select gates and method of making the same |
JP6956592B2 (ja) * | 2017-10-31 | 2021-11-02 | 東京エレクトロン株式会社 | シリコン酸化膜を形成する方法および装置 |
US10115897B1 (en) | 2017-11-07 | 2018-10-30 | Sandisk Technologies Llc | Resistive memory cell containing a middle electrode and method of making the same |
US10217746B1 (en) * | 2017-11-30 | 2019-02-26 | Sandisk Technologies Llc | Three-dimensional memory device having L-shaped word lines and a support structure and methods of making the same |
US10229931B1 (en) | 2017-12-05 | 2019-03-12 | Sandisk Technologies Llc | Three-dimensional memory device containing fluorine-free tungsten—word lines and methods of manufacturing the same |
US11201163B2 (en) * | 2017-12-30 | 2021-12-14 | Haibing Peng | High-density NOR-type flash memory |
US10373969B2 (en) | 2018-01-09 | 2019-08-06 | Sandisk Technologies Llc | Three-dimensional memory device including partially surrounding select gates and fringe field assisted programming thereof |
US10283493B1 (en) | 2018-01-17 | 2019-05-07 | Sandisk Technologies Llc | Three-dimensional memory device containing bonded memory die and peripheral logic die and method of making thereof |
US10510738B2 (en) | 2018-01-17 | 2019-12-17 | Sandisk Technologies Llc | Three-dimensional memory device having support-die-assisted source power distribution and method of making thereof |
US10475812B2 (en) | 2018-02-02 | 2019-11-12 | Sunrise Memory Corporation | Three-dimensional vertical NOR flash thin-film transistor strings |
US10199434B1 (en) | 2018-02-05 | 2019-02-05 | Sandisk Technologies Llc | Three-dimensional cross rail phase change memory device and method of manufacturing the same |
US10256247B1 (en) | 2018-02-08 | 2019-04-09 | Sandisk Technologies Llc | Three-dimensional memory device with silicided word lines, air gap layers and discrete charge storage elements, and method of making thereof |
US10468596B2 (en) | 2018-02-21 | 2019-11-05 | Sandisk Technologies Llc | Damascene process for forming three-dimensional cross rail phase change memory devices |
US11217532B2 (en) | 2018-03-14 | 2022-01-04 | Sandisk Technologies Llc | Three-dimensional memory device containing compositionally graded word line diffusion barrier layer for and methods of forming the same |
US10580976B2 (en) | 2018-03-19 | 2020-03-03 | Sandisk Technologies Llc | Three-dimensional phase change memory device having a laterally constricted element and method of making the same |
US10770459B2 (en) | 2018-03-23 | 2020-09-08 | Sandisk Technologies Llc | CMOS devices containing asymmetric contact via structures |
WO2019182261A1 (ko) * | 2018-03-23 | 2019-09-26 | 홍잉 | 단결정립 나노와이어 제조 방법 및 이를 적용하는 반도체 소자의 제조 방법 |
US10355017B1 (en) | 2018-03-23 | 2019-07-16 | Sandisk Technologies Llc | CMOS devices containing asymmetric contact via structures and method of making the same |
KR102416099B1 (ko) * | 2018-03-28 | 2022-07-01 | 에스케이하이닉스 주식회사 | 반도체 메모리 소자, 이의 구동 방법 및 이의 제조 방법 |
KR102588311B1 (ko) | 2018-04-03 | 2023-10-13 | 에스케이하이닉스 주식회사 | 반도체 장치 및 그 제조방법 |
KR102592882B1 (ko) | 2018-04-03 | 2023-10-24 | 에스케이하이닉스 주식회사 | 반도체 장치 및 그 제조방법 |
US10756186B2 (en) | 2018-04-12 | 2020-08-25 | Sandisk Technologies Llc | Three-dimensional memory device including germanium-containing vertical channels and method of making the same |
DE102018109013A1 (de) * | 2018-04-17 | 2019-10-17 | Infineon Technologies Ag | Formmasse und halbleiter-package mit formmasse |
TWI835705B (zh) | 2018-04-18 | 2024-03-11 | 美商季諾半導體股份有限公司 | 包括電性浮體電晶體的記憶裝置 |
US10700069B2 (en) | 2018-04-19 | 2020-06-30 | Tc Lab, Inc. | Multi-layer thyristor random access memory with silicon-germanium bases |
US10381322B1 (en) | 2018-04-23 | 2019-08-13 | Sandisk Technologies Llc | Three-dimensional memory device containing self-aligned interlocking bonded structure and method of making the same |
US10593692B2 (en) | 2018-04-30 | 2020-03-17 | Sandisk Technologies Llc | Three-dimensional nor-type memory device and method of making the same |
US10950786B2 (en) | 2018-05-17 | 2021-03-16 | Macronix International Co., Ltd. | Layer cost scalable 3D phase change cross-point memory |
US10756097B2 (en) | 2018-06-29 | 2020-08-25 | International Business Machines Corporation | Stacked vertical transistor-based mask-programmable ROM |
US11751391B2 (en) | 2018-07-12 | 2023-09-05 | Sunrise Memory Corporation | Methods for fabricating a 3-dimensional memory structure of nor memory strings |
US11069696B2 (en) * | 2018-07-12 | 2021-07-20 | Sunrise Memory Corporation | Device structure for a 3-dimensional NOR memory array and methods for improved erase operations applied thereto |
WO2020014655A1 (en) | 2018-07-12 | 2020-01-16 | Sunrise Memory Corporation | Fabrication method for a 3-dimensional nor memory array |
JP6789576B2 (ja) * | 2018-08-02 | 2020-11-25 | 株式会社フローディア | 積和演算装置 |
US10615225B2 (en) * | 2018-08-22 | 2020-04-07 | International Business Machines Corporation | Multilayer back end of line (BEOL)-stackable cross-point memory array with complementary pass transistor selectors |
CN112602193A (zh) * | 2018-09-05 | 2021-04-02 | 东京毅力科创株式会社 | 用于制造单片集成3d cmos逻辑和存储器的架构设计和工艺 |
TWI713195B (zh) | 2018-09-24 | 2020-12-11 | 美商森恩萊斯記憶體公司 | 三維nor記憶電路製程中之晶圓接合及其形成之積體電路 |
KR102059896B1 (ko) * | 2018-10-24 | 2019-12-27 | 가천대학교 산학협력단 | 양자우물 구조를 갖는 1t 디램 셀 소자 |
US10868025B2 (en) | 2018-11-26 | 2020-12-15 | Sandisk Technologies Llc | Three-dimensional memory device including replacement crystalline channels and methods of making the same |
WO2020118301A1 (en) | 2018-12-07 | 2020-06-11 | Sunrise Memory Corporation | Methods for forming multi-layer vertical nor-type memory string arrays |
US10797060B2 (en) | 2018-12-17 | 2020-10-06 | Sandisk Technologies Llc | Three-dimensional memory device having stressed vertical semiconductor channels and method of making the same |
US11721727B2 (en) | 2018-12-17 | 2023-08-08 | Sandisk Technologies Llc | Three-dimensional memory device including a silicon-germanium source contact layer and method of making the same |
US10797061B2 (en) | 2018-12-17 | 2020-10-06 | Sandisk Technologies Llc | Three-dimensional memory device having stressed vertical semiconductor channels and method of making the same |
CN111587489B (zh) | 2018-12-17 | 2023-09-29 | 桑迪士克科技有限责任公司 | 具有应力竖直半导体沟道的三维存储器器件及其制备方法 |
KR102674883B1 (ko) * | 2018-12-21 | 2024-06-14 | 에스케이하이닉스 주식회사 | 적층된 셀 트랜지스터들을 포함하는 비휘발성 메모리 소자 및 상기 비휘발성 메모리 소자의 동작 방법 |
US11600663B2 (en) | 2019-01-11 | 2023-03-07 | Zeno Semiconductor, Inc. | Memory cell and memory array select transistor |
US10985172B2 (en) | 2019-01-18 | 2021-04-20 | Sandisk Technologies Llc | Three-dimensional memory device with mobility-enhanced vertical channels and methods of forming the same |
CN109817624B (zh) * | 2019-01-22 | 2020-09-25 | 上海华虹宏力半导体制造有限公司 | 存储器及其操作方法 |
JP7425069B2 (ja) | 2019-01-30 | 2024-01-30 | サンライズ メモリー コーポレイション | 基板接合を用いた高帯域幅・大容量メモリ組み込み型電子デバイス |
US11398492B2 (en) * | 2019-02-11 | 2022-07-26 | Sunrise Memory Corporation | Vertical thing-film transistor and application as bit-line connector for 3-dimensional memory arrays |
US11610914B2 (en) | 2019-02-11 | 2023-03-21 | Sunrise Memory Corporation | Vertical thin-film transistor and application as bit-line connector for 3-dimensional memory arrays |
US10879260B2 (en) | 2019-02-28 | 2020-12-29 | Sandisk Technologies Llc | Bonded assembly of a support die and plural memory dies containing laterally shifted vertical interconnections and methods for making the same |
US11239253B2 (en) * | 2019-03-01 | 2022-02-01 | Sandisk Technologies Llc | Three-dimensional memory device having an epitaxial vertical semiconductor channel and method for making the same |
US11398451B2 (en) * | 2019-03-01 | 2022-07-26 | Sandisk Technologies Llc | Methods for reusing substrates during manufacture of a bonded assembly including a logic die and a memory die |
US11424231B2 (en) * | 2019-03-01 | 2022-08-23 | Sandisk Technologies Llc | Three-dimensional memory device having an epitaxial vertical semiconductor channel and method for making the same |
US10790300B2 (en) * | 2019-03-01 | 2020-09-29 | Sandisk Technologies Llc | Three-dimensional memory device having an epitaxial vertical semiconductor channel and method for making the same |
US10950545B2 (en) | 2019-03-08 | 2021-03-16 | International Business Machines Corporation | Circuit wiring techniques for stacked transistor structures |
US11101290B2 (en) * | 2019-04-29 | 2021-08-24 | International Business Machines Corporation | Cross-point multilayer stackable ferroelectric field-effect transistor random access memory |
TWI743784B (zh) * | 2019-05-17 | 2021-10-21 | 美商森恩萊斯記憶體公司 | 形成三維水平nor記憶陣列之製程 |
CN110537259A (zh) | 2019-06-28 | 2019-12-03 | 长江存储科技有限责任公司 | 三维存储器件中的存储器内计算 |
WO2020258197A1 (en) | 2019-06-28 | 2020-12-30 | Yangtze Memory Technologies Co., Ltd. | Computation-in-memory in three-dimensional memory device |
US10964811B2 (en) | 2019-08-09 | 2021-03-30 | Micron Technology, Inc. | Transistor and methods of forming transistors |
US11024736B2 (en) * | 2019-08-09 | 2021-06-01 | Micron Technology, Inc. | Transistor and methods of forming integrated circuitry |
US10950626B2 (en) * | 2019-08-13 | 2021-03-16 | Sandisk Technologies Llc | Three-dimensional memory device containing alternating stack of source layers and drain layers and vertical gate electrodes |
US11018153B2 (en) | 2019-08-13 | 2021-05-25 | Sandisk Technologies Llc | Three-dimensional memory device containing alternating stack of source layers and drain layers and vertical gate electrodes |
CN112885830B (zh) * | 2019-11-29 | 2023-05-26 | 芯恩(青岛)集成电路有限公司 | 堆叠神经元器件结构及其制作方法 |
US11251199B2 (en) | 2019-12-09 | 2022-02-15 | Sandisk Technologies Llc | Three-dimensional NOR array including active region pillars and method of making the same |
US11515309B2 (en) | 2019-12-19 | 2022-11-29 | Sunrise Memory Corporation | Process for preparing a channel region of a thin-film transistor in a 3-dimensional thin-film transistor array |
CN111146203A (zh) * | 2019-12-27 | 2020-05-12 | 上海华力微电子有限公司 | 3d nor闪存的制作方法及其的存储单元结构 |
US11114534B2 (en) | 2019-12-27 | 2021-09-07 | Sandisk Technologies Llc | Three-dimensional nor array including vertical word lines and discrete channels and methods of making the same |
US11164890B2 (en) | 2020-01-09 | 2021-11-02 | Sandisk Technologies Llc | Cross-point array of ferroelectric field effect transistors and method of making the same |
KR20210095266A (ko) * | 2020-01-22 | 2021-08-02 | 삼성디스플레이 주식회사 | 발광 소자 및 이를 포함하는 표시 장치 |
WO2021159028A1 (en) | 2020-02-07 | 2021-08-12 | Sunrise Memory Corporation | High capacity memory circuit with low effective latency |
US11561911B2 (en) | 2020-02-24 | 2023-01-24 | Sunrise Memory Corporation | Channel controller for shared memory access |
US11507301B2 (en) | 2020-02-24 | 2022-11-22 | Sunrise Memory Corporation | Memory module implementing memory centric architecture |
US11705496B2 (en) | 2020-04-08 | 2023-07-18 | Sunrise Memory Corporation | Charge-trapping layer with optimized number of charge-trapping sites for fast program and erase of a memory cell in a 3-dimensional NOR memory string array |
US11502128B2 (en) | 2020-06-18 | 2022-11-15 | Taiwan Semiconductor Manufacturing Company, Ltd. | Memory device and method of forming the same |
US11521984B2 (en) | 2020-06-24 | 2022-12-06 | Sandisk Technologies Llc | Three-dimensional memory device containing low resistance source-level contact and method of making thereof |
EP4156189A4 (en) * | 2020-06-28 | 2023-08-23 | Huawei Technologies Co., Ltd. | STACKED MEMORY AND STORAGE SYSTEM |
US11069410B1 (en) | 2020-08-05 | 2021-07-20 | Sandisk Technologies Llc | Three-dimensional NOR-NAND combination memory device and method of making the same |
US11545500B2 (en) * | 2020-08-12 | 2023-01-03 | Taiwan Semiconductor Manufacturing Co., Ltd. | Three-dimensional memory device and method |
US11800697B2 (en) * | 2020-08-28 | 2023-10-24 | Macronix International Co., Ltd. | Memory structure |
US11963352B2 (en) | 2020-08-31 | 2024-04-16 | Sandisk Technologies Llc | Three-dimensional memory device with vertical field effect transistors and method of making thereof |
US11569215B2 (en) | 2020-08-31 | 2023-01-31 | Sandisk Technologies Llc | Three-dimensional memory device with vertical field effect transistors and method of making thereof |
US11937424B2 (en) | 2020-08-31 | 2024-03-19 | Sunrise Memory Corporation | Thin-film storage transistors in a 3-dimensional array of nor memory strings and process for fabricating the same |
US11296113B2 (en) | 2020-08-31 | 2022-04-05 | Sandisk Technologies Llc | Three-dimensional memory device with vertical field effect transistors and method of making thereof |
US11646372B2 (en) | 2020-09-19 | 2023-05-09 | International Business Machines Corporation | Vertical transistor floating body one transistor DRAM memory cell |
US11482539B2 (en) | 2020-10-28 | 2022-10-25 | Sandisk Technologies Llc | Three-dimensional memory device including metal silicide source regions and methods for forming the same |
WO2022108848A1 (en) | 2020-11-17 | 2022-05-27 | Sunrise Memory Corporation | Methods for reducing disturb errors by refreshing data alongside programming or erase operations |
US11848056B2 (en) | 2020-12-08 | 2023-12-19 | Sunrise Memory Corporation | Quasi-volatile memory with enhanced sense amplifier operation |
US11637175B2 (en) | 2020-12-09 | 2023-04-25 | Micron Technology, Inc. | Vertical transistors |
CN112635487B (zh) * | 2020-12-17 | 2024-06-04 | 长江存储科技有限责任公司 | 半导体器件及用于制造半导体器件的方法、掩模板系统 |
JP2022108157A (ja) * | 2021-01-12 | 2022-07-25 | キオクシア株式会社 | 半導体装置 |
US11515250B2 (en) | 2021-02-03 | 2022-11-29 | Sandisk Technologies Llc | Three dimensional semiconductor device containing composite contact via structures and methods of making the same |
US11468920B2 (en) | 2021-02-05 | 2022-10-11 | Winbond Electronics Corp. | Semiconductor connection structure and method for manufacturing the same |
US11737274B2 (en) | 2021-02-08 | 2023-08-22 | Macronix International Co., Ltd. | Curved channel 3D memory device |
US20220262809A1 (en) | 2021-02-12 | 2022-08-18 | Taiwan Semiconductor Manufacturing Co., Ltd. | Memory array and methods of forming same |
US11882702B2 (en) | 2021-02-16 | 2024-01-23 | Sandisk Technologies Llc | Lateral transistors for selecting blocks in a three-dimensional memory array and methods for forming the same |
US11626415B2 (en) | 2021-02-16 | 2023-04-11 | Sandisk Technologies Llc | Lateral transistors for selecting blocks in a three-dimensional memory array and methods for forming the same |
CN112786614B (zh) * | 2021-03-22 | 2022-04-29 | 长江存储科技有限责任公司 | 制备三维存储器的方法 |
US11482490B1 (en) * | 2021-04-12 | 2022-10-25 | Nanya Technology Corporation | Semiconductor device with branch type programmable structure and method for fabricating the same |
US11916011B2 (en) | 2021-04-14 | 2024-02-27 | Macronix International Co., Ltd. | 3D virtual ground memory and manufacturing methods for same |
US11710519B2 (en) | 2021-07-06 | 2023-07-25 | Macronix International Co., Ltd. | High density memory with reference memory using grouped cells and corresponding operations |
TW202310429A (zh) | 2021-07-16 | 2023-03-01 | 美商日升存儲公司 | 薄膜鐵電電晶體的三維記憶體串陣列 |
CN113764531B (zh) * | 2021-08-31 | 2023-10-20 | 沈阳工业大学 | 源漏辅助可编程单栅肖特基势垒晶体管及制造方法 |
CN114035710B (zh) * | 2021-10-22 | 2024-04-12 | 上海交通大学 | 外触发触控传感阵列及其制备方法 |
KR102596333B1 (ko) * | 2021-11-16 | 2023-10-31 | 재단법인대구경북과학기술원 | 모놀리식 3차원 집적 구조, 및 이의 제조 방법 |
CN116456718A (zh) * | 2022-01-07 | 2023-07-18 | 长鑫存储技术有限公司 | 半导体结构及其制备方法 |
CN117998855A (zh) * | 2022-10-27 | 2024-05-07 | 武汉新芯集成电路制造有限公司 | 存储块及其制程方法、存储单元 |
Family Cites Families (300)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US3432827A (en) | 1964-09-04 | 1969-03-11 | An Controls Inc Di | Stacked magnetic memory system |
US3414892A (en) | 1967-12-26 | 1968-12-03 | Lab For Electronics Inc | Means interconnecting printed circuit memory planes |
US3634929A (en) * | 1968-11-02 | 1972-01-18 | Tokyo Shibaura Electric Co | Method of manufacturing semiconductor integrated circuits |
US3629863A (en) * | 1968-11-04 | 1971-12-21 | Energy Conversion Devices Inc | Film deposited circuits and devices therefor |
US3699543A (en) | 1968-11-04 | 1972-10-17 | Energy Conversion Devices Inc | Combination film deposited switch unit and integrated circuits |
US3571809A (en) * | 1968-11-04 | 1971-03-23 | Energy Conversion Devices Inc | Memory matrix having serially connected threshold and memory switch devices at each cross-over point |
US3573757A (en) * | 1968-11-04 | 1971-04-06 | Energy Conversion Devices Inc | Memory matrix having serially connected threshold and memory switch devices at each cross-over point |
US3563231A (en) * | 1969-02-19 | 1971-02-16 | Tracor | Electronystagmograph control system |
US3582908A (en) * | 1969-03-10 | 1971-06-01 | Bell Telephone Labor Inc | Writing a read-only memory while protecting nonselected elements |
US3576549A (en) * | 1969-04-14 | 1971-04-27 | Cogar Corp | Semiconductor device, method, and memory array |
FR2048311A6 (ja) * | 1969-12-23 | 1971-03-19 | Ruiz Jose | |
US3671948A (en) * | 1970-09-25 | 1972-06-20 | North American Rockwell | Read-only memory |
FR2134172B1 (ja) * | 1971-04-23 | 1977-03-18 | Radiotechnique Compelec | |
US3717852A (en) * | 1971-09-17 | 1973-02-20 | Ibm | Electronically rewritable read-only memory using via connections |
US3728695A (en) * | 1971-10-06 | 1973-04-17 | Intel Corp | Random-access floating gate mos memory array |
JPS568438B2 (ja) * | 1971-12-29 | 1981-02-24 | ||
US3990098A (en) | 1972-12-22 | 1976-11-02 | E. I. Du Pont De Nemours And Co. | Structure capable of forming a diode and associated conductive path |
US3863231A (en) * | 1973-07-23 | 1975-01-28 | Nat Res Dev | Read only memory with annular fuse links |
US3886577A (en) * | 1973-09-12 | 1975-05-27 | Energy Conversion Devices Inc | Filament-type memory semiconductor device and method of making the same |
US3980505A (en) | 1973-09-12 | 1976-09-14 | Buckley William D | Process of making a filament-type memory semiconductor device |
US3846767A (en) | 1973-10-24 | 1974-11-05 | Energy Conversion Devices Inc | Method and means for resetting filament-forming memory semiconductor device |
US3877049A (en) * | 1973-11-28 | 1975-04-08 | William D Buckley | Electrodes for amorphous semiconductor switch devices and method of making the same |
US4037243A (en) * | 1974-07-01 | 1977-07-19 | Motorola, Inc. | Semi conductor memory cell utilizing sensing of variations in PN junction current conrolled by stored data |
US3922648A (en) | 1974-08-19 | 1975-11-25 | Energy Conversion Devices Inc | Method and means for preventing degradation of threshold voltage of filament-forming memory semiconductor device |
JPS5267532A (en) * | 1975-12-03 | 1977-06-04 | Nippon Telegr & Teleph Corp <Ntt> | Semiconductor memory unit |
CA1135854A (en) * | 1977-09-30 | 1982-11-16 | Michel Moussie | Programmable read only memory cell |
US4177475A (en) | 1977-10-31 | 1979-12-04 | Burroughs Corporation | High temperature amorphous memory device for an electrically alterable read-only memory |
US4203123A (en) * | 1977-12-12 | 1980-05-13 | Burroughs Corporation | Thin film memory device employing amorphous semiconductor materials |
US4203158A (en) * | 1978-02-24 | 1980-05-13 | Intel Corporation | Electrically programmable and erasable MOS floating gate memory device employing tunneling and method of fabricating same |
JPS5563854A (en) | 1978-11-08 | 1980-05-14 | Nec Kyushu Ltd | Method of manufacturing semiconductor device |
US4272880A (en) * | 1979-04-20 | 1981-06-16 | Intel Corporation | MOS/SOS Process |
USRE33510E (en) * | 1979-07-26 | 1991-01-01 | Hester Industries, Inc. | High humidity steam cooker with continuously running conveyor |
US4281397A (en) * | 1979-10-29 | 1981-07-28 | Texas Instruments Incorporated | Virtual ground MOS EPROM or ROM matrix |
US4419741A (en) | 1980-01-28 | 1983-12-06 | Rca Corporation | Read only memory (ROM) having high density memory array with on pitch decoder circuitry |
JPS5728364A (en) | 1980-07-28 | 1982-02-16 | Fujitsu Ltd | Semiconductor memory device |
US4499557A (en) * | 1980-10-28 | 1985-02-12 | Energy Conversion Devices, Inc. | Programmable cell for use in programmable electronic arrays |
US4420766A (en) | 1981-02-09 | 1983-12-13 | Harris Corporation | Reversibly programmable polycrystalline silicon memory element |
US4442507A (en) * | 1981-02-23 | 1984-04-10 | Burroughs Corporation | Electrically programmable read-only memory stacked above a semiconductor substrate |
DE3278799D1 (en) * | 1981-08-31 | 1988-08-25 | Toshiba Kk | Method for manufacturing three-dimensional semiconductor device |
JPS5837948A (ja) | 1981-08-31 | 1983-03-05 | Toshiba Corp | 積層半導体記憶装置 |
US4489478A (en) | 1981-09-29 | 1984-12-25 | Fujitsu Limited | Process for producing a three-dimensional semiconductor device |
US4500905A (en) * | 1981-09-30 | 1985-02-19 | Tokyo Shibaura Denki Kabushiki Kaisha | Stacked semiconductor device with sloping sides |
JPS5856456A (ja) * | 1981-09-30 | 1983-04-04 | Toshiba Corp | 半導体装置の製造方法 |
US4507757A (en) * | 1982-03-23 | 1985-03-26 | Texas Instruments Incorporated | Avalanche fuse element in programmable memory |
US4535424A (en) * | 1982-06-03 | 1985-08-13 | Texas Instruments Incorporated | Solid state three dimensional semiconductor memory array |
US4543594A (en) | 1982-09-07 | 1985-09-24 | Intel Corporation | Fusible link employing capacitor structure |
US4677742A (en) * | 1983-01-18 | 1987-07-07 | Energy Conversion Devices, Inc. | Electronic matrix arrays and method for making the same |
US4569121A (en) * | 1983-03-07 | 1986-02-11 | Signetics Corporation | Method of fabricating a programmable read-only memory cell incorporating an antifuse utilizing deposition of amorphous semiconductor layer |
JPS60100885A (ja) | 1983-11-08 | 1985-06-04 | Toshiba Corp | カラ−テレビジヨンカメラの調整装置 |
US4639893A (en) | 1984-05-15 | 1987-01-27 | Wafer Scale Integration, Inc. | Self-aligned split gate EPROM |
JPS60242676A (ja) * | 1984-05-17 | 1985-12-02 | Seiko Epson Corp | 不揮発性記憶装置及びその製造方法 |
US4630096A (en) | 1984-05-30 | 1986-12-16 | Motorola, Inc. | High density IC module assembly |
JPS613450A (ja) * | 1984-06-18 | 1986-01-09 | Hiroshima Daigaku | 三次元光結合共有メモリ集積装置 |
US4686758A (en) * | 1984-06-27 | 1987-08-18 | Honeywell Inc. | Three-dimensional CMOS using selective epitaxial growth |
US4646266A (en) * | 1984-09-28 | 1987-02-24 | Energy Conversion Devices, Inc. | Programmable semiconductor structures and methods for using the same |
JPS61222216A (ja) | 1985-03-28 | 1986-10-02 | Canon Inc | 超格子半導体の作製方法 |
US4729005A (en) | 1985-04-29 | 1988-03-01 | General Electric Company | Method and apparatus for improved metal-insulator-semiconductor device operation |
US4774556A (en) * | 1985-07-25 | 1988-09-27 | Nippondenso Co., Ltd. | Non-volatile semiconductor memory device |
JPS6258673A (ja) * | 1985-09-09 | 1987-03-14 | Fujitsu Ltd | 半導体記憶装置 |
CA1226966A (en) | 1985-09-10 | 1987-09-15 | Gabriel Marcantonio | Integrated circuit chip package |
US4728626A (en) * | 1985-11-18 | 1988-03-01 | International Business Machines Corporation | Method for making planar 3D heterepitaxial semiconductor structures with buried epitaxial silicides |
US5089862A (en) * | 1986-05-12 | 1992-02-18 | Warner Jr Raymond M | Monocrystalline three-dimensional integrated circuit |
US5840589A (en) * | 1985-11-19 | 1998-11-24 | Warner, Jr.; Raymond M. | Method for fabricating monolithic and monocrystalline all-semiconductor three-dimensional integrated circuits |
JPS6316761A (ja) * | 1986-02-24 | 1988-01-23 | Konica Corp | 画像読取装置 |
US4692994A (en) | 1986-04-29 | 1987-09-15 | Hitachi, Ltd. | Process for manufacturing semiconductor devices containing microbridges |
US4899205A (en) * | 1986-05-09 | 1990-02-06 | Actel Corporation | Electrically-programmable low-impedance anti-fuse element |
US4943538A (en) * | 1986-05-09 | 1990-07-24 | Actel Corporation | Programmable low impedance anti-fuse element |
US4823181A (en) * | 1986-05-09 | 1989-04-18 | Actel Corporation | Programmable low impedance anti-fuse element |
US4876220A (en) | 1986-05-16 | 1989-10-24 | Actel Corporation | Method of making programmable low impedance interconnect diode element |
US4881114A (en) | 1986-05-16 | 1989-11-14 | Actel Corporation | Selectively formable vertical diode circuit element |
US4697120A (en) | 1986-06-26 | 1987-09-29 | Rca Corporation | Color display system with electrostatic convergence means |
JPS6352463A (ja) | 1986-08-22 | 1988-03-05 | Hitachi Ltd | 半導体集積回路 |
US4867247A (en) * | 1986-09-12 | 1989-09-19 | Heckendorf David W | Bedding plow |
JPS6352463U (ja) | 1986-09-24 | 1988-04-08 | ||
US4811082A (en) * | 1986-11-12 | 1989-03-07 | International Business Machines Corporation | High performance integrated circuit packaging structure |
US4820657A (en) * | 1987-02-06 | 1989-04-11 | Georgia Tech Research Corporation | Method for altering characteristics of junction semiconductor devices |
JP2606857B2 (ja) | 1987-12-10 | 1997-05-07 | 株式会社日立製作所 | 半導体記憶装置の製造方法 |
US5354695A (en) * | 1992-04-08 | 1994-10-11 | Leedy Glenn J | Membrane dielectric isolation IC fabrication |
US5283468A (en) * | 1988-05-30 | 1994-02-01 | Canon Kabushiki Kaisha | Electric circuit apparatus |
US5268319A (en) | 1988-06-08 | 1993-12-07 | Eliyahou Harari | Highly compact EPROM and flash EEPROM devices |
JPH07109873B2 (ja) * | 1988-07-05 | 1995-11-22 | 株式会社東芝 | 半導体記憶装置 |
JPH0770623B2 (ja) * | 1988-07-08 | 1995-07-31 | 三菱電機株式会社 | スタティックランダムアクセスメモリ装置 |
JPH0622352Y2 (ja) | 1988-07-14 | 1994-06-15 | 川澄化学工業株式会社 | 体液処理回路用部材 |
JPH0271564A (ja) * | 1988-09-06 | 1990-03-12 | Seiko Epson Corp | 記憶装置 |
JPH0622352B2 (ja) | 1988-10-12 | 1994-03-23 | 勝敏 嶺 | ノイズ除去方法 |
US5306935A (en) * | 1988-12-21 | 1994-04-26 | Texas Instruments Incorporated | Method of forming a nonvolatile stacked memory |
US5191405A (en) * | 1988-12-23 | 1993-03-02 | Matsushita Electric Industrial Co., Ltd. | Three-dimensional stacked lsi |
US5070383A (en) | 1989-01-10 | 1991-12-03 | Zoran Corporation | Programmable memory matrix employing voltage-variable resistors |
JP2778977B2 (ja) | 1989-03-14 | 1998-07-23 | 株式会社東芝 | 半導体装置及びその製造方法 |
EP0395886A2 (en) | 1989-04-03 | 1990-11-07 | Olympus Optical Co., Ltd. | Memory cell and multidimensinal memory device constituted by arranging the memory cells |
US5057885A (en) * | 1989-07-28 | 1991-10-15 | Casio Computer Co., Ltd. | Memory cell system with first and second gates |
JP2893594B2 (ja) * | 1989-08-29 | 1999-05-24 | カシオ計算機株式会社 | 半導体メモリ |
US5270562A (en) * | 1989-09-07 | 1993-12-14 | Sgs-Thomson Microelectronics S.A. | Locking device with a never-programmable floating gate cell |
JPH03104285A (ja) * | 1989-09-19 | 1991-05-01 | Casio Comput Co Ltd | 不揮発性半導体メモリ |
US5160987A (en) | 1989-10-26 | 1992-11-03 | International Business Machines Corporation | Three-dimensional semiconductor structures formed from planar layers |
US5006909A (en) * | 1989-10-30 | 1991-04-09 | Motorola, Inc. | Dram with a vertical capacitor and transistor |
US5008909A (en) | 1990-02-07 | 1991-04-16 | The United States Of America As Represented By The Department Of Energy | Diffractometer data collecting method and apparatus |
US5070384A (en) | 1990-04-12 | 1991-12-03 | Actel Corporation | Electrically programmable antifuse element incorporating a dielectric and amorphous silicon interlayer |
US5311039A (en) * | 1990-04-24 | 1994-05-10 | Seiko Epson Corporation | PROM and ROM memory cells |
JP2877462B2 (ja) | 1990-07-23 | 1999-03-31 | 株式会社東芝 | 不揮発性半導体記憶装置 |
JP3060680B2 (ja) * | 1990-11-30 | 2000-07-10 | 日本電気株式会社 | 不揮発性半導体記憶装置 |
US5206749A (en) * | 1990-12-31 | 1993-04-27 | Kopin Corporation | Liquid crystal display having essentially single crystal transistors pixels and driving circuits |
US5930608A (en) * | 1992-02-21 | 1999-07-27 | Semiconductor Energy Laboratory Co., Ltd. | Method of fabricating a thin film transistor in which the channel region of the transistor consists of two portions of differing crystallinity |
KR950000103B1 (ko) * | 1991-04-15 | 1995-01-09 | 금성일렉트론 주식회사 | 반도체 장치 및 그 제조방법 |
JPH05102430A (ja) | 1991-04-23 | 1993-04-23 | Mitsubishi Electric Corp | 半導体装置およびその製造方法 |
US5334880A (en) * | 1991-04-30 | 1994-08-02 | International Business Machines Corporation | Low voltage programmable storage element |
EP0516866A1 (en) | 1991-05-03 | 1992-12-09 | International Business Machines Corporation | Modular multilayer interwiring structure |
JP3547146B2 (ja) | 1991-06-10 | 2004-07-28 | 日本特殊陶業株式会社 | 集積回路用パッケージ |
US5202754A (en) * | 1991-09-13 | 1993-04-13 | International Business Machines Corporation | Three-dimensional multichip packages and methods of fabrication |
JPH0582787A (ja) | 1991-09-19 | 1993-04-02 | Sony Corp | 薄膜トランジスタ型不揮発性半導体メモリ装置 |
JPH0715969B2 (ja) * | 1991-09-30 | 1995-02-22 | インターナショナル・ビジネス・マシーンズ・コーポレイション | マルチチツプ集積回路パツケージ及びそのシステム |
US5321286A (en) * | 1991-11-26 | 1994-06-14 | Nec Corporation | Non-volatile semiconductor memory device having thin film memory transistors stacked over associated selecting transistors |
US5313421A (en) * | 1992-01-14 | 1994-05-17 | Sundisk Corporation | EEPROM with split gate source side injection |
US5712180A (en) * | 1992-01-14 | 1998-01-27 | Sundisk Corporation | EEPROM with split gate source side injection |
JP2817500B2 (ja) * | 1992-02-07 | 1998-10-30 | 日本電気株式会社 | 不揮発性半導体記憶装置 |
US5398200A (en) * | 1992-03-02 | 1995-03-14 | Motorola, Inc. | Vertically formed semiconductor random access memory device |
US5467305A (en) | 1992-03-12 | 1995-11-14 | International Business Machines Corporation | Three-dimensional direct-write EEPROM arrays and fabrication methods |
US5283458A (en) * | 1992-03-30 | 1994-02-01 | Trw Inc. | Temperature stable semiconductor bulk acoustic resonator |
US5985693A (en) | 1994-09-30 | 1999-11-16 | Elm Technology Corporation | High density three-dimensional IC interconnection |
JPH0637037Y2 (ja) | 1992-04-10 | 1994-09-28 | 裕一 大西 | 折畳み自在小型ボート |
US5422435A (en) * | 1992-05-22 | 1995-06-06 | National Semiconductor Corporation | Stacked multi-chip modules and method of manufacturing |
JPH0622352A (ja) | 1992-06-30 | 1994-01-28 | Fujitsu Ltd | 出回線ハント方式及び出回線ハント方法 |
US5266912A (en) | 1992-08-19 | 1993-11-30 | Micron Technology, Inc. | Inherently impedance matched multiple integrated circuit module |
JPH0677439A (ja) * | 1992-08-25 | 1994-03-18 | Toshiba Corp | 不揮発性半導体記憶装置 |
JPH0677500A (ja) * | 1992-08-25 | 1994-03-18 | Sony Corp | 半導体記憶装置 |
KR100310220B1 (ko) | 1992-09-14 | 2001-12-17 | 엘란 티본 | 집적회로장치를제조하기위한장치및그제조방법 |
DE69316134T2 (de) * | 1992-09-22 | 1998-06-18 | Nat Semiconductor Corp | Verfahren zur Herstellung eines Schottky-Transistors mit retrogradierter n-Wannenkathode |
EP0595021A1 (en) * | 1992-10-28 | 1994-05-04 | International Business Machines Corporation | Improved lead frame package for electronic devices |
US5386132A (en) * | 1992-11-02 | 1995-01-31 | Wong; Chun C. D. | Multimedia storage system with highly compact memory device |
JP3267409B2 (ja) * | 1992-11-24 | 2002-03-18 | 株式会社日立製作所 | 半導体集積回路装置 |
US5379255A (en) * | 1992-12-14 | 1995-01-03 | Texas Instruments Incorporated | Three dimensional famos memory devices and methods of fabricating |
US5536968A (en) * | 1992-12-18 | 1996-07-16 | At&T Global Information Solutions Company | Polysilicon fuse array structure for integrated circuits |
EP0606653A1 (en) | 1993-01-04 | 1994-07-20 | Texas Instruments Incorporated | Field programmable distributed processing memory |
US5629863A (en) * | 1993-03-02 | 1997-05-13 | 372103 Ontario Ltd. | Additive blending controller |
US5471090A (en) | 1993-03-08 | 1995-11-28 | International Business Machines Corporation | Electronic structures having a joining geometry providing reduced capacitive loading |
JP3535205B2 (ja) * | 1993-03-22 | 2004-06-07 | 株式会社半導体エネルギー研究所 | 薄膜トランジスタの作製方法 |
US5801437A (en) * | 1993-03-29 | 1998-09-01 | Staktek Corporation | Three-dimensional warp-resistant integrated circuit module method and apparatus |
US5455740A (en) | 1994-03-07 | 1995-10-03 | Staktek Corporation | Bus communication system for stacked high density integrated circuit packages |
WO1994026083A1 (en) | 1993-04-23 | 1994-11-10 | Irvine Sensors Corporation | Electronic module comprising a stack of ic chips |
JP3651689B2 (ja) | 1993-05-28 | 2005-05-25 | 株式会社東芝 | Nand型不揮発性半導体記憶装置及びその製造方法 |
US5292683A (en) * | 1993-06-09 | 1994-03-08 | Micron Semiconductor, Inc. | Method of isolating semiconductor devices and arrays of memory integrated circuitry |
US5334800A (en) * | 1993-07-21 | 1994-08-02 | Parlex Corporation | Flexible shielded circuit board |
EP0713609B1 (en) | 1993-08-13 | 2003-05-07 | Irvine Sensors Corporation | Stack of ic chips as substitute for single ic chip |
US5561622A (en) | 1993-09-13 | 1996-10-01 | International Business Machines Corporation | Integrated memory cube structure |
US5382540A (en) * | 1993-09-20 | 1995-01-17 | Motorola, Inc. | Process for forming an electrically programmable read-only memory cell |
US5391518A (en) * | 1993-09-24 | 1995-02-21 | Vlsi Technology, Inc. | Method of making a field programmable read only memory (ROM) cell using an amorphous silicon fuse with buried contact polysilicon and metal electrodes |
JPH09503622A (ja) | 1993-09-30 | 1997-04-08 | コピン・コーポレーシヨン | 転写薄膜回路を使用した3次元プロセッサー |
US5427979A (en) * | 1993-10-18 | 1995-06-27 | Vlsi Technology, Inc. | Method for making multi-level antifuse structure |
JP2956455B2 (ja) * | 1993-11-17 | 1999-10-04 | 日本電気株式会社 | 半導体記憶装置の製造方法 |
KR0141218B1 (ko) * | 1993-11-24 | 1998-07-15 | 윤종용 | 고집적 반도체장치의 제조방법 |
US5455445A (en) | 1994-01-21 | 1995-10-03 | Kulite Semiconductor Products, Inc. | Multi-level semiconductor structures having environmentally isolated elements |
US5380681A (en) * | 1994-03-21 | 1995-01-10 | United Microelectronics Corporation | Three-dimensional multichip package and methods of fabricating |
US5489792A (en) | 1994-04-07 | 1996-02-06 | Regents Of The University Of California | Silicon-on-insulator transistors having improved current characteristics and reduced electrostatic discharge susceptibility |
US5535156A (en) * | 1994-05-05 | 1996-07-09 | California Institute Of Technology | Transistorless, multistable current-mode memory cells and memory arrays and methods of reading and writing to the same |
US5585675A (en) | 1994-05-11 | 1996-12-17 | Harris Corporation | Semiconductor die packaging tub having angularly offset pad-to-pad via structure configured to allow three-dimensional stacking and electrical interconnections among multiple identical tubs |
US5463244A (en) | 1994-05-26 | 1995-10-31 | Symetrix Corporation | Antifuse programmable element using ferroelectric material |
US5432739A (en) * | 1994-06-17 | 1995-07-11 | Philips Electronics North America Corporation | Non-volatile sidewall memory cell method of fabricating same |
US5441907A (en) * | 1994-06-27 | 1995-08-15 | Taiwan Semiconductor Manufacturing Company | Process for manufacturing a plug-diode mask ROM |
US5434745A (en) * | 1994-07-26 | 1995-07-18 | White Microelectronics Div. Of Bowmar Instrument Corp. | Stacked silicon die carrier assembly |
US5523628A (en) | 1994-08-05 | 1996-06-04 | Hughes Aircraft Company | Apparatus and method for protecting metal bumped integrated circuit chips during processing and for providing mechanical support to interconnected chips |
JPH0878635A (ja) * | 1994-08-31 | 1996-03-22 | Toshiba Corp | 半導体記憶装置 |
JPH08162547A (ja) * | 1994-11-30 | 1996-06-21 | Toshiba Corp | 半導体記憶装置 |
US5703747A (en) | 1995-02-22 | 1997-12-30 | Voldman; Steven Howard | Multichip semiconductor structures with interchip electrostatic discharge protection, and fabrication methods therefore |
US5852317A (en) | 1995-03-31 | 1998-12-22 | National Semiconductor Corporation | Method to reduce gate oxide damage due to non-uniform plasmas in read only memory arrays |
US6433382B1 (en) * | 1995-04-06 | 2002-08-13 | Motorola, Inc. | Split-gate vertically oriented EEPROM device and process |
US5612570A (en) * | 1995-04-13 | 1997-03-18 | Dense-Pac Microsystems, Inc. | Chip stack and method of making same |
US5557122A (en) | 1995-05-12 | 1996-09-17 | Alliance Semiconductors Corporation | Semiconductor electrode having improved grain structure and oxide growth properties |
US5675547A (en) | 1995-06-01 | 1997-10-07 | Sony Corporation | One time programmable read only memory programmed by destruction of insulating layer |
US5751012A (en) * | 1995-06-07 | 1998-05-12 | Micron Technology, Inc. | Polysilicon pillar diode for use in a non-volatile memory cell |
JP3424427B2 (ja) * | 1995-07-27 | 2003-07-07 | ソニー株式会社 | 不揮発性半導体メモリ装置 |
US5781031A (en) * | 1995-11-21 | 1998-07-14 | International Business Machines Corporation | Programmable logic array |
DE19600423C2 (de) * | 1996-01-08 | 2001-07-05 | Siemens Ag | Elektrisch programmierbare Speicherzellenanordnung und Verfahren zu deren Herstellung |
US6043562A (en) * | 1996-01-26 | 2000-03-28 | Micron Technology, Inc. | Digit line architecture for dynamic memory |
KR100190016B1 (ko) * | 1996-01-31 | 1999-06-01 | 윤종용 | 불휘발성 메모리 장치 및 그 제조 방법 |
US5696031A (en) | 1996-11-20 | 1997-12-09 | Micron Technology, Inc. | Device and method for stacking wire-bonded integrated circuit dice on flip-chip bonded integrated circuit dice |
US6653733B1 (en) * | 1996-02-23 | 2003-11-25 | Micron Technology, Inc. | Conductors in semiconductor devices |
US5936883A (en) | 1996-03-29 | 1999-08-10 | Sanyo Electric Co., Ltd. | Split gate type transistor memory device |
JP3081543B2 (ja) | 1996-03-29 | 2000-08-28 | 三洋電機株式会社 | スプリットゲート型トランジスタ、スプリットゲート型トランジスタの製造方法、不揮発性半導体メモリ |
US5778422A (en) | 1996-04-04 | 1998-07-07 | International Business Machines Corporation | Data processing system memory controller that selectively caches data associated with write requests |
US5687112A (en) * | 1996-04-19 | 1997-11-11 | Energy Conversion Devices, Inc. | Multibit single cell memory element having tapered contact |
US5693552A (en) | 1996-04-29 | 1997-12-02 | United Microelectronics Corporation | Method for fabricating read-only memory device with a three-dimensional memory cell structure |
US5969380A (en) | 1996-06-07 | 1999-10-19 | Micron Technology, Inc. | Three dimensional ferroelectric memory |
JP3766181B2 (ja) * | 1996-06-10 | 2006-04-12 | 株式会社東芝 | 半導体記憶装置とそれを搭載したシステム |
KR0174688B1 (ko) * | 1996-06-26 | 1999-04-01 | 김광호 | 현상기 토너 공급장치 |
US5768192A (en) | 1996-07-23 | 1998-06-16 | Saifun Semiconductors, Ltd. | Non-volatile semiconductor memory cell utilizing asymmetrical charge trapping |
JP2000515327A (ja) * | 1996-08-01 | 2000-11-14 | シーメンス アクチエンゲゼルシヤフト | メモリセル装置の作動方法 |
US5981974A (en) * | 1996-09-30 | 1999-11-09 | Sharp Kabushiki Kaisha | Semiconductor device and method for fabricating the same |
US5835396A (en) * | 1996-10-17 | 1998-11-10 | Zhang; Guobiao | Three-dimensional read-only memory |
US5812441A (en) * | 1996-10-21 | 1998-09-22 | Micron Technology, Inc. | MOS diode for use in a non-volatile memory cell |
US6087674A (en) * | 1996-10-28 | 2000-07-11 | Energy Conversion Devices, Inc. | Memory element with memory material comprising phase-change material and dielectric material |
US5825046A (en) * | 1996-10-28 | 1998-10-20 | Energy Conversion Devices, Inc. | Composite memory material comprising a mixture of phase-change memory material and dielectric material |
US7052941B2 (en) * | 2003-06-24 | 2006-05-30 | Sang-Yun Lee | Method for making a three-dimensional integrated circuit structure |
TW307048B (en) * | 1996-11-22 | 1997-06-01 | United Microelectronics Corp | High density read only memory structure and manufacturing method thereof |
TW306005B (en) * | 1996-11-22 | 1997-05-21 | United Microelectronics Corp | Decoding method of diode-type read only memory array |
US5953588A (en) * | 1996-12-21 | 1999-09-14 | Irvine Sensors Corporation | Stackable layers containing encapsulated IC chips |
US5929477A (en) * | 1997-01-22 | 1999-07-27 | International Business Machines Corporation | Self-aligned diffused source vertical transistors with stack capacitors in a 4F-square memory cell array |
US6057598A (en) * | 1997-01-31 | 2000-05-02 | Vlsi Technology, Inc. | Face on face flip chip integration |
US6551857B2 (en) | 1997-04-04 | 2003-04-22 | Elm Technology Corporation | Three dimensional structure integrated circuits |
US5915167A (en) * | 1997-04-04 | 1999-06-22 | Elm Technology Corporation | Three dimensional structure memory |
US5889302A (en) * | 1997-04-21 | 1999-03-30 | Advanced Micro Devices, Inc. | Multilayer floating gate field effect transistor structure for use in integrated circuit devices |
US5936280A (en) * | 1997-04-21 | 1999-08-10 | Advanced Micro Devices, Inc. | Multilayer quadruple gate field effect transistor structure for use in integrated circuit devices |
JP3376247B2 (ja) * | 1997-05-30 | 2003-02-10 | 株式会社半導体エネルギー研究所 | 薄膜トランジスタ及び薄膜トランジスタを用いた半導体装置 |
US5966603A (en) | 1997-06-11 | 1999-10-12 | Saifun Semiconductors Ltd. | NROM fabrication method with a periphery portion |
NO972803D0 (no) * | 1997-06-17 | 1997-06-17 | Opticom As | Elektrisk adresserbar logisk innretning, fremgangsmåte til elektrisk adressering av samme og anvendelse av innretning og fremgangsmåte |
US6066547A (en) * | 1997-06-20 | 2000-05-23 | Sharp Laboratories Of America, Inc. | Thin-film transistor polycrystalline film formation by nickel induced, rapid thermal annealing method |
JP4032454B2 (ja) * | 1997-06-27 | 2008-01-16 | ソニー株式会社 | 三次元回路素子の製造方法 |
JP3070531B2 (ja) | 1997-06-27 | 2000-07-31 | 日本電気株式会社 | 不揮発性半導体記憶装置 |
US6072209A (en) * | 1997-07-08 | 2000-06-06 | Micro Technology, Inc. | Four F2 folded bit line DRAM cell structure having buried bit and word lines |
US5973356A (en) * | 1997-07-08 | 1999-10-26 | Micron Technology, Inc. | Ultra high density flash memory |
JPH1154731A (ja) * | 1997-07-31 | 1999-02-26 | Nec Corp | 半導体装置 |
US6768165B1 (en) * | 1997-08-01 | 2004-07-27 | Saifun Semiconductors Ltd. | Two bit non-volatile electrically erasable and programmable semiconductor memory cell utilizing asymmetrical charge trapping |
US5973352A (en) * | 1997-08-20 | 1999-10-26 | Micron Technology, Inc. | Ultra high density flash memory having vertically stacked devices |
JP3425853B2 (ja) * | 1997-08-29 | 2003-07-14 | Necエレクトロニクス株式会社 | 不揮発性半導体記憶装置 |
JP3980178B2 (ja) | 1997-08-29 | 2007-09-26 | 株式会社半導体エネルギー研究所 | 不揮発性メモリおよび半導体装置 |
JP3943245B2 (ja) | 1997-09-20 | 2007-07-11 | 株式会社半導体エネルギー研究所 | 半導体装置 |
JPH1197705A (ja) | 1997-09-23 | 1999-04-09 | Semiconductor Energy Lab Co Ltd | 半導体集積回路 |
US6005270A (en) * | 1997-11-10 | 1999-12-21 | Sony Corporation | Semiconductor nonvolatile memory device and method of production of same |
US6344413B1 (en) * | 1997-12-22 | 2002-02-05 | Motorola Inc. | Method for forming a semiconductor device |
JP4538693B2 (ja) * | 1998-01-26 | 2010-09-08 | ソニー株式会社 | メモリ素子およびその製造方法 |
JPH11214640A (ja) * | 1998-01-28 | 1999-08-06 | Hitachi Ltd | 半導体記憶素子、半導体記憶装置とその制御方法 |
TW412861B (en) | 1998-02-27 | 2000-11-21 | Sanyo Electric Co | Non-volatile semiconductor memory |
US6124729A (en) * | 1998-02-27 | 2000-09-26 | Micron Technology, Inc. | Field programmable logic arrays with vertical transistors |
JP4126747B2 (ja) * | 1998-02-27 | 2008-07-30 | セイコーエプソン株式会社 | 3次元デバイスの製造方法 |
JP4085459B2 (ja) | 1998-03-02 | 2008-05-14 | セイコーエプソン株式会社 | 3次元デバイスの製造方法 |
KR20010041822A (ko) * | 1998-03-09 | 2001-05-25 | 스콧 티. 마이쿠엔 | 저온 직접 결합에 의해 형성가능한 소자 |
US6153495A (en) * | 1998-03-09 | 2000-11-28 | Intersil Corporation | Advanced methods for making semiconductor devices by low temperature direct bonding |
KR100277438B1 (ko) * | 1998-05-28 | 2001-02-01 | 윤종용 | 멀티칩패키지 |
KR100316060B1 (ko) * | 1998-06-16 | 2002-02-19 | 박종섭 | 플래시메모리의레이아웃및그형성방법 |
US6141241A (en) * | 1998-06-23 | 2000-10-31 | Energy Conversion Devices, Inc. | Universal memory element with systems employing same and apparatus and method for reading, writing and programming same |
US6110278A (en) * | 1998-08-10 | 2000-08-29 | Saxena; Arjun N. | Methods for and products of growth of single-crystal on arrayed nucleation sites (SCANS) defined in nucleation unfriendly substrates |
US6197641B1 (en) * | 1998-08-28 | 2001-03-06 | Lucent Technologies Inc. | Process for fabricating vertical transistors |
US6281042B1 (en) | 1998-08-31 | 2001-08-28 | Micron Technology, Inc. | Structure and method for a high performance electronic packaging assembly |
KR100278661B1 (ko) * | 1998-11-13 | 2001-02-01 | 윤종용 | 비휘발성 메모리소자 및 그 제조방법 |
US6034882A (en) | 1998-11-16 | 2000-03-07 | Matrix Semiconductor, Inc. | Vertically stacked field programmable nonvolatile memory and method of fabrication |
US6122187A (en) | 1998-11-23 | 2000-09-19 | Micron Technology, Inc. | Stacked integrated circuits |
US6299338B1 (en) | 1998-11-30 | 2001-10-09 | General Electric Company | Decorative lighting apparatus with light source and luminescent material |
EP1014448A3 (en) * | 1998-12-25 | 2000-10-11 | Kabushiki Kaisha Toshiba | Nonvolatile semiconductor memory device and method of manufacturing the same |
US6351028B1 (en) | 1999-02-08 | 2002-02-26 | Micron Technology, Inc. | Multiple die stack apparatus employing T-shaped interposer elements |
JP3973819B2 (ja) * | 1999-03-08 | 2007-09-12 | 株式会社東芝 | 半導体記憶装置およびその製造方法 |
TW518650B (en) | 1999-04-15 | 2003-01-21 | Semiconductor Energy Lab | Electro-optical device and electronic equipment |
TW497376B (en) | 1999-05-14 | 2002-08-01 | Siliconware Precision Industries Co Ltd | Dual-die semiconductor package using lead as die pad |
US6075719A (en) * | 1999-06-22 | 2000-06-13 | Energy Conversion Devices, Inc. | Method of programming phase-change memory element |
JP3768744B2 (ja) * | 1999-09-22 | 2006-04-19 | 株式会社ルネサステクノロジ | 半導体装置およびその製造方法 |
US6322903B1 (en) | 1999-12-06 | 2001-11-27 | Tru-Si Technologies, Inc. | Package of integrated circuits and vertical integration |
US6291858B1 (en) | 2000-01-03 | 2001-09-18 | International Business Machines Corporation | Multistack 3-dimensional high density semiconductor device and method for fabrication |
US6525962B1 (en) | 2000-04-05 | 2003-02-25 | Cypress Semiconductor Corporation | High current and/or high speed electrically erasable memory cell for programmable logic devices |
US6577531B2 (en) * | 2000-04-27 | 2003-06-10 | Semiconductor Energy Laboratory Co., Ltd. | Nonvolatile memory and semiconductor device |
US6888750B2 (en) * | 2000-04-28 | 2005-05-03 | Matrix Semiconductor, Inc. | Nonvolatile memory on SOI and compound semiconductor substrates and method of fabrication |
JP3370646B2 (ja) | 2000-06-02 | 2003-01-27 | 株式会社新川 | 半導体装置 |
JP5792918B2 (ja) | 2000-08-14 | 2015-10-14 | サンディスク・スリー・ディ・リミテッド・ライアビリティ・カンパニーSandisk 3D Llc | 高集積メモリデバイス |
JP2002076250A (ja) | 2000-08-29 | 2002-03-15 | Nec Corp | 半導体装置 |
US6587365B1 (en) * | 2000-08-31 | 2003-07-01 | Micron Technology, Inc. | Array architecture for depletion mode ferroelectric memory devices |
JP3581086B2 (ja) | 2000-09-07 | 2004-10-27 | 松下電器産業株式会社 | 半導体装置 |
US6355501B1 (en) * | 2000-09-21 | 2002-03-12 | International Business Machines Corporation | Three-dimensional chip stacking assembly |
US7125763B1 (en) * | 2000-09-29 | 2006-10-24 | Spansion Llc | Silicided buried bitline process for a non-volatile memory cell |
JP2002134752A (ja) | 2000-10-23 | 2002-05-10 | Citizen Watch Co Ltd | 半導体装置 |
JP2002231882A (ja) * | 2001-02-06 | 2002-08-16 | Mitsubishi Electric Corp | 半導体装置 |
US6759707B2 (en) * | 2001-03-08 | 2004-07-06 | Micron Technology, Inc. | 2F2 memory device system |
US6897514B2 (en) * | 2001-03-28 | 2005-05-24 | Matrix Semiconductor, Inc. | Two mask floating gate EEPROM and method of making |
US6593624B2 (en) | 2001-09-25 | 2003-07-15 | Matrix Semiconductor, Inc. | Thin film transistors with vertically offset drain regions |
US6841813B2 (en) * | 2001-08-13 | 2005-01-11 | Matrix Semiconductor, Inc. | TFT mask ROM and method for making same |
US6853049B2 (en) | 2002-03-13 | 2005-02-08 | Matrix Semiconductor, Inc. | Silicide-silicon oxide-semiconductor antifuse device and method of making |
US6737675B2 (en) * | 2002-06-27 | 2004-05-18 | Matrix Semiconductor, Inc. | High density 3D rail stack arrays |
US7081377B2 (en) * | 2002-06-27 | 2006-07-25 | Sandisk 3D Llc | Three-dimensional memory |
US6834008B2 (en) * | 2002-08-02 | 2004-12-21 | Unity Semiconductor Corporation | Cross point memory array using multiple modes of operation |
US7071008B2 (en) * | 2002-08-02 | 2006-07-04 | Unity Semiconductor Corporation | Multi-resistive state material that uses dopants |
US7176064B2 (en) * | 2003-12-03 | 2007-02-13 | Sandisk 3D Llc | Memory cell comprising a semiconductor junction diode crystallized adjacent to a silicide |
US7800932B2 (en) * | 2005-09-28 | 2010-09-21 | Sandisk 3D Llc | Memory cell comprising switchable semiconductor memory element with trimmable resistance |
US7800933B2 (en) * | 2005-09-28 | 2010-09-21 | Sandisk 3D Llc | Method for using a memory cell comprising switchable semiconductor memory element with trimmable resistance |
US20050226067A1 (en) * | 2002-12-19 | 2005-10-13 | Matrix Semiconductor, Inc. | Nonvolatile memory cell operating by increasing order in polycrystalline semiconductor material |
US8637366B2 (en) * | 2002-12-19 | 2014-01-28 | Sandisk 3D Llc | Nonvolatile memory cell without a dielectric antifuse having high- and low-impedance states |
US6713810B1 (en) * | 2003-02-10 | 2004-03-30 | Micron Technology, Inc. | Non-volatile devices, and electronic systems comprising non-volatile devices |
US6873543B2 (en) * | 2003-05-30 | 2005-03-29 | Hewlett-Packard Development Company, L.P. | Memory device |
KR100773537B1 (ko) * | 2003-06-03 | 2007-11-07 | 삼성전자주식회사 | 한 개의 스위칭 소자와 한 개의 저항체를 포함하는비휘발성 메모리 장치 및 그 제조 방법 |
US8125003B2 (en) * | 2003-07-02 | 2012-02-28 | Micron Technology, Inc. | High-performance one-transistor memory cell |
US6847544B1 (en) * | 2003-10-20 | 2005-01-25 | Hewlett-Packard Development Company, L.P. | Magnetic memory which detects changes between first and second resistive states of memory cell |
US6999366B2 (en) * | 2003-12-03 | 2006-02-14 | Hewlett-Packard Development Company, Lp. | Magnetic memory including a sense result category between logic states |
US7172840B2 (en) * | 2003-12-05 | 2007-02-06 | Sandisk Corporation | Photomask features with interior nonprinting window using alternating phase shifting |
US7157782B1 (en) * | 2004-02-17 | 2007-01-02 | Altera Corporation | Electrically-programmable transistor antifuses |
US20050221200A1 (en) * | 2004-04-01 | 2005-10-06 | Matrix Semiconductor, Inc. | Photomask features with chromeless nonprinting phase shifting window |
US7307013B2 (en) * | 2004-06-30 | 2007-12-11 | Sandisk 3D Llc | Nonselective unpatterned etchback to expose buried patterned features |
EP1766678A1 (en) | 2004-06-30 | 2007-03-28 | Koninklijke Philips Electronics N.V. | Method for manufacturing an electric device with a layer of conductive material contacted by nanowire |
US7518182B2 (en) * | 2004-07-20 | 2009-04-14 | Micron Technology, Inc. | DRAM layout with vertical FETs and method of formation |
US7224013B2 (en) * | 2004-09-29 | 2007-05-29 | Sandisk 3D Llc | Junction diode comprising varying semiconductor compositions |
JP5164053B2 (ja) * | 2005-02-03 | 2013-03-13 | 財団法人ソウル大学校産学協力財団 | 複数層のドーピング層を有する電荷トラップメモリセルとこれを利用したメモリアレイ及びその動作方法 |
US20060250836A1 (en) * | 2005-05-09 | 2006-11-09 | Matrix Semiconductor, Inc. | Rewriteable memory cell comprising a diode and a resistance-switching material |
US7812404B2 (en) * | 2005-05-09 | 2010-10-12 | Sandisk 3D Llc | Nonvolatile memory cell comprising a diode and a resistance-switching material |
US20060273298A1 (en) * | 2005-06-02 | 2006-12-07 | Matrix Semiconductor, Inc. | Rewriteable memory cell comprising a transistor and resistance-switching material in series |
US20070010100A1 (en) * | 2005-07-11 | 2007-01-11 | Matrix Semiconductor, Inc. | Method of plasma etching transition metals and their compounds |
US7834338B2 (en) * | 2005-11-23 | 2010-11-16 | Sandisk 3D Llc | Memory cell comprising nickel-cobalt oxide switching element |
US7575984B2 (en) * | 2006-05-31 | 2009-08-18 | Sandisk 3D Llc | Conductive hard mask to protect patterned features during trench etch |
US7486537B2 (en) * | 2006-07-31 | 2009-02-03 | Sandisk 3D Llc | Method for using a mixed-use memory array with different data states |
US7800161B2 (en) * | 2006-12-21 | 2010-09-21 | Sandisk Corporation | Flash NAND memory cell array with charge storage elements positioned in trenches |
JP5082787B2 (ja) | 2007-01-09 | 2012-11-28 | 株式会社アドヴィックス | 基板収容ケースおよびそれを用いた液圧制御装置 |
US8518774B2 (en) * | 2007-03-29 | 2013-08-27 | Micron Technology, Inc. | Manufacturing process for zero-capacitor random access memory circuits |
US20090034355A1 (en) * | 2007-07-30 | 2009-02-05 | Qimonda Ag | Integrated circuit including memory cells with tunnel fet as selection transistor |
US7764534B2 (en) | 2007-12-28 | 2010-07-27 | Sandisk 3D Llc | Two terminal nonvolatile memory using gate controlled diode elements |
US7706177B2 (en) * | 2007-12-28 | 2010-04-27 | Sandisk 3D Llc | Method of programming cross-point diode memory array |
KR101539697B1 (ko) * | 2008-06-11 | 2015-07-27 | 삼성전자주식회사 | 수직형 필라를 활성영역으로 사용하는 3차원 메모리 장치,그 제조 방법 및 그 동작 방법 |
US8223580B2 (en) * | 2008-06-17 | 2012-07-17 | Ovonyx, Inc. | Method and apparatus for decoding memory |
-
2001
- 2001-08-13 JP JP2002520307A patent/JP5792918B2/ja not_active Expired - Lifetime
- 2001-08-13 EP EP15188426.9A patent/EP2988331B1/en not_active Expired - Lifetime
- 2001-08-13 KR KR1020077019262A patent/KR100821456B1/ko active IP Right Grant
- 2001-08-13 US US09/927,648 patent/US6881994B2/en not_active Expired - Lifetime
- 2001-08-13 EP EP01965876A patent/EP1312120A1/en not_active Withdrawn
- 2001-08-13 AU AU2001286432A patent/AU2001286432A1/en not_active Abandoned
- 2001-08-13 CN CN2007101817842A patent/CN101179079B/zh not_active Expired - Lifetime
- 2001-08-13 WO PCT/US2001/025092 patent/WO2002015277A2/en active Application Filing
- 2001-08-13 CN CNB018031544A patent/CN100358147C/zh not_active Expired - Lifetime
- 2001-08-13 KR KR1020027004682A patent/KR100819730B1/ko active IP Right Grant
- 2001-08-13 EP EP10011125.1A patent/EP2323164B1/en not_active Expired - Lifetime
- 2001-08-14 MY MYPI20013821A patent/MY129228A/en unknown
-
2004
- 2004-05-10 US US10/842,008 patent/US7129538B2/en not_active Expired - Lifetime
- 2004-05-20 US US10/849,000 patent/US6992349B2/en not_active Expired - Lifetime
-
2006
- 2006-10-10 US US11/544,666 patent/US20070029607A1/en not_active Abandoned
-
2009
- 2009-01-23 US US12/320,351 patent/US7825455B2/en not_active Expired - Fee Related
-
2011
- 2011-02-14 US US13/027,113 patent/US20110156044A1/en not_active Abandoned
-
2012
- 2012-05-10 US US13/468,731 patent/US8981457B2/en not_active Expired - Fee Related
-
2014
- 2014-03-27 US US14/227,425 patent/US8823076B2/en not_active Expired - Fee Related
- 2014-03-27 US US14/227,644 patent/US8853765B2/en not_active Expired - Fee Related
- 2014-09-23 US US14/494,320 patent/US9171857B2/en not_active Expired - Fee Related
-
2015
- 2015-09-16 US US14/856,131 patent/US9559110B2/en not_active Expired - Lifetime
-
2016
- 2016-12-02 US US15/368,396 patent/US10008511B2/en not_active Expired - Fee Related
-
2018
- 2018-05-04 US US15/971,293 patent/US10644021B2/en not_active Expired - Fee Related
-
2020
- 2020-04-17 US US16/851,282 patent/US20200251492A1/en not_active Abandoned
Similar Documents
Publication | Publication Date | Title |
---|---|---|
JP2004507091A5 (ja) | ||
US6709922B2 (en) | Method of manufacturing semiconductor integrated circuit device including nonvolatile semiconductor memory devices | |
US9153775B2 (en) | Semiconductor storage device and method for manufacturing same | |
US6809385B2 (en) | Semiconductor integrated circuit device including nonvolatile semiconductor memory devices having control gates connected to common contact section | |
KR100695164B1 (ko) | 스위칭 소자로서 트랜지스터 및 다이오드를 포함하는하이브리드 타입의 비휘발성 메모리 소자 | |
JP5456036B2 (ja) | 不揮発性半導体記憶装置 | |
JP5481564B2 (ja) | 不揮発性記憶装置およびその製造方法 | |
US6774430B2 (en) | Non-volatile semiconductor memory device having gate insulating film with thick end sections | |
US20080026547A1 (en) | Method of forming poly-si pattern, diode having poly-si pattern, multi-layer cross point resistive memory device having poly-si pattern, and method of manufacturing the diode and the memory device | |
US20050070060A1 (en) | TFT mask ROM and method for making same | |
US20020028541A1 (en) | Dense arrays and charge storage devices, and methods for making same | |
US20060208312A1 (en) | Semiconductor storage device and manufacturing method therefor, semiconductor device, portable electronic equipment and IC card | |
US7326991B2 (en) | Nonvolatile semiconductor memory and method of operating the same | |
WO2000070683A1 (fr) | Mémoire à semi-conducteurs | |
EP3891802B1 (en) | Finfet-based split gate non-volatile flash memory with extended source line finfet, and method of fabrication | |
JP4405489B2 (ja) | 不揮発性半導体メモリ | |
KR100402390B1 (ko) | 다층 터널접합층을 갖는 반도체 기억장치 및 그 제조방법 | |
US6894361B2 (en) | Semiconductor device | |
KR100723476B1 (ko) | 축소가능한 2개의 트랜지스터를 갖는 메모리셀 구조 및 그제조방법 | |
JP3429941B2 (ja) | 半導体記憶装置とその製造方法 | |
JP3880818B2 (ja) | メモリ膜、メモリ素子、半導体記憶装置、半導体集積回路および携帯電子機器 | |
JPH04275457A (ja) | 半導体装置及びその製造方法 | |
US8300448B2 (en) | Semiconductor storage device, memory cell array, and a fabrication method and drive method of a semiconductor storage device | |
JPH0582787A (ja) | 薄膜トランジスタ型不揮発性半導体メモリ装置 | |
US10686012B2 (en) | Resistance change type memory |